CA1244554A - Pageable branch history table - Google Patents

Pageable branch history table

Info

Publication number
CA1244554A
CA1244554A CA000502802A CA502802A CA1244554A CA 1244554 A CA1244554 A CA 1244554A CA 000502802 A CA000502802 A CA 000502802A CA 502802 A CA502802 A CA 502802A CA 1244554 A CA1244554 A CA 1244554A
Authority
CA
Canada
Prior art keywords
segment
branch
entries
entry
active area
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired
Application number
CA000502802A
Other languages
French (fr)
Inventor
James H. Pomerene
Thomas R. Puzak
Rudolph N. Rechtschaffen
Frank J. Sparacio
Philip L. Rosenfeld
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
International Business Machines Corp
Original Assignee
International Business Machines Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by International Business Machines Corp filed Critical International Business Machines Corp
Application granted granted Critical
Publication of CA1244554A publication Critical patent/CA1244554A/en
Expired legal-status Critical Current

Links

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F9/00Arrangements for program control, e.g. control units
    • G06F9/06Arrangements for program control, e.g. control units using stored programs, i.e. using an internal store of processing equipment to receive or retain programs
    • G06F9/30Arrangements for executing machine instructions, e.g. instruction decode
    • G06F9/38Concurrent instruction execution, e.g. pipeline, look ahead
    • G06F9/3802Instruction prefetching
    • G06F9/3804Instruction prefetching for branches, e.g. hedging, branch folding
    • G06F9/3806Instruction prefetching for branches, e.g. hedging, branch folding using address prediction, e.g. return stack, branch history buffer
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F9/00Arrangements for program control, e.g. control units
    • G06F9/06Arrangements for program control, e.g. control units using stored programs, i.e. using an internal store of processing equipment to receive or retain programs
    • G06F9/30Arrangements for executing machine instructions, e.g. instruction decode
    • G06F9/38Concurrent instruction execution, e.g. pipeline, look ahead
    • G06F9/3836Instruction issuing, e.g. dynamic instruction scheduling or out of order instruction execution
    • G06F9/3842Speculative instruction execution
    • G06F9/3844Speculative instruction execution using dynamic branch prediction, e.g. using branch history tables

Abstract

PAGEABLE BRANCH HISTORY TABLE

ABSTRACT

A branch history table (BHT) is substantially improved by dividing it into two parts: an active area, and a backup area. The active area contains entries for a small number of branches which the processor can encounter in the near future and the backup area contains all other branch entries.
Means are provided to bring entries from the backup area into the active area ahead of when the processor will use those entries. When entries are no longer needed they are removed from the active area and put into the backup area if not already there. New entries for the near future are brought in, so that the active area, though small, will almost always contain the branch information needed by the processor.

The small size of the active area allows it to be fast and to be optimally located in the processor layout. The backup area can be located outside the critical part of the layout and can therefore be made larger than would be practicable for a standard BHT.

Description

YO983-o99 ~2~

PAGEABLE BRANCH HISTORY TABLE

DESCRIPTION

Technical Field The invention is in the field of data processing, and specifically is directed to the processing of branch instructions in a computing machine.

Background Art In high performance processors it is common practice to decompose an instruction into several steps each performed by different step-processing units. Each such unit can have the capability o accepting a specific step for successive instructions every cycle. It is common practice to thereby overlap the successive steps in executing an fns~ruction on a cycle by cycle basis with each following instruction with a one cvcle offset. Ideally, this allows one instruction to be handled each cycle even though any given instruction takes several cycles to complete.

This ideal overlap is not always possible for several reasons. A major reason is the frequen~
occurrence of branch instructions. These have two signi~icant attribute : ~he branch may or may not be taken, introducing a temporary uncertainty as to which of two instructions is next; and if it is 55~ -~0983-099 taken, the next instruction must be obtained from an address usually specified in the branch.

A number of patents are directed to branch prediction mechanisms, each having certain advantages and disadvantages. For example, U. S.
Patent 4,370,711 to Smith discloses a branch predictor for predicting in advance the result of a conditional branch instruction in a computer system. The principle upon which the system is based i5 that a conditional branch instruction is likely to be decided in the same way as the instruc~ions most recent executions.

U. S. Patent 4,251,864 to Xindell et al, discloses a branch predictor for manipulation of signal groups having boundaries not coi~ciding with boundaries of signal group storage space. When a word contalning an operand boundary is transferred to the central processing unit, non-operand data is also transferred with the word. The ~20 non-operand data occurring in the boundary word is removed from the operand signal group and stored in the central processing unit. After manipulation of the operand by the central processing unit, the non-operand data is reinserted in the boundary words in the signal position previously occupied and the word group containing the manipulation or the resul'ing operand is stored in the memory location from which it was originally removed.

YO983-099 ~ ~ ~L~

U. S. Patent 3,800~291 to Cocke et al, is a branch prediction mechanism in which branch instructions may branch to ~he address of the information on the same or on another page. The branch instruction includes an indicator as to whether the branch address is a physical address on the same or another page, or a virtual address on another page.

U. S. Patent 4,181,942 to Forster et al, discloses a program branching method and apparatus in which a special branch instruction used in a computing system serves as a conditional branch or as a non-conditional branch as determined by the state of an internal register. This special branch instruction is used for conditional branching within or at the end of a program loop and for unconditlonal branching outside of such a loop.

U. SO Patent 3,325,785 to Stephens, sets forth a branch prediction mechanism which efficiently utilizes control storage and its access controls.
A simple strategy for handling branches is to suspend overlap un~il the branch is fully completed: resolved as taken or not taken and if taken, the target instruction is fetched from memory. However, this strategy results in several cycles per branch which are lost rom the ideal overlap. Another strategy is to make a~fi.~ed choice based on the type of branch and statistical experience as to whether the branch will be taken.
When the choice indicates not taken normal overlap is continued on a conditional basis pending the ~2~

actual ou~come. If the choice proves ~rong the conditionally initiated instructions are abandoned and the target instruction is fetched. The cycles devoted to the conditional instructions are lost as well as the cycles to fetch the target~
However, the latter is often avoided by prefetching the target at the time the branch is decodedO

A more effective strategy is embodied in U.S.
Patent 3,559,183 to Sussenguth, which patent is assigned to the assignee of the present invention.
It is based on the observation that most branches, considered individually, are consistently either taken or not taken and if taken, will have a consistent target address. In this strategy a table of taken branches is constructed. Each entry in the table consists Gf the address or the taken branch followed by the target address of the branch. This table is a hardware construct and so it has a predetermined size, typically from 1024 and 4096 entries. Entries are made only for taken branches as they are encountered. When the table is full making a new entry requires displacing an older entry. This can he accomplished by a Least Recently Used (LRU) basis as in caches.

In principle, each branch in the stream of instructions being executed is Looked up in the table, by its address, and if it is found, its target is fetched and becomes the next instruction in the stream. If the branch is not in the table lt is presumed not taken. All actions based on 5~ `
~0983-09~

the table are chec~ed as instruction e~ecution proceeds. If the table is found to be wrong corrections are made. If the branch predicted to be taken is not taken, the table entry is deleted.
If a branch predicted not taken is taken a new entry is made for it~ If the predicted target address is wrong the corrected address is entered.

In practice, the foregoing is modified slightly.
It is desirable to find taken branches early enough so that the target can be fetched before or at least as soon as it is needed, so that no delay will occur in the pipeline. This condition is usually not met if the table is accessed only after a branch is located and identified.
Therefore, the table is usually organi2ed ar.d addressed on the basis of the instruction fetching packet of the machine. Currently~ this packet is a double word (DW). The practical procedure is then as follows. When the machine fetches a double word into its instruction buffer, the DW
address is also supplied to the table. If an entry exists the target (DW) is fetched as soon as cache priority permits. In turn, this target DW
is supplied to the table, continuing the process.

The prior art described above is called a Branch History Table (BHT) and handles a great majority of bra~ches successfully but there is a several cycle penalty when it is wrong. For practical sizes of the ta~le (say 256 entries or approximately 2K bytes) this penalty almos~
offsets the gain from its use. Although a large-YO983~099 table (4K entries or approximately 32K bytes) would reduce the percentage of wrong predictions, hence the penalty, the problem is that the table hardware must be packaged in the speed critical instruction fetch and preparation area of the machine. It would be important to reduce rather than increase the table hardware in this area, because the more hardware that must be put in the area the longer the wiring distances and the greater the number of logic delays which must be reckoned in the critical paths determining the cycle time. These would, of course, lengthen the cycle time and a longer cycle time works constantly to decrease machine speed. Few organizational improvements, the BHT included, are good enough to offset much of an increase in cycle time which they may cause. We seek, therefore, improvements which will not place more hardware in the critical area.

According to the present invention, a Pageable Branch History Table (P~HT) is described, which does not add hardware to the critical area and in fact reduces it. A superficial analogy can be drawn to the relation between a cache memory and main memory. Let the full BHT be held in main memory (as it would be) and let the PBHT be the cache. Only the small cache (P3HT) must be in the speed critical area, the main memory (full B~T) can be elsewhere. Note, importantly, that the full BHT is no longer limited in size by hardware or cycle time considerations. It can be as large as provides a useful advantage.

55~

~owever, there are two things which distinguish the PBHT from the superficial cache analogy.
First, the contents of the PBHT are not based on recency of past reference, as with a cache, but on a relative certainty of future use. The PBHT
control mechanism utilizes the information maintained within the larger BHT concerning branch action to fetch the relevant information about future branches on a timely basis. The processor requires fast access only to those branches which are in close (logical) proximity to the current instruction being processed. This represents only a small fraction of all the branching information contained ln the full BHT. The full BHT is required because its ability to maintain information about many branches assures its high accu~acy. Thç PBHT is managed with this information to provide a fast access to the small subset which is immediately relevant.

The second aspect of the PBHT which distinguishes it from a cache is the manner of its autonomous control. UnLike a cache which is driven onLy by processor activity and malntains its relevance based on pure chance, the PBHT actively manages its contents independently of the processor and assures its relevance. The PBHT maintains its own relevance by constantly fetching into itself the next branches which the processor can encounter.

Brief Description of the Drawings YO9~3-099 FIG. 1 is a block diagram of an instruction fetching mechanism;

FIG. ? is a block diagram of an instruction fetching mechanism, including branch instruction prefetching;

FIG. 3 is a block diagram of an instruction fetching mechanism including a branch history table (BHT);

FIG. 4 is a diagram of a sample instruction sequence;

FIG. 5 is a diagram of a quadword sequence;

FIG. 6 is a diagram of a BHT directorv and a BHT
array;

FIG. 7 is a diagram of a block entry format;

FIG. 8 is a diagram of the start of an access to a BHT array/directory;

FIG. 9 is a diagram of the completion of a BHT
array access for either a "hit" or a "miss";

FIG. 10 is a detailed block diagram of the control block 149 which is shown generally in FIG. 9;

5fl~ .

g FIG~ 11 is a block diagram illustrating the fetching mechanism accordins to the present invention;

FIGo 12 is a block diagram of the loading and correcting mechanism of the sHT;

FIG~ 13 is a diagram of the quad~ord seq.uence of FIG. 5 highlighting the taken branches.

PIG. 14 is a diagram illustrating the successive contents of an active area comprised of 2 blocks;

FIG. 15 is a diagram of the structure of the backup area to the active area of the system;

FIG~ 16 is a diagram of the program sequence of FIG. 5 as it would appear in segments of 64 bytes (4 quadwords) each;

FIG~ 17 is a diagram of the active area and the active area directory;

FIG. 18 is a diagram of the segment format for the array entry;

FIG. 19 is a bLock diagram of the system illustrating the self-loading BHT operations;

FIG. 20 is a diagram illustrating the branch action within a segment;

FIG. 21 is a diagram of a segment entry/segment exit table;

FIG. 22 is a flow chart of the stager/analyzer/stager; and FIGS. 23 and 24 are flow chart of the analyzer.

Disclosure of the Invention A branch history table is divided into two sections, with the branch history table viewing memory as being divided into segments, with a segment being a continuous poxtion of memory beginning on a segment boundary. A first acti~Je high speed section stores n segments of instructions, while a second slow speed section stores the remaining segments in the table. An analyzer determines the exit address of each branch instruction in a segment, and a stager responds to the exit add~ess determinations of the analyzer to assure the next (n-l) segment crossings will be in segments stored in the first active high speed section.

Best Mode_for Carr~ing Out the_Invention The operation of a standard Branch History Table (BHT~ is explained as a prelude to explaining the operation of a Pageable Branch His~ory ~able (PHBT) accordlng to the present invention. First, a description is set forth relative to how instructions are fetched in a typical high per~ormance processor without ~ BET, and then instruction fetching with a BHT is described. The 55~

..

BHT structure and operation is then described in more detail. FIG. 1 shows the essential features of a typicaL high performance processor that does not have a BHT. For explanatory purposes, the diagram emphasizes the ins~ruction portion and de-emphasizes or omits many other features that would be needed in a complete processor design.
, !'~
It is assumed that the data and instructions for the processor are stored in a cache 101 bac~ed up Ln the usual way by a main memory 103. For purposes of description, consider an instruction which has just been placed in the Instruction Decode register 105, with events being traced forward in time from that point.

As soon as a new instruction appears in the decode register 105 three actions are begun in parallel.
The first action is to send the instruction length information (the two le tmost bits of the instruction in IBM*S/370 architecture) to an adder 107 where it is added to the current value in an Instruction Counter 109. The current value at this moment is the address of the instruction in the decode register lOS. This first action, which is of main interest, will be returned to after briefly describing the other actions.

The second action is to send the operand address information of the instruction (if any) to an Address Generate mechanism 111 where an address is formed and sent as a fetch request to the cache 101. All the steps of generating an IBM~SJ370 * trade mark YO983-099 ~ 55~

address are not shown since they are not needed for this explanation. Note that the fetch request to the cache can be either for data or another instruction. For the pres~nt, assume that the fetch is for data.

The third action is to send the execution information from the instruction to the Execute and Putaway mechanism 113 where it will be held until any needed data (requested by the Address Generate mechanism 111) is returned from the cache 101 to the Execute and Putaway mechanism 113.
When the needed data is available, execution of the instruction is completed and the results put . away as required by the particular instruction.
Finally, an Endop runction or signal 115 is issued to mark the point in time when the instruction is successfully completed.

Now return to the first action. The output or an adder 107 is the address of the next instruction
2~ after the one currently being decoded in the decode register 105. This ne~t instruction address is sent to the Update Instruction Counter register 117, the Instruction Buffer (IB) 119, and the Sequential Prefetching Control (SPC) 121. The function of the SPC is to keep the IB alwavs loaded with the next N instructions in sequence Sollow~ng the one currently being decoded.
(Typical values for N are 4, 6, or 8). The function of the IB is to hold these N instructions and to provide them on request quickly to the instruction decode area. This request is made by YO9~3-099 ~2~55~

the Next Instruction address and the selected next instruction is read from the IB to the Mext Instruction register 123. When the Instruction Decode register 105 is ready for the next instruction, the next instruction is gated in from register 123. At the same time the updated instruction counter value is gated from register 117 into the Instruction Counter 109. The foregoing sequence then is repeated for the new instruction.

As instructions are withdrawn from the IB, space for further instructions become available. This availability o space is signalled by the "I
Buffer Not Full" issuing from the IB 119 to the 5PC 121. When this signal is present the SPC
requests from the cache 101 the next sequential instruction or instructlons ~depending upon the size of the IB entries) beyond the last ones requested.

The description so far has not, for simplicity, included the effect of Branch instructions.
Assume now that the instruction currently being decoded in the decode register lOS is a branch instruction, and that the branch is to be taken.
In this case the address fbrmed by the address generate mechanism 111 is the branch target address. The branch target (which is an instructionl is fetched from the cache 101 an~ the target instruction i5 loaded from the cache into the Next Instruction reglster 123. The path taken is shown by a heavy line 120 in FIG. 2. The s:~

target address is also sent to the Update IC
register 117, and the SPC 121 as shown by heavy lines 122 and 124, respectively.
These are the appropriate actions when the branch is known to be taken. For conditional branches the take/not take decision could go either way and it is common practice to prov.de two Next Instruction and two Update IC registers so that both the branch target and the next sequential instructions are ready for selection when the take/not take decision is subsequently made. If the branch is taken, the SPC 121 proceeds to load the IB 119 with the next sequential instructions following ~he branch target. Any prefetched instructions down the not taken path which are in the IB may voided or not, depending on the buffer management rules.

When a Branch ~istory Table is used to control instruction fetching, the BHT assumes the role of the Sequential Prefetching Control previously described. This can be seen by comparing FIG. 3 with FIG. 2. The two figures are identical e~cept that in FIG. 3 the BHT 125 replaces the SPC 121, two signal paths have been added, and one deleted.

A general description of the operation of the sHT
is now set forth, with a more detailed description following. Essentially, the BHT does a more complete job of instruction prefetching than does the SPC, because it handles not onl~
next-sequential prefetching but aLso prefetches the targets of most taken branches.

This is a two step process. Referring to FIG. 3, the BHT 125 must issue the appropriate successlon of next-sequential and branch target fetches to the cache 101 so as to load the IB 119 with the instructions that will be needed by the processor in the near future. This is similar to the role of the SPC 121 (FIG. 1) except that it includes branch target fetches as well as next sequential.

Second, the BHT 125 must command the IB via path 127 to load ~he Next Instruction register 123 with the appropriate next instruction, whether next-sequential or branch target, so that the processing of instructions can proceed smoothly and without loss of time.

Although it is quite accurate, the BHT 125 can make a wrong decision from time to time. The wrong decision is usually detected in the Execute and Putaway mechanism 113 and the necessary correction is signalled on path 129 to the BHT
125.

The structure and operation of a BHT is now described. It should be noted that there are many designs for a BHT. The one presented here is chosen for simpLicity of expositlon rather than optimality of design. For example, the fully associative directories are assumed rather than the more common set-associative ones which would probably be used in an actual implementation.

~0983-099 As mentioned before, the purpcse of the BE~T 125 is to fetch instructions from the cache in advance of when they are needed and to have them ready for decoding ~ithout delay. Although instructions S could be fetched individually, it is usually desirable to get several instructions per Letch so as to leave more cache accesses available for operandsO The group of instructions obtained in one fetch is termed a "block". In current practice a block is typically a double word (DW -8 ~ytes) but in future machines a quadword (QW -16 Bytes) is more likely.

The block concept will now be explained in more detail in relation to FIG. 4 which shows a typical sequence of instructions, including a number or branches. Column 1 gives the memory address (in hexadecimal)-where each instruction resides.
Column 2 gives the instruction mnemonic. Column 3 indicates with a check mark which ~ranches are taken while column 4 gives the corresponding target address. This target address is the address of the ne~t instruction to be executed after the taken branch, as can be seen by comparing each entry in column 4 with the entry one line down in column l. Finally, column 5 gives the quadword location of each instruction.
The QW will be used as the block size from here on.

FI~. 5 shows the same sequence of instructions properly located within their respective QW
blocks. Note that the transition from one block L~!S~

to another occurs in two ways. Starting from the top, the first transltion is caused by a branch (BAL) and the second transition is to the next sequential (MS) block. The third transition is caused by a branch (BC) and the fourth is again a next sequential. Note that an instruction, e.g., LA, can be partly in one block and partly in the next.
.

FIG. 5 also illustrates the concept of the block sequence. It shows the actual block sequence needed for executing the sample instructlons of FIG. 4. In a processor without a BHT, this sequence is determined, block by block, as the processor encounters each taken branch. Since the sequence is not known in advance, the processor will usually be delayed after each taken branch while the next block is fetched from the cache.

In a processor with a BHT, the job of the BHT is to predict tAe block sequence in advance so that the needed blocks can be fetched in advance and processor delay avoided. Still referring to FIG.
5, a sequence is started by fetching block A and a~ ~he same time accessing the BHT with the (QW) address of A. There is a taken branch in block A, and so there should be an entry in the BHT for block A. This entry will contain the location of the branch (Byte address - 8) and the target address of the branch. Block B contains this target address so block B is fetched and at the same time the BHT is accessed with the address of
3. There is no taken branch in B so there is no 5~
Yo~3-099 entry for B in the BELT. Instead, the BHT returns a "miss" indication. The miss indicates that the next block C should be the next sequential one after B, as it is. Block C is then fetched and the BHT interrogated. There is a taken branch in C and so the BHT will return its location target address, which is used to fetch block D, etc.

The process can, in principle, be continued indefinitely, getting farther and farther ahead,of the hlock which the processor is currently executing. Two practical considerations will set a limit: first, the size of the instruction buffer 119 (see FIG. 3) and second, the increasing probability that some branch in the chain of li blocks will be incorrectly predicted by the BHT, in which case all further block prefetchin~ beyond the branch will be incorrect and useless.

The description so far has been slightly incomplete in order to emphasize the block sequence concept. The omitted detail is the need to identify the target byte address within each block which is the target of a taken branch. For quadword blocks the target byte address is the low order 4 bits of the branch target address. FIG. 5 shows arrows pointing to the target byte addresses. Of course NS transitions always start with byte 0 of the next sequential hlock.

The target byte is needed by the BHT because there can be more than one taken branch in a block. For example, in block D there could be a taken branch 5~ .

to the left of the indlcated entry point. This possible taken branch, not shown in FIG. 5, is not included in the sample instruction sequence but is part of some other instruction sequence that the processor may have encountered previouslv.
Therefore, the 3HT may have a valid entry for it.
The BHT must use the target byte address, indicating the block entry point, to select the first taken.branch after the entry point. In this example, there is no such branch in block D, the next taken branch is in block E.

.
The target byte address is also needed by the processor in order to select the correct next instruction, after a taken branch, to be moved from the instruction buffer 119 into the Next Instruction register 123, FIG. 3.

A general description of a BHT has been set forth.
Next, a specific hardware embodiment of a sHT is chosen which provides the described functions. An embodiment is chosen which is a little different from most implementations, but which provides a better foundation for a subsequent e~planation of the Pageable BHT.

FIG. 6 shows the BHT dire~tory 133 and the BHT
array 135. Note that the array en~ries are on a block b~sis, where a block is the group of instructions obtained from memory per fetch. Only blocks containing taken branches will have entries i.n the array. The address of a block entry is the address of its associated block, these addresses 55~

are contained in the associati~e directory 133.
The BHT directory/array 131 is accessed with a block address via path 137 and the access address is compared with all addresses in the directory.
There will be at most one match and the block entry with the matching address ~ill be read out of the array 135 on path 139. I~ there is no match, a "miss" will be signalled on path 139.

The operation of the directory/array 131 is very much like a cache except that the block entries in the array are not blocks of instructions, but rather contain information on taken branches tJhich mav be in the blocks of instructions. Consider the entry for any block X. It will have an address value equal to X, 141 in FIG. 6, and a corresponding block entry 143.

FIG. 7 shows the block entry format. Since there can be more than one taken branch in a block of size greater than 2 bytes there is provision in the format for information on more than one taken branch. Four is a more than adequate allowance for the QW block size currently being discussed.
Each branch sub-entry consists of a branch address (BA), its target address (TA), and a bit to indicate a valid sub-entry (V). Since the block address of the branch is known to be X, the BA
need only indicate the position within the block, which takes 3 bits for a QW block, (instruct~ons can only be on halfword boundaries in 370 architecture). TA must be a ~ull instruction 5~4 address since the target can be in any block.
However, it is noted that for block sizes larger than a Q~ there is a good change that the targe~
will be within the same block, in which case, the TA could be abbreviated in an actual design.

The validity bit is needed because a given block may have fewer than four taken branches, in which case one or more sub-entries will have no vali~
informatiGn. The usage bits are needed because a given block may have more than four taken branches. Suppose that all four sub~entries are valid and a flfth taken branch for the same block is to be entered. One of the existing sub-entries must be deleted to make room for the new sub-entry. The usage bits show which existing sub-entry was least recently used and is therefore the best candidate for replacement.

From the above, enough information has been accumulated to build the basic BHT, which will be done in a step by step fashion in order to clarify the flow of events.

FIG. 8 shows the start of an access to the BHT
array/directory 131. A full instruction address (X) is supplied on path 137 which is shown as split into ~wo parts 145 and 147. Part 145 is ~he portion of the full address which specifies the block entry to be accessed. Part 147 specifies the starting location within the block and is not immediately needed, it will be used later.

YO983-099 ~ 5~

In FIG. 9 the BHT array access is complete and results in either a "miss" or a "hit", both reported on path 139. If a "miss" it is assumed no taken branch is in this block and the control 149 initiates on path 145 an access with the ne~t sequential block address X~l, returning to the situation of FIG. 8. If a "hit" the selected block entrv is sent to control 149 and temporarily held in register 151.

~ FIG. 10 shows part of the control 149 in more detail and specifically it shows how the correct sub-entry is selected from the block entry in register 151. What is desired is the sub-entry for the first taken branch which will be encountered after the starting location within this block. The selection is accomplished by the select logic 153 according to the stated rules.
The selected BA/TA pair is gated out by the select gates 155. Note that the full BA is provided by appending ~he block address from path 145.

The selected BA/TA pair goes to three places.
First, the TA (Target Address) part is used to initiate a new block fetch and BHT access (if the I-Buffer is not full); second, the T~ resets the next sequential controls; and t~ird, the BA and TA
must be saved within the 2HT controls to guide the later selection of next instructions rom the I-Buffer 119 into the Next Instruction register 133, (FIG. 3).

YO983-099 ~ 55~

FIG, 11 shows these actions in more detail. The selected BA/TA pair from FIG. 10 is applied to the gate 159 which i5 activated by the BHT "hit". The TA output from gate 159 goes to gate 165 and if the I-Buffer is not full, causes a next-block fetch and a new BHT access using TA as the block address. The TA output also goes to the sequential block fetching control 163 and is entered into the Last Block Fetched reyister so that the next sequential block, if called for, wil.l be TA+l. The next sequential block is called for when the BHT has a "miss" ~ia gate 161.

The BA and TA outputs from gate 159 are also entered into a stack 167 to guide the pre-loading of instructions from the I-Buffer to the Next Instruction register. The ~A part of the oldest entry in the stack is compared to the Next Instruction Address 108 (FIG. 3). If the compare 169 shows "equal", i.e., the next instruction address is BA, then the next Next Instruction Address should be TA. The function 171 holds TA
for this purpose and signals select unit 173 to issue the current Next Instruction Address on path 127 to the I-Buffer and also to issue TA as the next Next Instruction Address. The BA/TA pair is then removed from stack 167 and the process continues with the next oldest pair.

The effect of the foregoing can be seen by referring to FIG. 3. Suppose the instruction in the decode register 105 is just before a taken branch which has the oldest entry in the BA/TA

55~
YO9~3-099 stack 167. Then the Next Instxuction Address which is generated by adder 107 and sent to the BHT on path 108 will compare equal with BA.
Select unit 173 will issue this ne~t instruction address on path 127 and cause.the I-Buffer 119 to load the branch instruction (located at BA) into the Next Instruction register 123. When the Branch instruction moves from registex 123 to the decode register 105, the select 173, under control of ~unction 171, will issue TA on path 127 and cause the I-Buffer to load the branch target instruction into the Next Instruction register 123. Meanwhile the processor controls assume the branch to be taken (based on the BHT) and the Update IC register 117 is loaded with the target address from the Address Generate 111. Sequential instruction fetching wiIl then proceed from the target address until another taken branch is encountered.

The actual target- address from the Address Generate 111 also goes to the BHT on path 108 where it should be compared with the predicted target address TA from the 3A/TA stack 167. This is done with compare unit 170. If the two addresses do not ccmpare, a wrong TA signal is issued on path 172 and the actual TA replaces the predicted TA on path 168 going to the Correction Handling Stack 175 (FIG. 12).

The BHT may also err in predicting as taken a branch which turns out not to be taken or in failing to predict a branch which is taken. The 5~

latter error can occur when a branch changes i~s behavior or when a new branch is encountered.

The loading and correcting of the BHT is now described. The need to correct the BHT will usually be determined when the associated branch instruction reaches the Execute and Putaway function 113 (see FIG. 3). This may be several cycles after the branch was decoded and in the meantime other instructions, which may also be branches, have been decoded. The BA/TA
information on the associated branch, as well as these possible other branches, will have been removed from the DATA STACK 167 as previously described. This information must be kept for a while in case a correction is necessaryO

FIG. 12 shows a Correction Handling Stack 175 which receives the BA/TA pairs which have been removed from the BA/TA stack via path 168. As previously noted, if a wrong TA was detected, it 2a is the correct TA that i~ put on path 168. Also entered into the correction stack is the BA/TA
informati.on for other branches, i.e., those not predicted as taken by the BHT. This ensures that there are entries in the correction stack for all branches that are in some stage of the processor pipeline between the decode register 105 and the Endop function 115 (see FIG. 3). If a branch has been correctly predicted by the 3HT, either taken or not taken, the branch will proceed to Endop and its entry in the correction stack will be removed.
However, if a BHT error has been detected at some 55~

point in the pipeline the information needed to correct the BHT is in the correction stack.

The correction process is shown in FIG. 12. The need for correction can be detected at two points in the e~ecution of a branch. A wrong target address (TA) will be detected early, after the branch target address has been generated by the Address Generate function 111 (FIG. 3). The wrong TA signal appears on path 172 and does two things.
First, it causes the select unit 177 to select the appropriate BA/TA pair from the Correction Handling Stack 175. The BA portion is used to access the BHT array and directory 131 for the entry to be changed. Second, it causes the correction control 179 to insert the correct TA in the entry and store the entry back into the same location in the BHT array.

A take/not take prediction error will be detected later since it usually depends on completion of the instruction previous to the branch. This error will be signalled on path 129 and causes the same actions as the wrong TA signal but with some differences. If the error was to predict as taken a branch which in fact was not taken, then the corresponding sub-entry in the BHT is deleted (i.e., its valid bit is turned to not valid). If the error was failing to predic~ a taken branch, then a new sub-entry must be added to the BHT.
This new sub-entry may belong in an existing entry or it may belong in a new entry (refer to the discussion relative to FIG. 7). Is t belongs in 09~3-099 ~ 5 an existing entry, it is entered into an empty sub-entry if one exists or else the least recently used sub-entr~ is displaced to make room for it.
If it belongs in a new entry, the new entry either goes into an empty array position or else the least recently used entr~y is displaced to make room for it.
.
Why a Pageable Branch History Table (PBHT) offers advantages over a standard BHT is now discussed.
The overall concept and operation of the PBHT
follows in a detailed description of operation.

To be effective a ~HT should correctLy predict most of the taken branches. This level of accuracy requires a table with at least 1000 entries which would physically be of appreciable size. As can be seen from the preceding descriptions, the BHT is directly involved in the speed-critical part of the processor, a region which should be kept as compact as possible to reduce signalling delays. One advantage of the PBHT is that it does the work of the BHT but requires relatively little hardware placed in the speed-critical area. A second advantage, related to the first, is that the effective size of the PBHT can be made as large as needed without intruding into the speed-critical area.

There is a cQnceptual resemblance between the PBHT
and a cache but there are also important differences. It will be helpful to further discuss these resemblances and dlfferences. A

Y0983~099 ~ 5~

cache is used when main memory cannot be fast enough to support the desired processor speed.
The cache can be fas~er than main memory because it is much smaller and because it can be built with faster technology. The cache can hold only a small part of the contents of main memory (say 64X
bytes out of several million bytes) but is able to supply a- surprisingly large fraction of processor requests to memory. There is also a small, ~ut never zero, probability that a requested item will not be in the cache, an event called a "miss". In present cache designs these misses are not anticipated, they are known only when the pr~cessor's demand for an item elicits the miss.
Therefore, new items are brought into a cache only on demand from the processor, and the processor is usually delayed waiting for the item to return from main memory, The PBHT consists of a small memory holding a -I`imited amount of branch inrormation backed up by a large reservoir of branch information equal to or larger than a standard BHT. The small memorv, which hereafter is called the Active Area, contains information on all branches which the processor can reach from its present point of execution in the program. These reachable branchés are a very small fraction of the branches which would be in a standard BHT, which is why ~he active area can be small. Only the active area needs to be placed in the speed-critical region of the processor, the backup reservoir can be elsewhere. In this sense the active area resembles a cache and the backup reservoir resembles main memory.

Unlike the cache, however, the active area is self-loading. The branch information in the P3HT
is used continually to bring into the actlve area information on branches that become reachable as the processor proceeds through-its program. An example follows which shows what is done. Refer now to FIG. 13 which shows the QW (block) sequence for the sample program excerpt previously discussed in connection with FIG. 5. Suppose the processor is currently executing the BAL
instruction in the top block. The next four branches which are reachable from this point are lS shown shaded. There may be many other branches elsewhere in the program but only these are immediately reachable. Therefore, even if the active area contains only information on the next several reachable branches it can still provide ~ the same function as the full BHT previously described.

Two more useful examples can be drawn from FIG.
13. First, the step by step activity in an active area holding two blocks (Quadwords) is described.
This is for illustration only, the active should really be somewhat larger. Second, it will be shown tha~ the block is not necessarily the best size for an entry in the active area and the concept will be introduced for a segment consisting of several blocks as a preferred active area entry.

YO983-099 ~ 5~

FIG. 14 shcws the successive contents of an active area for the program sequence of FIG. 13.
Contents are shown at nine points in tlme. At the top of the figure is the contents of a two block active area as they would be when the processor is starting the program sequence at the top of FIG.
13. The first entry in the active area is for branch ~1 (the BAL), this entry is located iR the first (upper) bloc~ of FIG. 14. The entry shows the loca~ion (8~ of branch ~1 in the block and the target address (02E104). The second entry is a blank entry, which is subsequently explained, since there is a difference between the PBHT and the BHT previously described.

The BHT contains entries only for taken branches.
If the BHT has no entry for a partïcular block it is assumed that the block contains no taken branches. The assumption will usually be correct, it will be possibly wrong only if the block i5 a new block (not previously encountered) or if a branch in the block changes its action from not-taken to taken.

The same assumption is made for the backup area of the PBHT and it could be made Cor the active area of the PB~T, but this would lead to an amblguit~
which could complicate some of the control circuits for the active area. Remember that the active area contains only a very small portion of the contents of the backup area and therefore an entry may not be found in the active area simply because it has not yet been brought in from the backup area. If a block would also have no entry in the active area when it has no taken branches~
one would not im~ediately know how to interpret finding no entrv. It could mean that the block has no taken branches or it could mean that entry for the block has not yet been brought in from the backup area. This ambiguity is avoided by using blank entries in the active area. Whenever a reference to the backup area produces a "miss" a blank entry is returned to the active area, identified with the block address that produced the miss.

With this explanation, the meaning of the blank second entry at the top o~ FIG. 14 is that the block pointed to by the first entry (02E10) contalns no taken branches. Therefore, all instructions in block (02E10) to the right of the entry point (see FIG. 13) will be executed and the next instructions will come from the next sequential block (02Ell).

Returning to FIG. 14, when the processor has executed branch ~1 (the B~L) there is no longer any need to keep the branch ~1 entry (block 02DE2) in the active area; it will be removed, making room for a new entry. In arder to select the new entry the PHBT controls use the target address (TA) of branch ~1 and look in the active area directory to see ir there is an entry for the target block (02E10). There is an entry but it is blank because there is no taken branch in that block. The controls then check the directory for 5~ -the next sequential block (02E11) and find no entry (because the active area in this e~ample has room for only two entries). The controls then look in the backup area and find an entrv for block 02E11, this entry is brought into the active area as shown in the second frame from the top in FIG. 14. The backup area is shown in FIG. 15.

When the processor has executed the last instruction in block 02E10 the block entry is removed from the active area, making room for another new entry. The PBHT controls use the target address of branch ~2 (in block 02E11) to access first the active area (no entry) and then the backup area (no entry). Assume that the target blvck 02E17 has no taken branches and return a blank entry to the active area a~ shown in the third fxame.

Further deletions and entries into the active area proceed in the same way as described. If the active area contains more than two blocks, say N
blocks, the procedure would continue until as many as N blocks have been filled. When the earliest branch with an entry in the active area has been executed by the processor its entry will be removed and a new one brought in, as above. In this way the active area will always contain entries ~or up to the next N (predicted) blocks that the processor will encounter.

In describing this example a design choice has been made that should be explained. Deleting a YO983-099 ~ 55 ~

- branch entry in the active area after the branch has been executed by the processor allows the opportunity to correct the entry, i necessary, while it i5 still in the active area. However, a different choice could have been made. Remember that the BHT is used primarily to preload the instruction buffer with the pre~icted sequence of instructions. Therefore, an entry could be deleted just after it is used for the prefetch, which would be well in advance of when the corresponding branch is executed by the processor.
The advantage of such earlier deletion is to free up space ln the active area sooner; the disadvantage is that the entry must be recalled if a correction is necessary.

The segment concept is now described. Thus far the concept of a block has been utllized. To review, a block is a group of consecutive bytes that is handled as a unit. For example, instructions are fetched from memory a block at a - time, an instruction buffer typically holds from 4 to 16 blocks, and the BHT/PBHT has been described as based on blocks: entries are per-block and each sub-entry points to ~ successor block. However, the BHT/PBHT can be based on a larger or smaller group of bytes than the block.

For the PBHT there are several advantages in basing entries on groups of bytes larger than a blockO These larger groups are termed "segments".
FIG. 16 shows the program sequence of FIG. 5 as it would appear in segments of 64 bytes each ~4 3~
Quadwords). Note that the 11 QW's of FIG. 5 now fit into 6 segments. Qn the segment basis fewer active area deletions and insertions are needed than with a QW (block) basis. This allows more time for the deletion/insertion process, which can be useful. The additional time accrues for two reasons~ that taken branches may find their targets within the segment and not require a new segment to be accessed and, (2) next sequential block prefetching within the segment is handled without reference to the backup area.

More important, probably, is the opportunity for abbreviating some of the target addresses (TA's) in the PBHT sub-entries, thereby reducing the size of the active and backup areas. Note, for example, that the branch in the bottom segment of FIG. 16 has its target in the same segment and hence does not need a full address, five bits will suffice (plus one or two more bits to denote that the address is abbreviated). A little less obvious is another opportunity for abbreviation.
Note that all other branches except the first have their targets in the next sequential segment, a fact which can be denoted by one additional bit, so here again a full address is not needed.

From this point forward it is assumed that P3HT
entries are on a segment basis.

Segment Sequence and Segment c ossings are now discussed. The c~ncept of segment sequence is illustrated in FIG. 16. The sample program begins Yo983-099 ~ 5~

at the top in segment 02DE0. The program "crosses" from this segment into segment 02~10 after the BAL instruction. Similarly, the program crosses from segment 02E10 into segment 02E14 after the BC instruction, etc. In general, the instructions executed by a processor over any period of time can be represented as a se~uence of segments containing the successive instructions being executed. If this sequence is known beforehand one could do a perfect job of prefetching segments into the Instruction Buffer and the processor would never lose time waiting for instructions to be fetched from memory.

Of course one cannot know the actual segment sequence beforehand but one can use the PBHT to predict the probable segment sequence. From extensive simulation it is known that the predicted sequence will be quite close to the actual sequence but there will be occasional errors. After an error and until it is corrected, further predictions will probably be useless. The probability of an error will increase as the prediction is carried further ahead in time, so there is a practical limit on how far prediction should be attempted ahead of execution.

Several components of the P~HT will now be discussed in some detail, beglnning with the component~ that provide the branch prediction information to the processor. These function just as the previously described BHT and include the active area and the active area directory. The remaining compon~nts, which provide the self-loading of the active area so that i. always has the relevant branch information, a-e then discussed.

FIG. 17 shows the active area and the active area directory. From the standpoint of the processor this is equivalent to the BHT Directory and Array shown earlier in FIG. 6. However, there are two differences which should be emphasized once again.
LO The BHT array is large, having at least 1000 entries and perhaps as many as 4000, while the active area is small: eight (8) entries are shown and simulation results support this as an adequate number. The other dlfference is that the active area and directory have entries for segments rather than blocks. This wilL not change the description already given for the BHT, it would affect the detailed design of some of the hardware in an obvious way and will not be further remar~ed.

Sin~e the active area and the BHT are equivalent in the normal operation of the processor, the numbering of FIG. 6 is carried over to FIG. 17.
The active area 135 contains 8 segment entries (for example) one or none of which will be read out when an access is made to the directory;
Either outcome is presented on path 139 as shown.
~ecause of the self-loading mechanism provided for the active area we expect a very high proportion of accesses to result in a successful access.

YO983-099 ~ S5'~

In FIG. 17 the active area directory 133 holds the segment address of each entry in the active area.
For ease of explanation it i5 assumed that the directory performs an associative match against each access segment address. If a match is detected the corresponding array entry 135 is read out on path 139, otherwise a MISS is signalled.
It is recognized that an actual implementation would probably use a set associative scheme as lQ amply taught in the cache literature. Suppose that the access segment address supplied on path 137 is the address X. As shown there will be a match in the directory with address entry 141 resulting in a readout of array entry 143 on path 13g, FIG. 18 shows the format for the array entry, which is now for a segment. Compare it to FIG. 7, there is no difference except that the segment concept has replaced the block. An allowance for up to four taken branch entries, now per segment instead of per block is shown. Simulation results show that four entries are in fact sufficient for a 64 byte segment. For a larger segment of 128 bytes, for example, eight entries might be required.

The selected segment entry 143 is passed over path 139 to the controls 149 (FIG. 9) and stored in a register 151. As previously described for the BHT
and FIG. 10 the appropriate BA/TA is selected from the segment entry by the select gates 155v From this point OII, the operation of the PBHT is YO983-099 ~ 55~

exactly the same as previously described for the BHT and will not be repeated here. What remains is to describe the self-loading operations of the PBHT.

The self-loading of the PBHT are almost entirely independent of the B~T-like operations already - described. For a perfectly predicting PBHT the only interaction needed is a signal to the self-loading controls that the processor execution activity has moved out or one segment into another. This signal is used by the self-loading controls to advance its predicted segmen~ sequence by one more segment. Also, since prediction is not perfect, the self-loading controls must be signalled when a prediction error is detected, because the predicted sequence must be corrected after the point of a predlction error.

The self loading operations is first described in a general way. There are three phases: the startup when no valid entries are in either the active or backup areas, the transitional when there are partial valid entries, and the equili~rium when most or all entries are valid and the branch prediction accuracy is at its best. lYe will first discuss the startup operations and then go to the equilibriu~ operations. The transitional operations will be a mixture of startup and equilibrium operations and should be understandable from the startup and equilibrium discussions.

Repeating, at startup there will be no valid entries in either the acti~e area or the backup area. The the processor encounters the first taken branch after startup, and since there was no entry for it in the PB~T, it will signal a prediction error. As pre~iously described, the segment address of this branch will be looked for in the active area and will not be found.
Therefore, a new entry will be put into-the active area with the "change bit" 311 set on (see FIG.
17). Since the processor has already executed this branch there is no need to keep its entry in the active area, so the changed entry is immediately copied into the backup area by the self-loading controls via paths 309 and 303, and the entry is deleted from the active area. Then, starting from the target of this branch, the seLf-loading controls will attempt to preload the active area with entries for the next several taken branches (of course no entries will be found immediately arter the startup).

Referring to FIG. 19, the prediction error will be signalled on path 129, including the branch address (BA) and target address (TA) of the mispredicted branch. The self-loading controls will access the backup area with the TA segment address on path 303 and find no entry. (Since entries are made only for taken hranches, flnding no entry means either that the accessed segmellt had been seen before and it contained no taken branches or it means that the accessed segment has not been se~n be~ore. Nothing useful can be done YO983-099 ~ 55~

with the second meaning so the first is alwavs assumed. As previously explained, a miss in the backup area causes a blank segment to be returned to the active area. The controls then access the next sequential segment address (TA+l) and again find no entry. This wilL continue with TA~2, TA+3, etc., until another prediction error signal arrives on path 129, at which time the above repeats with the new TA.

l~ The active area will soon be filled with mostly blank segments and these will be replaced with corrected segments as processor e~ecution proceeds. At the processor continues to encounter new taken branches, entries are put into the backup area, and in this way the backup area will be filled to capacity. The active area will also fill as the self-loading controls begin to find entries in the ~ackup area, these entries coming back on path 305 to the self-loading controls and then on path 307 to the active area. Loading of the active area will stop when the active area is full. It will resume whenever space becomes available, as discussed below.

Next described is the self-loading operations when the backup area is in equilibrium, i.e., fully loaded. At that time the active area will also be loaded. First, Consider the case in which there are no prediction errors. The activity should be quite simple: when the processor executes a bxanch and no correction is needed, the corresponding en~ry in the active area is deleted, allowing room for the self-loading controls to fetch from the backup area one more entry in the predicted segment sequence. The actual activity is not quite so simple because there may be looping in the program so that given segments may be re-entered within a shor' interval. For example, consider segments A, B, C, etc. The program being followed by the processor may involve the sequence ABCABCABCDEF... The active area (of 8 segments) could be ~illed with the seqments A B C A B C A B
which would correctly reflect the predicted sequence but this would have two disadvantages.
First, space in the active area would be wasted storing extra copies of the same segment and, second, any corrections required to a segment would have to be made to all copies.

A design is preferred which allows only one copy of a segment in the active area. To allow for the above re-use of segments a count field is introduced in the directory entries for the active area. In FIG. 17 this count field 313 starts at zero for the first entry of a segment and each time that the self-loading controls predict re-entry of this segment the count field is advanced by one. With this design the deletion of active axea entries is as follows. When the processor executes a branch and no correction is needed, the correspondinq entry ~n the active are will have its count field reduced by one. If the result is negative (less than 2ero) the entry will be deleted, otherwise it will not be deleted.

Yos 8 3 - 0 9 9 ~ sq;

When an entry must be corrected its count field is ignored, the entr~ is corrected, copied to the backup area, and then deleted. All other entries in the active area at least have their count fields reset to zero, more likely they will be mar~ed invalid. In either case ~he self-loading controls will refill or reconfirm the active area starting from the target of the corrected branch.

The self-loading controls were described above in an overall way in order to show the overall action. In doing a knowledge of which segments should be loaded into the active area was assumed.
How this knowledge is derived by the Anal~zer and used b~ the Stager to control the loading of the active area is set forth below.

The reason for having an analyzer can be seen first by referring back to FIG. 16 which sho~ls the segments of the sample program. Note that there are taken branches in these segments that sometimes point to a different segment (e.g., the BAL in the top seqment 02~E0 points to starting address 02E104 in segment 02E10) and some~imes point to the same segment (e.g., the BC in the bottom segment 02E20 which points to address 02E234 in the same segment). Also, a segment may have no taken branch after the point at which it is entered, in which case the next segment will be the next sequential segment (e.g., the third segment from the top 02E14 after which follows the next sequential segment 02E18).

Yoss3-og9 ~ S~

~3 As can be seen from these examples, the sequence of segments is predictable but not without some analysis of each successive segment. The basic problem is to look at each segment, starting at the entry point into the segment, and determine the net effect of all taken branches which are in the segment ater the entry point. This will not usually be very complicated, as can be seen in the example of FIG. 16. However, all the possibilities which may occur must be provided for. FIG. 20 shows a possible segment which contains four taken branches, two oE which have targets within the same segment and two of which have targets in different segments A and B
respectively.

Note that in this figuxe, as in all of the figures, execution of instructions proceeds from left to right. The upper part of the figure shows the location of four taken branches and the arrows from each branch indicate the target of the branch. As stated before two of the branches have targets within the segment: Branch ~1 has its target forward in the segment, Branch ~3 has its target backward in the segment. Branch ~2 has an external target segment A, and Branch ~4 has an external target segment B~

The lower part of the figure shows five regions P,Q,R,S, and T into which the entry address to this segment could lie. These regions are now examined.

~4 If entry is into region P, sranch ~1 goes to region T which e.cits to the next sequential segment.

If entry is into region Q, Branch #2 exits to segment A.

If entry is into region R, Branch #3 goes back to region P, then Branch #l goes to region T, which exits to the next sequential segment.

If en~ry is into region S, Branch #4 exits to segment B.

If entry is into region T, exit i5 to the next sequential segment.

These outcomes are summarized in the segment entry/segment exit table for this segment as shown in FIG. 21.

From FIG. 20 it can be seen that the entry regions can be identified in terms of the entry addresses.
Specifically:

Region P if entry address is less than or equal to BAl (address of branch ~ ote that the addresses are within and relative to the segment, and not the full memory addresses.

Region Q if entry address i5 greater than BAl and less than or equal to BA2.

Region R if entry address is greater than BA2 and less than or equal to BA3.

Region S if entry address is greater than BA3 and less than or equal to BA4.

Region T lf entry address is greater than BA4.

The taken branch addresses are, of course, available from the segment entry (see FIG. 18) and the entry address is the exit address from the previous segment. The job of the analyzer is to compute the exit address for each successive segment based on the entry address to that segment, using the rules and information described above. The job of the stager is to keep the acti~e area filled with the predicted sequence of se~ment entries, based on the wor~ of the analyzer. This overall flow is shown in FIG. 22.

A ~low chart of the Analyzer is given in FIGS. 23 and 24. Exit analysis for a new sesment begins with identifying the regions. These are delimited by the taken branches within the segment. For example, in FIG. 20, BR ~1 delimits segment P, BR
~2 delimits segment Q, etc. The final seyment T
is delimited by the end of segment.

The branch which delimits a region will determine the ultimate exit address for that region. When the branch has an external target address (i.e., to another segment), this external target address can be immediately assigned as the exit address for the region. This assignment is done in Phase 1 of the exit analysis.

When the branch has an internal target address (i.e., wlthin the segment) the ultimate exit address is not immediately obvious and must be traced from region to region until a region is entered which has an external exit address as assigned in Phase 1. Such regions with external exit addresses in FIG. 20 are Q, S, and T. This tracing is done in Phase 2 of the exit analysis.
Note that as the analysis proceeds the exit address of a given region goes through three stages:

1. No exit address 2. Exit address in process ldenoted by all ones) 3. Ultimate exit address .

The ultimate exit addresses will be external, including addresses to the next sequential segment, except for the possibility of a branch loop that cycles within the segment. Such a loop will finally exit as a result of some change in branch action; this change can be predicted but it is not essential to do so. The alternative is to accept a prediction error.
.

As previously mentioned, the job of the stager is to keep the active area filled with the predicted sequence of segment entries, based on the work of the analyzer. The aim is to have the active area always containing segments which the processor ls YO983-099 ~ 5~

using or will use in the future. The stager will bring in new segments only when there is space in the active area, and space ~ecomes available only as the processor finishes activity within a segment and its entrv can be deleted. ~hus, the stager will be working many segments ahead of the processor but not indefinitely far ahead. For an active area of 8 segments, the stager can be 7 segments ahead of the processor or perhaps a few more if some o~ the 8 segments are re-entered, as shown by the associated count values 313 FIG. l9.

The action of the stager is shown by the elements 409, 411, 401, 4Q3, and 405 in FIG. 22. The decision element 411 holds up the stager if the active area is full. Otherwise the exit address 409 from the analyzer is used by the stager as an entry address 401 with which to obtain the next segment for analysis 403. The next segment may or may not be already present in the active area. If 29 it is present it is fetched and sent to the analyæer for analysis or, lf it is already analyzed, its exlt address is sent to the stager, etc. If it is not present, it is fetched from the backup area and sent both to the analyzer and the active area. If it is not present in the backup area, a blank segment will be returned to the active area and further analysis must wait until the processor reaches the blank segment and determines its exit address.

Industrlal Applicabilitv It is an object of the invention to provide an improved branch history table.

It is another object of the inven~ion to provide an improved pageable branch history table.

It is yet another object of the invention to provide an improved pageable branch history table which is divided into an active high speed section for processing branch instructions in one of n lC memory segments, and a 510w speed section in which are stored the remaining entries. The active area is constantly updated from the slow speed section based on following instruction segments containing branch instructions that are anticipated for future use.

Claims (7)

The embodiments of the invention in which an exclusive property or privilege is claimed are defined as follows:
1. In a branch history table which is divided into two sections, with groups of instructions being divided into segments and with the branch information for a segment forming a segment entry, the combination comprising:

an active high speed section in which n, where n is an integer, segment entries are stored, including means for processing branch information in said segment entries;

a slower speed backup section which stores the remaining segment entries of said branch history table; and means for updating the active high speed section from the slower speed section based on analyzing branch information in segment entries to determine entries to be used in the future.
2. The combination claimed in claim 1, wherein said means for updating comprises:

analyzing means for determining exit address of each branch instruction in a given segment entry; and staging means responsive to the exit address determination of said analyzing means for examining the exit addresses of each segment in said active area to determine which are target addresses of following segment entries in said slow speed section, including means for readying said following segment entries for fetching to said active area.
3. In a branch history table which is divided into two sections, with said branch history table viewing memory as being divided into segments, wherein a segment is a continuous portion of memory, the combination comprising:

a first active high speed section in which n, where n is an integer, segment entries are stored, including means for processing branch information in said n segment entries:

a second slower speed section in which the remaining segment entries in said branch history table are stored;

analyzer means for determining the exit address of each branch instruction in a given segment; and stager means responsive to the exit address determination of said analyzer means for assuring the next (n-1) segment crossing will be to segment entries stored in said active area.
4. A method of processing branch instructions in a branch history table, wherein memory is viewed as being divided into segments, wherein a segment is defined as a continuous portion of memory which starts on a segment boundary, said method comprising the steps of:
processing n, where n is an integer, segment entries in an active high speed section of said branch history table;

storing the remaining segment entries in said branch history table in a slow speed section;
and updating the active high speed section from the slow speed section based on analyzing the segment entries to determine if branch information contained in the segment entries are anticipated for future use.
5. The method of claim 4, wherein the step of updating includes the steps of:

analyzing the segment entries to determine the exit address of each branch instruction contained therein; and staging segment entries presently stored in said slow speed section, for storage in said active high speed section based on the exit address determination during the analyzing step.
6. A method of processing branch instructions in a branch history table, wherein memory is viewed as being divided into segments, wherein a segment is defined as a continuous portion of memory which starts on a segment boundary, said method comprising the steps of:

processing n, where n is an integer, segment entries in a first active high speed section of said branch history table;

storing the remaining segment entries in said branch history table in a slow speed section of said branch history table;

analyzing each branch instruction in a segment entry by an analyzer to determine the exit addresses of the branch instructions;
and responding to the determination of the exit addresses of the branch instructions in the respective segment entries by a stager, to assure the next (n-1) segment crossings will be to segment entries stored in said active area.
7. The method of claim 6, wherein said step of responding includes the steps of:

maintaining in said stager a first list of segment entries presently stored in said active area and a second list of the next (n-1) segment crossings;

comparing said first and second lists to determine if said (n-1) segment crossings are in one of the n segment entries presently stored in said first active high speed section; and fetching any segment entry that includes one of said (n-1) crossings and is not presently stored in said active high speed area, to said active high speed area.
CA000502802A 1985-04-29 1986-02-26 Pageable branch history table Expired CA1244554A (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US06/728,424 US4679141A (en) 1985-04-29 1985-04-29 Pageable branch history table
US728,424 1985-04-29

Publications (1)

Publication Number Publication Date
CA1244554A true CA1244554A (en) 1988-11-08

Family

ID=24926799

Family Applications (1)

Application Number Title Priority Date Filing Date
CA000502802A Expired CA1244554A (en) 1985-04-29 1986-02-26 Pageable branch history table

Country Status (5)

Country Link
US (1) US4679141A (en)
EP (1) EP0199947B1 (en)
JP (1) JPS61250738A (en)
CA (1) CA1244554A (en)
DE (1) DE3682700D1 (en)

Families Citing this family (83)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4763245A (en) * 1985-10-30 1988-08-09 International Business Machines Corporation Branch prediction mechanism in which a branch history table is updated using an operand sensitive branch table
EP0258453B1 (en) * 1986-02-28 1993-05-19 Nec Corporation Instruction prefetch control apparatus
US5440704A (en) * 1986-08-26 1995-08-08 Mitsubishi Denki Kabushiki Kaisha Data processor having branch predicting function
JPS6393041A (en) * 1986-10-07 1988-04-23 Mitsubishi Electric Corp Computer
US5175827A (en) * 1987-01-22 1992-12-29 Nec Corporation Branch history table write control system to prevent looping branch instructions from writing more than once into a branch history table
US4942520A (en) * 1987-07-31 1990-07-17 Prime Computer, Inc. Method and apparatus for indexing, accessing and updating a memory
US4943908A (en) * 1987-12-02 1990-07-24 International Business Machines Corporation Multiple branch analyzer for prefetching cache lines
GB8728493D0 (en) * 1987-12-05 1988-01-13 Int Computers Ltd Jump prediction
JP2723238B2 (en) * 1988-01-18 1998-03-09 株式会社東芝 Information processing device
US5522053A (en) * 1988-02-23 1996-05-28 Mitsubishi Denki Kabushiki Kaisha Branch target and next instruction address calculation in a pipeline processor
JPH01271838A (en) * 1988-04-22 1989-10-30 Fujitsu Ltd Microprogram branching method
US5136696A (en) * 1988-06-27 1992-08-04 Prime Computer, Inc. High-performance pipelined central processor for predicting the occurrence of executing single-cycle instructions and multicycle instructions
US5127091A (en) * 1989-01-13 1992-06-30 International Business Machines Corporation System for reducing delay in instruction execution by executing branch instructions in separate processor while dispatching subsequent instructions to primary processor
US5689670A (en) * 1989-03-17 1997-11-18 Luk; Fong Data transferring system with multiple port bus connecting the low speed data storage unit and the high speed data storage unit and the method for transferring data
DE69030931T2 (en) * 1989-04-24 1998-01-15 Ibm Multiple sequence processor system
US5093777A (en) * 1989-06-12 1992-03-03 Bull Hn Information Systems Inc. Method and apparatus for predicting address of a subsequent cache request upon analyzing address patterns stored in separate miss stack
JP2508280B2 (en) * 1989-07-28 1996-06-19 日本電気株式会社 Branch history control method
US5226130A (en) * 1990-02-26 1993-07-06 Nexgen Microsystems Method and apparatus for store-into-instruction-stream detection and maintaining branch prediction cache consistency
US5230068A (en) * 1990-02-26 1993-07-20 Nexgen Microsystems Cache memory system for dynamically altering single cache memory line as either branch target entry or pre-fetch instruction queue based upon instruction sequence
US5163140A (en) * 1990-02-26 1992-11-10 Nexgen Microsystems Two-level branch prediction cache
WO1991013402A1 (en) * 1990-02-26 1991-09-05 Nexgen Microsystems Two-level branch prediction cache
US5093778A (en) * 1990-02-26 1992-03-03 Nexgen Microsystems Integrated single structure branch prediction cache
EP0463965B1 (en) * 1990-06-29 1998-09-09 Digital Equipment Corporation Branch prediction unit for high-performance processor
US5226138A (en) * 1990-11-27 1993-07-06 Sun Microsystems, Inc. Method for selectively transferring data instructions to a cache memory
JPH04328634A (en) * 1991-04-26 1992-11-17 Nec Corp Microprogram controller
JPH0628184A (en) * 1991-08-26 1994-02-04 Internatl Business Mach Corp <Ibm> Branch estimation method and branch processor
US5333283A (en) * 1991-10-29 1994-07-26 International Business Machines Corporation Case block table for predicting the outcome of blocks of conditional branches having a common operand
US5434986A (en) * 1992-01-09 1995-07-18 Unisys Corporation Interdependency control of pipelined instruction processor using comparing result of two index registers of skip instruction and next sequential instruction
EP0628184B1 (en) * 1992-02-27 1998-10-28 Samsung Electronics Co., Ltd. Cpu having pipelined instruction unit and effective address calculation unit with retained virtual address capability
US5434985A (en) * 1992-08-11 1995-07-18 International Business Machines Corporation Simultaneous prediction of multiple branches for superscalar processing
JP3644959B2 (en) * 1992-09-29 2005-05-11 セイコーエプソン株式会社 Microprocessor system
US6735685B1 (en) * 1992-09-29 2004-05-11 Seiko Epson Corporation System and method for handling load and/or store operations in a superscalar microprocessor
US5367703A (en) * 1993-01-08 1994-11-22 International Business Machines Corporation Method and system for enhanced branch history prediction accuracy in a superscalar processor system
US5577217A (en) * 1993-05-14 1996-11-19 Intel Corporation Method and apparatus for a branch target buffer with shared branch pattern tables for associated branch predictions
KR100310581B1 (en) * 1993-05-14 2001-12-17 피터 엔. 데트킨 Inference recording mechanism of branch target buffer
AU6701794A (en) * 1993-05-14 1994-12-12 Intel Corporation Speculative history mechanism in a branch target buffer
JP2596712B2 (en) * 1993-07-01 1997-04-02 インターナショナル・ビジネス・マシーンズ・コーポレイション System and method for managing execution of instructions, including adjacent branch instructions
EP0649084A1 (en) * 1993-10-18 1995-04-19 Cyrix Corporation Microprocessor branch processing
JP2801135B2 (en) * 1993-11-26 1998-09-21 富士通株式会社 Instruction reading method and instruction reading device for pipeline processor
US5574871A (en) * 1994-01-04 1996-11-12 Intel Corporation Method and apparatus for implementing a set-associative branch target buffer
US5740416A (en) * 1994-10-18 1998-04-14 Cyrix Corporation Branch processing unit with a far target cache accessed by indirection from the target cache
US5634119A (en) * 1995-01-06 1997-05-27 International Business Machines Corporation Computer processing unit employing a separate millicode branch history table
JP3494736B2 (en) * 1995-02-27 2004-02-09 株式会社ルネサステクノロジ Branch prediction system using branch destination buffer
US6185674B1 (en) 1995-04-05 2001-02-06 International Business Machines Corporation Method and apparatus for reconstructing the address of the next instruction to be completed in a pipelined processor
US5699536A (en) * 1995-04-13 1997-12-16 International Business Machines Corporation Computer processing system employing dynamic instruction formatting
US5649178A (en) * 1995-06-07 1997-07-15 International Business Machines, Corporation Apparatus and method for storing and initializing branch prediction with selective information transfer
US5905881A (en) * 1995-11-30 1999-05-18 Unisys Corporation Delayed state writes for an instruction processor
US5742805A (en) * 1996-02-15 1998-04-21 Fujitsu Ltd. Method and apparatus for a single history register based branch predictor in a superscalar microprocessor
US5794024A (en) * 1996-03-25 1998-08-11 International Business Machines Corporation Method and system for dynamically recovering a register-address-table upon occurrence of an interrupt or branch misprediction
EP0798632B1 (en) * 1996-03-25 2002-09-11 Sun Microsystems, Inc. Branch prediction method in a multi-level cache system
US5867699A (en) * 1996-07-25 1999-02-02 Unisys Corporation Instruction flow control for an instruction processor
US5875325A (en) * 1996-09-19 1999-02-23 International Business Machines Corporation Processor having reduced branch history table size through global branch history compression and method of branch prediction utilizing compressed global branch history
US5774710A (en) * 1996-09-19 1998-06-30 Advanced Micro Devices, Inc. Cache line branch prediction scheme that shares among sets of a set associative cache
US5954816A (en) * 1996-11-19 1999-09-21 Advanced Micro Devices, Inc. Branch selector prediction
US5978906A (en) * 1996-11-19 1999-11-02 Advanced Micro Devices, Inc. Branch selectors associated with byte ranges within an instruction cache for rapidly identifying branch predictions
US6253316B1 (en) 1996-11-19 2001-06-26 Advanced Micro Devices, Inc. Three state branch history using one bit in a branch prediction mechanism
US5995749A (en) 1996-11-19 1999-11-30 Advanced Micro Devices, Inc. Branch prediction mechanism employing branch selectors to select a branch prediction
US5838962A (en) * 1997-04-09 1998-11-17 Hewlett-Packard Company Interrupt driven dynamic adjustment of branch predictions
US5956495A (en) * 1997-09-22 1999-09-21 International Business Machines Corporation Method and system for processing branch instructions during emulation in a data processing system
US6108774A (en) * 1997-12-19 2000-08-22 Advanced Micro Devices, Inc. Branch prediction with added selector bits to increase branch prediction capacity and flexibility with minimal added bits
US6289441B1 (en) * 1998-01-09 2001-09-11 Sun Microsystems, Inc. Method and apparatus for performing multiple branch predictions per cycle
US6314493B1 (en) * 1998-02-03 2001-11-06 International Business Machines Corporation Branch history cache
US6189091B1 (en) 1998-12-02 2001-02-13 Ip First, L.L.C. Apparatus and method for speculatively updating global history and restoring same on branch misprediction detection
US6499101B1 (en) 1999-03-18 2002-12-24 I.P. First L.L.C. Static branch prediction mechanism for conditional branch instructions
US6912650B2 (en) * 2000-03-21 2005-06-28 Fujitsu Limited Pre-prefetching target of following branch instruction based on past history
US6546481B1 (en) 1999-11-05 2003-04-08 Ip - First Llc Split history tables for branch prediction
US6502188B1 (en) 1999-11-16 2002-12-31 Advanced Micro Devices, Inc. Dynamic classification of conditional branches in global history branch prediction
US7493480B2 (en) * 2002-07-18 2009-02-17 International Business Machines Corporation Method and apparatus for prefetching branch history information
US7337271B2 (en) * 2003-12-01 2008-02-26 International Business Machines Corporation Context look ahead storage structures
JP2006048132A (en) * 2004-07-30 2006-02-16 Fujitsu Ltd Branching prediction device, control method of the branching prediction device, and information processing device
US7461209B2 (en) 2005-12-06 2008-12-02 International Business Machines Corporation Transient cache storage with discard function for disposable data
US20080088619A1 (en) * 2006-10-17 2008-04-17 Robert Allen Shearer Branch Prediction for Acceleration Data Structure Traversal
EP2243098A2 (en) * 2008-02-11 2010-10-27 Nxp B.V. Method of program obfuscation and processing device for executing obfuscated programs
US8131982B2 (en) * 2008-06-13 2012-03-06 International Business Machines Corporation Branch prediction instructions having mask values involving unloading and loading branch history data
US10338923B2 (en) * 2009-05-05 2019-07-02 International Business Machines Corporation Branch prediction path wrong guess instruction
US8521999B2 (en) * 2010-03-11 2013-08-27 International Business Machines Corporation Executing touchBHT instruction to pre-fetch information to prediction mechanism for branch with taken history
US9032191B2 (en) 2012-01-23 2015-05-12 International Business Machines Corporation Virtualization support for branch prediction logic enable / disable at hypervisor and guest operating system levels
US8935694B2 (en) 2012-01-23 2015-01-13 International Business Machines Corporation System and method for selectively saving and restoring state of branch prediction logic through separate hypervisor-mode and guest-mode and/or user-mode instructions
US9146739B2 (en) * 2012-06-14 2015-09-29 International Business Machines Corporation Branch prediction preloading
US20140025894A1 (en) * 2012-07-18 2014-01-23 Electronics And Telecommunications Research Institute Processor using branch instruction execution cache and method of operating the same
US9507597B2 (en) 2013-06-10 2016-11-29 Via Alliance Semiconductor Co., Ltd. Selective accumulation and use of predicting unit history
US9891918B2 (en) 2014-01-27 2018-02-13 Via Alliance Semiconductor Co., Ltd. Fractional use of prediction history storage for operating system routines
US20150268961A1 (en) * 2014-03-21 2015-09-24 Samsung Electronics Co., Ltd. Decoupling l2 btb from l2 cache to accelerate search for miss after miss

Family Cites Families (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4453230A (en) * 1977-12-29 1984-06-05 Tokyo Shibaura Electric Co., Ltd. Address conversion system
US4370711A (en) * 1980-10-21 1983-01-25 Control Data Corporation Branch predictor using random access memory
US4477872A (en) * 1982-01-15 1984-10-16 International Business Machines Corporation Decode history table for conditional branch instructions

Also Published As

Publication number Publication date
EP0199947A3 (en) 1988-12-21
EP0199947B1 (en) 1991-12-04
JPH0318211B2 (en) 1991-03-12
DE3682700D1 (en) 1992-01-16
US4679141A (en) 1987-07-07
EP0199947A2 (en) 1986-11-05
JPS61250738A (en) 1986-11-07

Similar Documents

Publication Publication Date Title
CA1244554A (en) Pageable branch history table
EP0106667B1 (en) Central processing unit
US4725947A (en) Data processor with a branch target instruction storage
US4764861A (en) Instruction fpefetching device with prediction of a branch destination for each branch count instruction
EP0795820B1 (en) Combined prefetch buffer and instructions cache memory system and method for providing instructions to a central processing unit utilizing said system.
EP0118828B1 (en) Instruction fetch apparatus and method of operating same
CA1268555A (en) Branch stream coprocessor
EP0106671B1 (en) Prefetching instructions in computer
US5694568A (en) Prefetch system applicable to complex memory access schemes
US5941981A (en) System for using a data history table to select among multiple data prefetch algorithms
US7493480B2 (en) Method and apparatus for prefetching branch history information
US5142631A (en) System for queuing individual read or write mask and generating respective composite mask for controlling access to general purpose register
US5297281A (en) Multiple sequence processor system
US5446850A (en) Cross-cache-line compounding algorithm for scism processors
US7219185B2 (en) Apparatus and method for selecting instructions for execution based on bank prediction of a multi-bank cache
US5423011A (en) Apparatus for initializing branch prediction information
EP0106668A2 (en) Computer system with multiple operating systems
US5784711A (en) Data cache prefetching under control of instruction cache
EP0818004A1 (en) System and method using address prediction and cache replacement scheme with forced lru status
US5794027A (en) Method and apparatus for managing the execution of instructons with proximate successive branches in a cache-based data processing system
US5434986A (en) Interdependency control of pipelined instruction processor using comparing result of two index registers of skip instruction and next sequential instruction
US6978361B2 (en) Effectively infinite branch prediction table mechanism
US6711671B1 (en) Non-speculative instruction fetch in speculative processing
JP2542565B2 (en) Branch predictive control method
JPH0651982A (en) Arithmetic processing unit

Legal Events

Date Code Title Description
MKEX Expiry