CA1305261C - Topographic pattern delineated power mosfet with profile tailored processed source - Google Patents

Topographic pattern delineated power mosfet with profile tailored processed source

Info

Publication number
CA1305261C
CA1305261C CA000597410A CA597410A CA1305261C CA 1305261 C CA1305261 C CA 1305261C CA 000597410 A CA000597410 A CA 000597410A CA 597410 A CA597410 A CA 597410A CA 1305261 C CA1305261 C CA 1305261C
Authority
CA
Canada
Prior art keywords
trench
substrate
source
layer
dopant
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Lifetime
Application number
CA000597410A
Other languages
French (fr)
Inventor
Theodore O. Meyer
John W. Ii Mosier
Douglas A. Pike, Jr.
Dah W. Tsang
Theodore G. Hollinger
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Microsemi Corp Power Products Group
Original Assignee
Advanced Power Technology Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Advanced Power Technology Inc filed Critical Advanced Power Technology Inc
Priority to CA000616369A priority Critical patent/CA1326567C/en
Priority to CA000616370A priority patent/CA1326568C/en
Application granted granted Critical
Publication of CA1305261C publication Critical patent/CA1305261C/en
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7801DMOS transistors, i.e. MISFETs with a channel accommodating body or base region adjoining a drain drift region
    • H01L29/7802Vertical DMOS transistors, i.e. VDMOS transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/265Bombardment with radiation with high-energy radiation producing ion implantation
    • H01L21/266Bombardment with radiation with high-energy radiation producing ion implantation using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/417Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
    • H01L29/41725Source or drain electrodes for field effect devices
    • H01L29/41741Source or drain electrodes for field effect devices for vertical or pseudo-vertical devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/70Bipolar devices
    • H01L29/72Transistor-type devices, i.e. able to continuously respond to applied control signals
    • H01L29/739Transistor-type devices, i.e. able to continuously respond to applied control signals controlled by field-effect, e.g. bipolar static induction transistors [BSIT]
    • H01L29/7393Insulated gate bipolar mode transistors, i.e. IGBT; IGT; COMFET
    • H01L29/7395Vertical transistors, e.g. vertical IGBT
    • H01L29/7396Vertical transistors, e.g. vertical IGBT with a non planar surface, e.g. with a non planar gate or with a trench or recess or pillar in the surface of the emitter, base or collector region for improving current density or short circuiting the emitter and base regions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/417Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
    • H01L29/41725Source or drain electrodes for field effect devices
    • H01L29/41766Source or drain electrodes for field effect devices with at least part of the source or drain electrode having contact below the semiconductor surface, e.g. the source or drain electrode formed at least partially in a groove or with inclusions of conductor inside the semiconductor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate

Abstract

TOPOGRAPHIC PATTERN DELINEATED POWER MOSFET
WITH PROFILE TAILORED RECESSED SOURCE
ABSTRACT OF THE DISCLOSURE
A dopant-opaque layer of polysilicon is deposited on gate oxide on the upper substrate surface to serve as a pattern definer during fabrication of the device. It provides control over successive P and N doping steps used to create the necessary operative junctions within a silicon substrate and the conductive structures formed atop the substrate. A trench is formed in the upper silicon surface and a source conductive layer is deposited to electrically contact the source region as a gate conductive layer is deposited atop the gate oxide layer. The trench sidewall is profile tailored using a novel O2-SF6 plasma etch technique. An oxide sidewall spacer is formed on the sides of the pattern definer and gate oxide structures, before depositing the conductive material. A planarizing layer is applied and used as a mask for selectively removing any conductive material deposited atop the oxide spacer. The polysilicon layer on the oxide is reduced in thickness during trenching so that any conductive material deposited atop the spacers protrude upward for easy removal of excess, conductive material. The sidewall spacers can be sized, either alone or in combination with profile tailoring of the trench, to control source-region width (i.e., parasitic pinched base width) and proximity of the source conductor to the FET channel. Electrical contact between the source conductive layer and the source regions is enhanced by forming a low-resistivity layer between them.

Description

130S~

TOPOGRAPHIC PATTERN DELINEATED POWER MOSFET
WITH PROFILE_TAILORED RECESSED_SOURCE
BACKGROUND OF THE INVENTION
This invention relates to a method for producing power semiconductor devices, and more particularly, to such a power MOSFET fabrication process and structure which substantially eliminates the incidence of fatal defects in a power device as a consequence of defects in, and/or misalignment between, the layers used in the production of such devices.
In the prior art fabrication of transistor devices on silicon wafer~, such as power-MOS field-effect transistors, there have been significant problems in (1) obtaining an acceptably high yield of relatively large-current-capability transistors without (2) driving the cost of production to extremely high and unac~eptabIe levels. A major contributor to this problem has been that the best known prior art fabrication techniques for making power MOSFET devices typically employ five or more independent masking, diffusion and metallization steps, each offering a significant opportunity for the creation of a fatal error in a device. Generally speaking, the more steps, the greater the likelihood of fatal defects~ or conversely, the lower the yield of devices that operate within specifications. In high-current/high voltage power devices~ it is especially important to avoid designs and defects that can lead to current leakage, shorting, high on-resistance or a wide variation in performance characteristics among nominally similar devices.
One cause of these defects is misalignment occurring during successive masking steps. Defects can also occur in situations where one or more of the masks or layers may, individually, have localized defects.
Also, fatal defects can occur if airborne contaminants collect on a mask or a wafer. This possibility is also , 13~S261 aggravated by the plurality of masking steps now required.
Gate and source contacts have been conventionally separated using masking or multiple layer techniques.
S These steps require critical alignment and/or an intermetallic dielectric such as oxide, PSG, BPSG, BSG, or other material such as polyimide. This approach, though effective and traditional, is complex and lends itself to excessive yield loss and cumbersome processing techniques. Also, the device structures that result from these techniques have a tendency to increase certain undesirable parasitic effects.
These problems make it difficult economically, with any expectation of achieving an acceptably high yield, to manufacture relatively large, high-current-capability devices. Put another way, the larger the design of the device, the greater is the likelihood that it will contain a fatal defect. To date, an eaonomically practical size limit has been about 0.25 inches on each ~lde of a devi¢e. Accordingly, the tendency in the past has been to reduce the size of individual devices to increa~e the chance~ of a larger number of smaller device~ surviving defects. However, the~e smaller - device~, while emerging with an acceptable yield percentage, are capable only of handling relatively low-level currents, and thus low-power applications.
Accordlngly, they must be linked electrically in collections in some fashion in order to be able to handle relatively high-power applications.
;~ 30 Past efforts to improve the yield of larger-~urface-axea devices have primarily directed attention to performing the manufacturing ~teps in the cleanest possible environment, creating ma~ks under extremely expensive manufacturing conditions, and improving mask alignment by use of very sophisticated, precise alignment machines. These areas of attention are '~ ~

.. , ... , , . , ,, ~ . .. .. .
'' ' '"~

:

~3(~5Z61 extremely expensive, and, as a practical matter, make their use economically unattractive vis-a-vis the final market price which, as a consequence, must be attached to a finished device.
Accordingly, a need remains for a device structure and fabrication process that can produce high voltage solid-state power switches with increased yields in larger size to handle high current but without undesirable parasitic effects.
SUMMARY OF THE INVENTION
A general object of the present invention, therefore, is to provide a novel manufacturing procedure which is capable of reducing substantially the percentage likelihood that a fatal defect will occur in a final semiconductor device, even though that device may occupy the entire usable area (i.e., as a single device) on a substrate, such as a silicon wafer.
A related object is to provide such a procedure which offers a simple and very low-cost process enabling the production of high-yield, defect-free semiconductor device~ which are capable individually of handling strikingly larger currents than are now practical.
Another object is to provide a power MOSFET
structure and proce~s with effective yet ~imple gate-to-sour¢e contact isolation.
An additional object is to improve power MOSFET
device characteristics.
The key to the simplicity, effectiveness and cost advantage of the invention is that, according to one manner of practicing it, only a single, independent mask is required in the production of the usual plural, functional regions in a semiconductor device. According to another way of practicing the invention, no mask at all is required. A dopant-opaque region or layer, such as polysilicon on gate oxide on the upper surface of the substrate, serves as a pattern definer during . ~

~31;~SZ61 fabrication of the device and ultimately disappears.
The invention thereby offers excellent and simply-effected control over both the doping steps used to create the necessary operative junctions within a S silicon substrate and the conductive structures formed atop the substrate. This aspect of the invention is advantageously enhanced by spacing the source and gate conductive layers vertically apart at a boundary defined by the pattern definer. This is done, preferably, by 1~ forming a trench in which a source conductive layer is depo~ited in electrical contact with a doped source region o the substrate at the same time that a gate conductive layer is deposited atop a gate oxide layer.
The trench is formed in an exposed portion of the upper surface of the substrate bounded by the pattern definer and gate oxide. In one embodiment, the trench sidewall $8 profile tailored to produce an overhang of the gate oxide which further enhances separation of the source and gate conductive layers.
Separation of gate and source conductive layers can be further assured by forming an oxide spacer on the ~ides of the pattern definer and gate oxide structure~, depositing the conductive material, applying a planarizing layer and selectively removing any conductive material deposited atop the oxide spacer.
The polysilicon layer is reduced in thickness 80 that the spacers, and any conductive material deposited atop them, protrude upward for easy removal of excess, conductive material. This reduction can be done advantageously in combination with the aforementioned trenching procedure.
The sidewall spacers can be sized, either alone or in combination with profile tailoring of the trench, to control source-region width (i.e., parasitic pinched base width) and proximity of the source conductor to the FET Channel, which affects source resistance. The ' , , , . .

``` 13~5261 transistor body and source regions are preferably formed by doping the exposed silicon to different depths with opposite dopant-type diffusions prior to forming the trench. The depth of the trench is gauged to separate the shallower, second doped region into two source regions located along opposite sidewalls of the trench.
This sequence has two advantages. First, the location and spacings of the PN junctions are subject only to two variables, that is the diffusions themselves, and not to a third variable of the trenching procedure. This enables tight control of Vth. Second, most defects introduced in the semiconductor surface material due to ion implantation or gaseous diffusion on the dopants are removed by subsequent trenching, thus reducing leakage current, due to downward propagation of the defects in a subsequent diffusion. A third diffusion of the same dopant type as the first diffusion can be co-diffused into the substrate after forming the trench to limit the downward extent of diffusion of the source regions and increase parasitic bipolar base conductivity below the source metallization and the source regions. This improves reverse-bias avalanche characteristics of the device.
Electrical contact between the source conductive layer and the ~ource regions, which can be spaced apart by either the spacers or by recessed trench sidewalls, is enhanced by forming a low-resistivity layer between them. This can be done either by dopant diffusion, ~elective deposition of metal such as tungsten, or silicide formation in the exposed silicon in the trench.
- The foregoing and additional ob~ects, features, and advantage~ of the pre~ent invention will be more readily apparent from the following detailed description of a preferred embodiment which proceeds with reference to the accompanying drawings.
,, :
... . ...
, .,--"` 13C5261 sRIEF DESCRIPTION OF THE DRAWINGS
FIG. 1 is a simplified plan view, with a small portion broken away, illustrating a power MOS field-effect transistor (semiconductor device) manufactured in accordance with the steps of the present invention.
FIG. 2 is an enlarged, fragmentary, perspective view illustrating a section of the device of FIG. 1 taken generally along the line 2-2 in FIG. 1.
FIGS. 3-13D and 14-16D, inclusive, are enlarged, fragmentary views, taken generally in the area bracketed by the letters A-A in FIG. 2, illustrating successive steps according to one manner of practicing the invention.
FIGS. 13E-13L show alternative examples of the multiple step processing method shown in FIG. 13C.
FIG. 17 is a view, similar FIG. 9, which illustrates an alternative manner of practicing the invention.
FIGS. 18-20A, inclusive, are views similar to FIGS.
13C, 14 and 15 of a second alternative embodiment.
FIG. 21 iB a view similar to FIGS. 13C and 18, showing a third embodiment.
DETA~LED DESCRIPTION OF THE INVENTION
Turning now to the drawing~, and directing attention flr~t of all to FIGS. 1 and 2, indicated generally at 10 is an N-ahannel, power-MOS field-effect transi~tor (semiconductor device) which has been manufactured on a silicon substrate 11 according to the present invention. Transistor 10 is like prior art devices in that it includes a gate 12, a drain 14 and a source ~tructure 16. The gate and source structure are formed on an upper, or reference, surface of the substrate, the drain iq formed in the bulk of the substrate. In the particular transistor embodiment shown in these two figures, gate 12 includes three "finger~" 12a, 12b, 12c, arranged in an open topography.

13C~5Z61 Similarly, the source structure includes four fingers 16a~ 16b, 16c, 16d between and surrounding the fingers of gate 12.
In the description which now immediately follows, certain dimensions are given. These dimensions are specific to a transistor designed to act as a 500-volt switch.
Looking particularly at FIG. 2 for a moment, one can clearly see the various layers and functional regions which make up transistor 10. More specifically, in substrate 11 there is a base N+ doped layer 18, and an N- doped epitaxial layer 20, which collectively form drain 14. A P- doped region 22 underlying each of fingers 16a-16d forms the so called "body" in the transistor, and residing therein is an N+ doped region 24 which forms the source in the transistor extending continuously along a side of each of fingers 16a-16d adjacent the gate fingers 12a-12c. A region 25 of the N-substrate extends to the substrate surface beneath the gate finger~ 12a-12c and provides a drain conduction path in between regions 24.
Immediately above the regions 22, 24, at the upper surface of the substrate, are a gate-oxide layer (SiO2) 26 also referred to as a MOS outer layer, and two metallization layers 28, 30. ~hese two metal layers are typlcally formed, and herein are formed, of aluminum, although other material such as tungsten may also be u~ed. Layer 28 acts as an electrical contact for the source extending along the ~ides thereof adjacent, and layer 30 forms previously mentioned gate 12. In operation, when transistor 10 is switched on, current flows from the source regions 24 to drain regions 25 via an inversion layer, or N-type channel~ formed in a near-surface portion of the normally P-type regions 22 subjacent the gate fingers 12a-12c as a result of an electria field induced by biasing gate 12.

~.3C~5261 ~ ith attention now drawn to FIGS. 3-16, inclusive, let us consider how transistor 10 was formed in accordance with one manner of practicing the invention.
Layers 18, 20 are provided in the usual commercially available silicon wafer, and may be thought of herein as forming the "starting material" for the manufacture of transistor 10. Layers 18, 20, make up what is referred to herein as a substrate structure 11.
In the particular structure now being described, layer 1~ has a thickness of about 15-mils and a resistivity of about 0.007-0.02 ohm-centimeters. Epitaxial layer 20 has a thickness herein of about 36-44 microns, and a resistivity of about 14-22 ohm-centimeters. Gate-oxide layer 26 has typical thickness herein of about 2400 Angstroms but can vary in a range of 1000-2500 Angstroms. The oxide layer conventionally thermally grown as an initial processing procedure through oxidation in a diffusion furnace at a temperature of around 1000 C - 1100 C. Layer 26 is referred to as an oxide layer.
FIG. 4 illustrates the preparation of a new layer 32 which overlie~ layer 26. Layer 32 herein is a dopant-opa~ue protective layer, preferably formed of polysilicon by conventional chemical vapor deposition with a thickness in a range of roughly 7000-27,000 Angstroms and preferably 20,000 Angstroms. This layer, which ultimately disappears in this embodiment but not in another, as will be explained, plays a significant role both in avoiding multiple masking steps, and in controlling proper doping to create the desired junctions. There are several considerations which relate to the selection of the material to be used for layer 32. More specifically, the material in this layer must be etchable in a medium which is different from that in which layer 26 is etchable. Further, layer 32 must be capable of withstanding (i.e., retaining 13~S261 structural integrity) the high temperatures which characterize conventional diffusion steps. Finally, layer 32 must be impenetrable (100% non-penetration) by the implantation of doping substances which are used to form previously mentioned regions 22, 24. Materials other than polysilicon that may be used for this layer include silicon nitride, refractory-metal silicide, and doped oxides.
A negative photoresist layer 34 is next formed over layer 32 (see FIG. 5) by any conventional pinhole-free technique. A positive photoresist could also be used to form this layer.
According to the manner of practicing the invention now being described, only a single masking step, involving a single, independent mask, is required. This is a key factor minimizing the likelihood that any fatal patterning defect will occur during subsequent processing steps. Put another way, and as will become apparent, any such defect that could occur in subsequent steps will result, with very few exception~, from the existence of an internal defect in the mask used per se.
If such a defect turns up, a new defect-free mask can ea~ily be prepared.
So, turning to FIG. 6, the single, independent mask which is employed herein during the photolithography eteps is shown generally at 36. As will be understood by those skilled in the art, the pattern which will ultimately result in transistor 10 is suitably created in mask 36, and in FIG. 6, mask 36 can be seen to inalude light-transparent areas, such as area 36a, and light-opaque area~, such a~ area 36b.
; The aseembly illustrated in FIG. 6 is expo~ed to light during a typical photolithography step, and as a consequence, the photoresist layer 34 ends up with regions exposed ~outside the dashed lines) and regions not exposed (inside the dashed lines) to light~

~3(~5Z6~

FIG. 7 illustrates the next step, wherein photoresist layer 34, and specifically those regions which have not been exposed to light, is removed conventionally by a suitable photoresist developer.
Such a removed or exposed region is shown generally at 38.
FIG. 8 illustrates the next subsequent step, wherein the polysilicon layer is now etched, by any commercial etching technique, to transfer the image previously created in photoresist layer 34 into layer 32. Accordingly, a matching image for exposed region 38 is created in layer 32, and such is indicated generally as a region at 40. Region 40 is referred to herein as a mask-surrogate pattern-definer, and more specifically as a first mask-surrogate-pattern-definer. This term is used to point out an important operational feature of the invention, namely that through the creation of such a pattern-definer in the structure itself, one completely eliminates the need for the use of any independent mask other than mask 36 to fabricate transistor 10. This is an important contribution to the creation of a device free from masking-related defects, in that such a defect can no lônger develop in the structure through the subsequent processing steps.
The pattern-definer thus formed has a defined outline characteristic (edge configuration). As will become apparent in the description which continues, this outline per se is used as the only necessary self-alignment masking agency in manufacturing steps that ollow.
Photore~ist layer 34 is now removed by any convenient conventional technique, and this is illustrated in FIG. 9. The process next proceeds into what are referred to generally herein as doping steps.
FIG~ 10 illustrates a first implant step, which takes the form of a boron implant that will result, as .

13~5Z6~

will be explained, in previously mentioned doped region 22. The boron implant step is performed in a conventional implanter at an energy level of about 60-160-KEV to produce an implant density in layer 20 of typically 5 x 1013 to 2 x 1014-atoms-per-square-centimeter. Dashed line 42 illustrates peak gradient density of dopant implanted into layer 20 by this step, and this implant extends to a depth of about 0.27-0.5 microns. As can be seen, dashed line 42 shows that the implant extends laterally a short distance beyond the boundaries of pattern-definer 40. This results from the action of the gate-oxide layer which functions as a dispersion medium.
A dashed line shown at 44 illustrates that there is ~lmilar penetration of boron into polysilicon layer 32, but not a complete penetration of this layer because of its thickness. This effective opacity of layer 32 to boron penetration functions, importantly, to achieve properly controlled doping in this step.
While boron has been describsd herein as the first implant substance, other P- type dopants that could be used to perform the same function include bismuth and aluminum.
FIG, 11 illustrate~ a first diffusion step, which is performed in a conventional diffusion furnace at a typical temperature of about 1150 C for about 3-8 hour~. Here, as can be seen, what results is a diffusion of implant 44 to form the beginning of previously described P- region 22. Typically, this aiffused region has a depth, ~hown at 46 in FIG. 11, of about 3-6 microns. This region extend~ laterally beyond the margins of pattern-definer 40 by a dimension, shown generally at 48, which is about 60-80% of dlmension 46.
~; FIG. 12 illustrates the next step in the procedure, wherein gate oxide layer 26 is etched by any suitable commercial etching technique to transfer into layer 26 a , ,', l .~, .

_ .. .. ..... ... ...
'' ' ' ï3C~5261 matching pattern (image of first pattern-definer 40).
Thus, there results an opening 49 in layer 26 which matches (in outline) region 40, which can be referred to as a second mask-surrogate pattern-definer. As discussed below, this step may be omitted under certain conditions.
FIG. 13 illustrates a second implant step which is performed herein using phosphorus. This step, as was true of the first implant step, takes place in a conventional implanter at an energy level of about 40 to 160-KEV to produce a final implant density of typically l x 10 5 to l x 10 6-atoms-per-square-centimeter. The peak density of the implant which results in region 20 is indicated by dashed line 50, and this implant extends to a depth, indicated at 52, of about 0.2 microns. It should be noted that, as is indicated by dashed line 50, the implant just performed is contained completely within P-type diffused region 22, and extends laterally slightly beyond the boundaries of pattern-definer 40.
The upper dashed line 51 indicates slight penetration of phosphorus into layer 32, nowhere complete penetration.
Thus, here too, dopant protection layer 32 functions significantly, as mentioned in connection with the boron doping implant step, to control and effect a proper final doped re~ult.
As one skilled in the art will appreciate, alternative procedures may be concocted to effect the introduction of phosphorus into silicon without the creation of the second mask surrogate pattern definer, such as by increasing the implant energy to penetrate layer 26 without first etching it away. Nonetheless, the first described procedure involving the forming of opening 49 is preferred.
While phosphorus has been u~ed specifiaally herein to perform this implant, other N-type materials that could be used include arsenic and antimony. One such alternative is discussed below with reference to FIGS.
18-20A.
In a later step (See FIG. 14), the phosphorus implant is diffused to form what will ultimately become the N~ type source regions 24. First, however, several steps are performed to topologically define what will ultimately form the source and gate contact structures and isolation thereof. These steps are shown in FIGS
13A-13D, as next described.
FIG. 13A illustrates layer 61 deposited on top of layers 32, 26 and 22. Layer 61 can be, but is not restricted to, chemical-vapor-deposited silicon dioxide (preferred), silicon nitride, or oxynitride. This layer may also be thermally grown SiO2, or include an initial thin thermal oxide layer. A wide range of thicknesses can be used for layer 61. For the discussion to follow, a thickness of 1,000 Angstroms to 1 ~m can be used. An alternative approach, shown in FIGS 18-20A, uses a thinner sidewall 61a, e.g., 1000-2000 Angstroms.
In FIG. 13B, layer 61 has been anisotropically ~,~ etched by a commercially-available method, such as reactive ion etch, to expose the ~ilicon substrate surface while leaving a substantial amount of layer 61, ; ~hown as sidewalls 62, on the vertical side of layers 32 and 26. Sidewalls 62 will later protect marginal portions of the implant 50 from removal in later steps.
Sidewall~ 62 will also enhance the isolation of the aforementioned gate 12 and source 16 in later steps and so may be referred to alternatively as spacers 62.
FIG. 13C illu~trates that the exposed silicon substrate is etched between areas 62 by a profile controlled method to form a recess or trench 63. The depth of this etch is controlled so that the trench extends down and through implant 50. The preferred stair~tep profile of trench 63, with an overhang 64, is ~hown in PIG. 13C. (Alternative proflles obtainable by ", ~

:, :~
: , i - 13~5261 variation of the profile controlled method are shown in FIGS. 13E-13L and described below.) This overhang enhances the separation of contacts 12 and 16. This preferred embodiment is achieved by etching on a parallel plate plasma reactor which is bottom powered, such as a TEGAL 701 or LAM 790. The profile is preferably produced by a novel multiple step processing method. In the case of the preferred, stairstep embodiment, an isotropic etch of 26-75 sccm (standard cubic centimeters per minute) Sulfur hexafluoride and 20-56 sccm Helium at .7 - 1.1 Torr and 100-250 watts is used to create the overhang 64 with a vertical-to-lateral etching selectivity of less than 3:1. A second anisotropic step is then performed, adding oxygen at 5.0 to 15.0 sccm, at the same power and pressure to continue the trench deeper and with substantially vertical sides 65 aligned with respect to the spacers 62. This etch is preferably performed with a selectivity of mare than 10:1. Layer 32 i8 reduced in thickness during this operation, however, it is not essential that layer 32 be reduced in thickness.
FIG. 13D lllustrates a second implant of boron (or similar P-type dopant) to form implanted region 66 at a concentration of 5 x E14 to 2 x E16 ions/cm2 at 30K to 160 XeV to a depth of 0.1 to 0.4 microns in the bottom of trench portion 63. The implant scatters along the margins of region 66 under the trench sidewalls 65. P-type region 66 ha~ the purpose of limiting the depth of diffusion of N-type implant 50 during subsequent aiffusion steps, resulting in substantially decreased parasitic bipolar transistor gain as discussed in the context of FIG. 14.
FIGS. 13E and 13L show various alternative profiles of trench 63 obtainable with the above described multiple step, processing by changing the step sequence and varying the gas f 10WB relative to those discussed in ., ,, ~..

~ ,:

. ~

13~S261 connection with FIG. 13C.
In Table 1, the first column shows the range of ratios of sidewall-passivating/etching gas that are used in producing the various profiles. The second column shows the selectivity ratio produced by each ratio in the first column, under the temperature and pressure conditions described above for the trenching step of - FIG. 13C.
Table 1 1002/S 6 Vertical/Lateral Btch Rate <.05 2.3:1 .1 2.8:1 .2 4.1:1 .3 5.5:1 15 .4 7.1:1 .5 9.5:1 .6 12.0:1 .7 20.0:1 FIG. 13E shows a substantially vertical-sidewall 65a in a trench etched entirely as mentioned in connection with the etching of the lower portion of trench 63 in FIG. 13C. FIG. 13F shows a sidewall 65b that i~ undercut at an angle as a result of progre~ively reducing the oxygen ratio (e.g., from .3 to .05) during the etch of FIG 13E, gradually shifting from anisotropic to isotropic etching. FIG. 13G shows a sidewall 65c that i~ inclined inward as a result of increasing the oxygen ratio of the FIG. 13E etch, e.g., rom .3 to .7. FIG. 13H illustrates a variation of the preferred profile (FIG.13C), with a sidewall 65d that is first undercut and then inclined inward by isotropic etching for a set period followed by increasing the oxygen ratio gradually as in FIG. 13G. FIG. 13I shows a stairstep sidewall 65e resulting from a two-etch anisotropic etch which would have an oxide etch done isotropically between plasma trench etching. FIG. 13J

. ~ .....

13(?526~

illustrates a combination vertical-undercut sidewall 65f produced by an anisotropic etch followed by a reduced oxygen ratio etch. FIG. 13K illustrates a sidewall 65g produced by three step etch. Thi~ is a trench etched as the preferred embodiment (FIG. 13C) followed by a substantially reduced oxygen ratio etch. FIG. 13L
illustrates a recessed, vertical sidewall 65h that results from the reversal of the etch steps of the preferred embodiment (i.e., anisotropic etch followed by the isotropic etch). All of the foregoing variations of trench profile can be obtained regardless of silicon crystal orientation.
FIG. 14 illustrates the second and final diffusion step which is conducted to create transistor 10. This diffusion also takes place in a diffusion furnace, typically at a temperature of 1000 C - 1100 C for about 1-10 hours. What results, completely within the region indicated at 22, is the completion of the diffusion of implant 50 which will result in previously-described N-type source region 24 and an increased P-type concentration of region 22 shown as area 67.
Region 24 has a lateral-extension dimension, shown at 56, ~extension beyond the boundarie~ of pattern-definer 40).
Implant 66 has diffused to form region 67. Region 67 ha~ a depth dimension, ~hown at 68, of 1-4 microns and a lateral-extension dimen~ion, shown at 69, of about 60-85% of dimension 68. Reference numeral 70 shows the limitation o diffusion depth of N-type region 24 by the interaction of the diffu~ion of ~-type region 67. Co-diffu~ion of implants 50 and 66 re~ult in this interaction. Separate diffusions can be performed or partial diffusion of implant 50 followed by implantation of implant 66 and a final diffusion can also be done.
Diffused region 67 limits the diffu~ion depth of implant 50, as it diffuses to form qource region 24. It 13~5261 also increases the dopant concentration in region 22, thus increasing the device's capability to withstand substantially greater current during reverse bias conditions than a device without this implant. This increased reverse bias current, commonly encountered during avalanche-energy testing or inductive load switching, is a direct result of the decreased bipolar transistor gain due to use of the presently-disclosed procedure to form the parasitic NPN bipolar transistor formed by N-type region 24, P-type regions 22, 67 and N-type layer 20. This decreased gain is caused by an increased P-type base width between N-type regions 20 and 24 and increased base doping due to diffusion 67.
If an oxidizing diffu~ing cycle is used, then, as a consequence of this cecond diffu~ion step, a thin oxide film 51 (shown in dashed line) is grown on the exposed ~ilicon ~urface of layer 20 within trench 63. At this point in the procedure, this oxide is ea~ily removed by any suitable conventional oxide etching technique.
F~G. 14A illustrate~ the optional but preferred introduction of phosphorus region 71 to the expo~ed sili¢on surface of region~ 24 and 67 to enhance contact to region 24. Introduction of dopant region 71 may be done by a number of conventional method~ known to one ~killed in the art. This doping step preferably is performed in a diffusion furnace, typically at a temperature of 750 to 1150 C for 10 to 120 minutes.
The source of this phosphorus may be from solid, liquid, or gaseous source or, depending on sidewall profile, may also be implanted. Other dopants such as ar~enic or antimony may be substituted for phosphorus. This step is controlled to produce a shallow-diffusion ohmic contact, for example, a concentration of more than 102 ions/cm3 and a depth of about 0.5 ~m.
FIG. 15 illustrates a subsequent ~tep in which silicon in the upper portion of layer 20 within trench '~ ~

:
, `' ' ` ' ' , . ..
' `
.

., .

13~526~

63 is further etched to produce the deepened trench shown generally at 60. The etch just referred to is performed anisotropically using the latter portion of the plasma trench process used in FIG. 13C.
It is important that the etch be controlled to assure that the depth of trench 60 extends completely through the N-type diffused contact region 71, but only partially through the diffused P-type region 67. It is also important that the etching thus performed creates a sufficiently upright wall 65 in trench 60 to positively assure electrical separation, during a later processing step, of the conductive layers 28, 30.
FIG. 15 also demonstrates yet another consequence of the trench etch--namely, the complete or near-complete removal of polysilicon layer 32. This leads to the transistor 10 eventually having either a metal gate or silicon gate, respectively, separated from the trench laterally by spacers 62 as well as vertically by the trench.
FIG. 16 illustrates the first step in the metallization, or conductive-material deposition, procedure of the invention. In this step, a conductive material, ~uch as aluminum, is deposited to create source contact layer 28 and gate contact layer 30. This step i~ preferably conducted by a line-of-sight depo~ition technique, such as cold evaporation or sputtering, so that the conductive material forms layer 28 in electrical isolation from contact layer 30, but in contact with source region 24 either directly or through source contact region 71.
The profile-tailored trench etch, described above with reference to FIG. 13C, in conjunction with line-of-~ight deposition of the conductive layers, helps to assure both electrical separation of layers 28, 30 and contact between layer 30 and source region 24. Overhang 64 tends to shield a portion of the exposed silicon , . ,., ~-- . .

13~5261 trench sidewall immediately under the spacers and thereby enhances separation from conductive layer 30.
At the same time, diffusion 71 assures electrical contact between conductive layer 28 and source region S 24.
The foregoing step could also be carried out by the deposition of a refractory metal such as tungsten or metal-silicide, by selective vapor deposition or electroplating, with appropriate measures to assure isolation, as next described.
Whatever deposition technique is used will tend to produce a conductive artifact 74 atop sidewalls 62.
This artifact can extend downward along the sidewalls, possibly connecting layers 28, 30, and 80 shauld be removed. FIGS. 16A-16D illustrate a technique that enhances the isolation of layers 28 and 30 shown in FIG.
16. This technique makes it possible initially to apply continuous conductive films that may initially connect layers 28 and 30~and then separate them electrically.
FIG. 16A illustrate~ the application of a layer 72 on top of areas 30 and 28. This layer may be a resin ~uch as photoresist or any number of other compounds ~uch as polyimide or spin-on glass. Layer 72 is applied so that it tends to planarize the surface such that the region 73 over artifact 72 is substantially thinner than ; the areas over 28 or 30. This layer may be appliedu~ing spin, spray, or roll-on techniques familiar to one ~; skilled in the art to give the preferred coating.
FIG. 16B illustrates the appearance of layer 72 - 30 after it~ thickness has been substantially reduced to ; expose artifact 74. This reduction can be done by conventional techniques familiar to one skilled in the art, such as pla~ma etching, ion milling, reactive ion etching, or wet chemical etching. The underlying layers 28 and 30 remain covered and thus unetched. This step is unique due to the fact that pattern delineation of ,. ~, , `,, , :
, , .
".:

, :
.~, .
,"' ' ' , .
' 13~S261 surrogate pattern 40 as modified by spacers 62 is reproduced topographically without conventional methods of lithography or is suhstantially "self-aligning."
FIG. 16C illustrates the next step, in which artifacts 74 have been etched away. Any metal extending downward along the sidewalls can be removed by continuing the etch. The possibility of a connection between layers 28 and 30 is thus substantially reduced.
FIG. 16D illustrates the device 10 after layer 72 has been removed. This may be done by any conventional means. If layer 72 is a material that can remain on the device surface, such as glass, removal is not necessary.
It should be obvious now to those skilled in the art that, according to this manner of practicing the invention, a single, independent mask can be used to create, a defined-outline mask-surrogate pattern definer in the structure itself to establish multiple functional features. This capability is illustrated by layers 28 and 30 being non-contacting, which substantially eliminate~ the po~ibility of a fatal defect occurring.
It i~ al50 shown by the manner in which the source and transi~tor body diffusions are formed, so as to minimize the effect~ of the parasitic bipolar transi~tor.
Further, it places the conductive source layer electrically close to the channel under the gate so that source re~istance is ~mall. Another way to look at this i~ that, once the independent masking step is performed, all of the other critical step~--the two diffusions, the etching and the metallization--become ~self-aligning. H
This is a key contribution of the invention.
As mentioned above, there is a variation in the manner of providing the mask-~urrogate pattern definer, illustrated generally in FIG. 17. As an aid to under~tanding what is shown in FIG. 17, this figure should be related to previously-de~cribed FIG. 9.

.
, 13~5261 This first alternative manner of practicing the invention is one wherein no independent mask is used.
Rather, under computer control, what has been referred to earlier as mask-surrogate pattern-definer 40 is S removal-formed directly in layer 32, either by laser-beam impingement, or by ion-beam bombardment. Also, instead of using such a technique to remove material in the formation of a mask-surrogate pattern-definer, the same technique could be employed to deposit such a pattern-definer. Thereafter, all of the other steps described earlier are performed in the same respective manners.
In another embodiment of the present invention, a departure may be taken at the point of introducing source implant 50 as shown in FIG. 13. Instead of phosphorus, arsenic, a slow diffuser, can be chosen as the dopant and implanted in a conventional implanter at similar energy ranges and doses. In this alternative procedure of realizing the invention, an oxide layer 61a of a thickness between 1,000 to 2,000 angstroms is preferred at the stage shown in FIG. 13A, for reasons which will shortly become apparent. Paralleling the illu~tration given in FIGS. 13A and 13B for layers 61 and 62, the corresponding anisotropic oxide etch produces a sidewall 62a of 1,000 to 2,000 Angstroms thickness, as shown in FIG. 18.
In the subseguent silicon trenching step, a trench sidewall profile slightly different from that illu~trated in FIG. 13C is created. This profile is depicted in FIG. 18 and is produced by the technique described for FIG. 13E. Note that layer 32 is only partially removed. Partial removal can be assured either by depositing layer 32 to a thickness greater than the depth of trench 63 or by depositing the layer in two steps with an intervening thermal oxidation step to provide an oxide layer that limits etching to the ' , . ........ . .

13~S261 portion of layer 32 above this oxide layer (see Fig.
21). Note also that a silicon vertical trenchwall in alignment with 62a oxide sidewall has been shown. This silicon trench profile is identical to that depicted in FIG. 13E. Other profiles such as those of FIGS. 13F and 13J can also be used. The second boron implantation discussed in the first embodiment of the invention in conjunction with FIGS. 13D, 14 to 16 and corresponding implant 66 and diffusion 67 become optional in the current construction.
A short implant activation/diffusion cycle is next used to create diffused source region 24a from the arsenic implant 50. A temperature cycle of one to two hourc between 1,000 to 1,100C should be used to purposely limit lateral diffusion of region 24a under layers 32 and 26 to 0.2 to 0.5 microns. FIG. 18A
illustrates the different diffused regions at the end of this cycle.
A metal layer of substantial electrical conductivity, preferably 500 to 1,000 angstroms of tung~ten, is deposited by selective CVD deposition to form ohmic contacts 75, 76 in silicon trench 63 and on the polysilicon layer 32. This means of tungsten deposition preferentially metallizes the exposed silicon (new layer 75) and polysilicon (new layer 76) surface but not the oxide sidewalls 62a. Alternatively, contacts 75, 76 can be made by selective silicide formation. The resultant, naturally-isolated multiple functional regions are built upon the same characteristic outline of the pattern definer created in FIG. 9 without the use of any sub~equent photomasking.
It can be seen clearly now that the source region 24a of limited lateral diffusion under layers 32 and 26 reduces the base width of the parasitic bipolar transistor structure (regions 24a-22-25 collectively have an N -P -N characteristic bipolar arrangement).

~ , ' , .

This enhances the power handling capability of the device in reverse bias operation, accomplishing the same end result that layer 67 provides in the earlier discussions (FIGS. 14 to 16).
To carry the high current out of the silicon, additional metal has to be placed on top of the tungsten layer. This may be done by many methods including plating, evaporation and sputtering. If plating is utilized, such as electrolytic or electroless nickle and/or lead based plating, the new metal layer plates out preferentially on tungsten requiring no metal etching afterwards.
If sputtering or evaporation of aluminum is used, more steps are needed since these deposition techniques are typically not sufficiently selective. 9efore metal evaporation or sputtering, an inqulator layer 81 is deposited over thermal oxide 61a. This layer can be the same as layer 61 used in FIG. 13A. After a second anisotropic oxide etch, a thicker sidewall 82 is created next to the thinner 62a oxide sidewall, as illustrated in FIG. 20A. Metal deposition and patterning can proceed in the same manner as described with reference to FIGS. 16 and 16A-16D~
Yet another alternative involves a departure at the ~tages of FIG. 4 and FIGS. 13C or 18, with the result shown in FIG. 21. Instead of depositing a contiguous polysilicon layer 32 (FIG. 4), two successive polysilicon layers 32A, 32B, each of a thicknes3, e.g., of about 1 ~m., are depo~ited, with an intervening oxidation step. In the etching step (FIGS. 13C or 18) to form trench 63, the oxide layer 90 (e.g., 1000 angstrom~) ~erves as an etch stop to protect the first polysilicon layer 32A. Layer 32A is N-doped to a resistivity conventionally used in polysiliaon contacts.
` 35 Doping can be done before depo~iting layer 32B or after removing it, such as the step ~hown in FIG. 14A. Layer .. . . ..

1305~61 32A can serve as the gate conductive layer without metallization, although deposition of gate metal after removal of oxide layer 90 is preferred for high speed devices.
Accordingly, one should now see how the method proposed by the invention offers a dramatic improvement over the best-known prior art procedures. Mask-dependent, catastrophic errors or defects in a finally produced semiconductor device are obviated. Doping is precisely and effectively controlled to minimize parasitics. Conductive contacts for both the source and gate can be formed in one step and effectively isolated without separate masks. As a significant consequence, the entire usable area of a silicon wafer can be employed with assurance, even in the manufacture of a single, extremely large device, that it will be free from a mask-dependent failure.
In addition to the advantages discussed above which result from employment of the method of the present invention, there are certain others which are worth noting. By minimizing the number of masking steps required, manufacturing time and the number of required manufacturing personnel are reduced. A1BO~ 1egS
expensive processing equipment can be used than is now required. Additionally, by shrinking the overall processing time, this reduces the work-in-process inventory, and, of course, such is an important expense consideration. Employing the technique of the invention in the computer-controlled laser/ion beam applications, one aan design and generate a semiconductor device easily in an extremely short period of time.
Yet another advantage offered by the invention is that it eliminates the kind of defect~ which can re~ult from temperature and humidity changes that can occur in the working environment over the tlme required to complete multiple masking steps. The ma~k-surrogate :' 13~5261 pattern-definers which are created, built into the structure as they are, eliminate these possibilities.
Thu~, one shou~d see how the important objects of the invention, and the advantages claimed for it, are readily obtained. The teachings of the invention are not limited to a recessed-source power MOSFET. For example, they can be applied advantageously to a recessed-gate process and structure.
Having described and illustrated the principles of our invention in a preferred embodiment and variations thereof, it should be apparent to those skilled in the art that the invention may be modified in arrangement and detail without departing from such principles. We claim all modifications coming within the scope and spirit of the following claims.

,, .
,

Claims (54)

1. A method employing no more than one independent mask of producing a plural-functional-region MOS semiconductor device in a substrate structure including a gate oxide layer on an upper surface of a semiconductor substrate, said method comprising:
forming over the oxide layer a dopant protective layer, creating a mask-surrogate pattern-definer having a defined outline characteristic in such protective layer, exposing a portion of the upper surface of the substrate within a region bounded by the defined outline characteristic, performing first and second doping steps in the exposed portion of the upper surface of the substrate to form a first diffusion of a first dopant type extending to a first depth within said region and to a first lateral width determined by the defined outline characteristic and to form a second diffusion of a second dopant type of polarity opposite the first dopant type and extending to a second depth within said region and a second lateral width determined by the defined outline characteristic, the second depth and width being less than the first depth and width, respectively, so that the second diffusion is contained within the first diffusion, forming a trench in the exposed upper surface portion of the substrate, the trench having a base and sidewalls in which a lower substrate surface is exposed, the trench being formed to a trench depth less than the first diffusion depth and greater than the second diffusion depth and a trench width less than the second lateral width, so as to form separate source regions of the second diffusion along opposed sidewalls of the trench and to space the lower substrate surface of the base of the trench below the upper surface of the substrate, forming a gate conductive layer on the oxide layer and a source conductive layer on the base of the trench in contact with the lower substrate surface, the gate and source conductive layers each conforming to the defined outline characteristic and being spaced vertically apart by the spacing of the lower substrate surface on which the source conductive layer is deposited below the upper substrate surface portion on which the oxide layer is deposited, and the source conductive layer and trench sidewalls being mutually formed so that the source conductive layer electrically contacts the source regions along said sidewalls.
2. A method according to claim 1 in which the second diffusion is formed in two separate steps, including introducing the second dopant to the substrate prior to trenching and diffusing the second dopant after trenching.
3. A method according to claim 2 in which introducing the second dopant causes defects in the exposed upper surface portion of the substrate and the trenching substantially reduces said defects and the likelihood of defects occurring in a subsequent diffusion.
4. A method according to claim 1 including a third doping step, following forming the trench, to form a third diffusion of the first dopant type in the substrate in the base of the trench, the third diffusion limiting the extent of downward diffusion of the second dopant type and increasing the conductivity of the first dopant type beneath the trench and second diffusion.
5. A method according to claim 1 in which the second diffusion is formed in separate first and second substeps, including:
a first substep of introducing the second dopant to the substrate prior to trenching;
a third doping step, following forming the trench, to introduce additional dopant of the first type into the substrate in the base of the trench; and a second substep of codiffusing the additional dopant of the first type and the second dopant type after trenching, the diffusion of the additional dopant of the first type limiting the extent of downward diffusion of the second dopant type and increasing the conductivity of the first dopant type beneath the trench and a portion of the second diffusion.
6. A method according to claim 1 in which the trench sidewalls are profile-tailored such that a portion of the trench sidewalls is shielded from deposition of the conductive layers.
7. A method according to claim 6 in which the sidewalls are formed in a stairstep profile to provide a recess defining the shielded portion immediately subjacent an edge of the sidewall spacer and a step in the trench sidewall that is substantially aligned with the edge of the sidewall spacer.
8. A method according to claim 1 including forming a low-resistivity contact layer on at least the trench sidewalls and extending between the source region and the source conductive layer.
9. A method according to claim 8 in which the low resistivity contact layer is formed by diffusion of additional dopant of the second dopant type.
10. A method according to claim 8 in which the low resistivity contact layer is formed by selective deposition of one of a refractory metal and a metal silicide.
11. A method according to claim 10 including:
forming a sidewall spacer on each side of the mask-surrogate pattern definer and underlying gate oxide with a predetermined thickness in contact with a margin of the exposed upper surface portion of the substrate to define a lateral offset from said defined outline characteristic;
forming the trench in the exposed upper surface portion bounded by the sidewall space; and the gate and source conductive layers each conforming to the defined outline characteristic and being electrically separated along said sidewall spacer.
12. A method according to claim 11 in which:
the doping step includes first and second doping steps, performed prior to forming the trench, to form a first diffusion of a first dopant type extending to a first depth within said region and to a first lateral width determined by the defined outline characteristic and to form a second diffusion of a second dopant type of polarity opposite the first dopant type and extending to a second depth within said region and a second lateral width determined by the defined outline characteristic;
the second depth and width being less than the first depth and width, respectively, so that the second diffusion is contained within the first diffusion;
the trench being formed to a trench depth less than the first diffusion depth and greater than the second diffusion depth and a trench width less than the second lateral width, so as to form separate source regions of the second diffusion along opposed sidewalls of the trench; and the source conductive layer being formed so as to electrically contact the source regions along said sidewalls.
13. A method according to claim 12 in which the second diffusion is formed in two separate steps, including introducing the second dopant to the substrate prior to trenching and diffusing the second dopant after trenching.
14. A method according to claim 13 in which introducing the second dopant causes defects in the exposed upper surface portion of the substrate and the trenching substantially reduces said defects and the likelihood of defects occurring in a subsequent diffusion.
15. A method according to claim 12 including a third doping step, following forming the trench, to form a third diffusion of the first dopant type in the substrate in the base of the trench, the third diffusion limiting the extent of downward diffusion of the second dopant type and increasing the concentration of the first dopant type beneath the trench and a portion of the second diffusion.
16. A method according to claim 12 in which the second diffusion is formed in separate first and second substeps, including:
a first substep of introducing the second dopant to the substrate prior to trenching;
a third doping step, following forming the trench, to introduce additional dopant of the first type into the substrate in the base of the trench; and a second substep of codiffusing the additional dopant of the first type and the second dopant type after trenching, the diffusion of the additional dopant of the first type limiting the extent of downward diffusion of the second dopant type and increasing the conductivity of the first dopant type beneath the trench and a portion of the second diffusion.
17. A method according to claim 11 in which the doping step includes diffusing a dopant to form source regions in the substrate along the trench sidewalls and beneath the sidewall spacers and mutually sizing the sidewall spacer thickness and the trench width to laterally position the source regions beneath the sidewall spacers and a margin of the gate oxide and to control the width of the source regions.
18. A method according to claim 17 in which the sidewall spacer is sized to a thickness of about 1.0 micrometers or more and the trench sidewalls are profile-tailored to form a recess extending part way beneath the sidewall spacers.
19. A method according to claim 18 including forming a low-resistivity contact layer in the recess and extending between the source region and the source conductive layer.
20. A method according to claim 17 in which the sidewall spacer is sized to a thickness in the range of 0.1 to 0.2 micrometers and the trench sidewalls are profile-tailored so to be substantially aligned with the sidewall spacers.
21. A method according to claim 20 including forming a low-resistivity contact layer on at least the trench sidewalls and extending between the source region and the source conductive layer.
22. A method according to claim 21 including forming a second sidewall spacer over the low resistivity contact layer and prior to depositing the source conductive layer.
23. A method according to claim 17 in which the trench sidewalls are profile-tailored such that a portion of the trench sidewalls is shielded from said conductive material deposition, including forming a low-resistivity contact layer on at least the trench sidewalls and extending between the source region and the source conductive layer.
24. A method according to claim 23 in which the sidewalls are formed in a stairstep profile to provide a recess defining the shielded portion immediately subjacent an edge of the sidewall spacer and a step in the trench sidewall that is substantially aligned with the edge of the sidewall spacer.
25. A method according to claim 23 in which the recess has a lateral dimension approximately half the thickness of the sidewall spacer.
26. A method according to claim 25 including selecting a slowly diffusing element as the dopant.
27. A method according to claim 17 including controlling the rate of diffusion of the dopant to limit the width of the source regions.
28. A method according to claim 11 including:
applying a planarizing layer after the steps of forming the sidewall spacer and trench and the conductive-material deposition step, removing a portion of the thickness of the planarizing material to expose any conductive material deposited atop the sidewall spacers, and removing the conductive material deposited atop the sidewall spacers using the remaining thickness of the planarizing layer as a mask so as to leave separate layers of conductive material atop the gate oxide and in the trench.
29. A method according to claim 28 in which the mask surrogate pattern definer comprises a polysilicon layer and at least a portion thereof is removed during the trench-forming step, so that the sidewall spacer and any conductive material deposited thereon tend to protrude above adjacent structures into the planarizing layer.
30. A method according to claim 29 in which deposition of the conductive material includes selective deposition of metal or metal silicide solely on the exposed substrate surfaces within the trench and on the polysilicon.
31. A method according to claim 29 in which the polysilicon layer is formed as a first, doped polysilicon layer in contact with the oxide layer, a second polysilicon layer atop the first polysilicon layer, and an etch-stopping layer sandwiched between the first and second layers to limit removal to the second polysilicon layer.
32. A method according to claim 28 in which the mask surrogate pattern definer is polysilicon and the trench-forming step includes removing at least a portion of the polysilicon, whereby the sidewall spacer and any conductive material deposited thereon tend to protrude above adjacent structures into the planarizing layer.
33. A method according to claim 28 in which the sidewalls are formed in a stairstep profile to provide a recess defining the shielded portion immediately subjacent an edge of the sidewall spacer and a step in the trench sidewall that is substantially aligned with the edge of the sidewall spacer.
34. A method of producing a vertical double-diffused MOSFET device on a semiconductor substrate upper surface, said method comprising:
providing a silicon substrate having an upper surface, forming an oxide layer on the upper surface of the substrate, forming a dopant protective layer over the oxide layer, forming a mask surrogate pattern definer having a defined outline characteristic in such protective layer, exposing an upper surface portion of the substrate selectively within the defined outline characteristic, doping the substrate successively with dopant ions of opposite polarity type to form a double-diffused, vertical field effect transistor positioned under the oxide layer and arranged to define a source region subjacent the defined outline characteristic, a drain region spaced laterally from the source beneath the oxide layer and extending downward into the bulk of the substrate, and a body region including a conduction channel positioned between the source and drain regions and operable upon inversion to conduct current between the source and drain regions, forming a trench in the exposed upper surface portion of the substrate having sidewalls and a base defining an exposed lower surface portion of the substrate, the trench extending depthwise through the source region to expose the body region in the base thereof, and forming a gate conductive layer on the oxide layer and a source conductive layer on the base of the trench and in electrical contact with the source and body regions, the doping step including introducing dopant ions for the source region prior to the trench-forming step.
35. A method according to claim 34 in which! the dopant ions are diffused after the trench-forming step.
36. A method according to claim 34 including a third doping step, following forming the trench, to form a third doped region of additional first dopant type in the substrate in the base of the trench.
37. A method of producing a vertical double-diffused MOSFET device on a semiconductor substrate upper surface, said method comprising:
providing a silicon substrate having an upper surface, forming an oxide layer on the upper surface of the substrate, forming a dopant protective layer over the oxide layer, forming a mask surrogate pattern definer having a defined outline characteristic in such protective layer, exposing an upper surface portion of the substrate selectively within the defined outline characteristic, doping the substrate successively with dopant ions of opposite polarity type to form a double-diffused, vertical field effect transistor positioned under the oxide layer and arranged to define a source region subjacent the defined outline characteristic, a drain region spaced laterally from the source beneath the oxide layer and extending downward into the bulk of the substrate, and a conduction channel positioned between the source and drain regions and operable upon inversion to conduct current between the source and drain regions, forming a trench in the exposed upper surface portion of the substrate, the trench having a base and sidewalls in which a lower substrate surface is exposed, and forming a gate conductive layer on the oxide layer and a source conductive layer on at least the base of the trench, the doping step including first and second doping steps, performed prior to forming the trench, to introduce ions of a first dopant type to a first depth within said region and to a first lateral width determined by the defined outline characteristic and to introduce ions of a second dopant type of polarity opposite the first dopant type to a second depth within said region and a second lateral width determined by the defined outline characteristic;
the second depth and width being less than the first depth and width, respectively, so that the doped region of the second type is contained within the doped region of the first type;
the trench being formed to a trench depth less than the depth of the first doped region and greater than the depth of the second doped region and a trench width less than the lateral width of the second doped region, so as to form separate source regions of the second dopant type along opposed sidewalls of the trench; and the source conductive layer being formed so as to electrically contact the source regions along said sidewalls.
38. A method of producing a vertical double-diffused MOSFET device on a semiconductor substrate upper surface, said method comprising:
providing a silicon substrate having an upper surface, forming an oxide layer on the upper surface of the substrate, forming a dopant protective layer over the oxide layer, forming a mask surrogate pattern definer having a defined outline characteristic in such protective layer, exposing an upper surface portion of the substrate selectively within the defined outline characteristic, doping the substrate successively with dopant ions of opposite polarity type to form a double-diffused, vertical field effect transistor positioned under the oxide layer and arranged to define a source region subjacent the defined outline characteristic, a drain region spaced laterally from the source beneath the oxide layer and extending downward into the bulk of the substrate, and a conduction channel positioned between the source and drain regions and operable upon inversion to conduct current between the source and drain regions, forming a trench in the exposed upper surface portion of the substrate, the trench having a base and sidewalls in which a lower substrate surface is exposed, and forming a gate conductive layer on the oxide layer and a source conductive layer on at least the base of the trench;
the doping step including introducing dopant ions for the source region prior to the trench-forming step and a third doping step, following forming of the trench, to form a third doped region of additional first dopant type in the substrate in the base of the trench, and codiffusing the additional first dopant type and the second dopant type after trenching, the diffusion of the additional first dopant type limiting the extent of downward diffusion of the second dopant type and increasing the conductivity of the first dopant type beneath the trench and a portion of the second doped region.
39 . A method of producing a vertical double-diffused MOSFET device on a semiconductor substrate upper surface, said method comprising:
providing a silicon substrate having an upper surface, forming an oxide layer on the upper surface of the substrate, forming a dopant protective layer over the oxide layer, forming a mask surrogate pattern definer having a defined outline characteristic in such protective layer, exposing an upper surface portion of the substrate selectively within the defined outline characteristic, doping the substrate successively with dopant ions of opposite polarity type to form a double-diffused, vertical field effect transistor positioned under the oxide layer and arranged to define a source region subjacent the defined outline characteristic, a drain region spaced laterally from the source beneath the oxide layer and extending downward into the bulk of the substrate, and a conduction channel positioned between the source and drain regions and operable upon inversion to conduct current between the source and drain regions, forming a trench in the exposed upper surface portion of the substrate, the trench having a base and sidewalls in which a lower substrate surface is exposed, and forming a gate conductive layer on the oxide layer and a source conductive layer on at least the base of the trench, the doping step including introducing dopant ions for the source region prior to the trench-forming step, and forming a low resistivity contact layer on at least the sidewalls of the trench after the trench-forming step and prior to depositing the source conductive layer to electrically interconnect the source region and the source conductive layer.
40. A self-aligned vertical double-diffused insulated gate transistor, comprising:
a silicon substrate with doping of a first dopant type and having an upper surface, an oxide layer on the upper surface of the substrate, an opening in the oxide layer having a defined outline characteristic, a trench having sidewalls and a base formed in the substrate within the opening, double-diffused dopant means of opposite second and first dopant types disposed within the substrate defining first and second P-N junctions spaced laterally apart under the oxide layer and contoured in accordance with the defined outline characteristic, the P-N junctions being arranged to define a field effect transistor including a source region of the first dopant type, the source region being formed in the substrate along the trench sidewalls subjacent the defined outline characteristic and bounded by the first P-N junction, a drain of the first dopant type bounded by the second P-N junction and spaced laterally from the defined outline characteristic and extending downward into the substrate, and a region of the second dopant type defining a conduction channel extending between the first and second P-N junctions along the oxide layer at the upper surface of the substrate operable upon inversion to conduct current between the source and drain, and a gate conductive layer on the oxide layer insulated from the conduction channel and a source conductive layer on the upper surface of the substrate within said opening, the source conductive layer being deposited in the trench and thereby spaced below the gate conductive layer so that the conductive layers are electrically separate, and the region of the second dopant type including a first portion alongside the source region having a first doping concentration and a second portion contained within the first portion and extending laterally beneath the source region and the source conductive layer and having a second doping concentration greater than the first doping concentration for withstanding reverse-bias avalanche current flow.
41. A device according to claim 40 including insulative sidewall spacers extending along the defined outline characteristic atop the oxide layer and laterally separating the gate and source conductive layers.
42. A device according to claim 40 in which the gate conductive layer includes a doped polysilicon layer in contact with the gate oxide layer and a metal layer atop the doped polysilicon layer.
43. A device according to claim 40 including a low-resistivity contact layer extending between the source region and the source conductive layer.
44, A device according to claim 40, in which the dopant means was ion implanted in the substrate prior to forming the trench.
45. A self-aligned vertical double-diffused insulated gate transistor, comprising:
a silicon substrate with doping of a first dopant type and having an upper surface, an oxide layer on the upper surface of the substrate, an opening in the oxide layer having a defined outline characteristic, a trench having sidewalls and a base formed in the substrate within the opening, double-diffused dopant means of opposite second and first dopant types disposed within the substrate defining first and second P-N junctions spaced laterally apart under the oxide layer and contoured in accordance with the defined outline characteristic, the P-N junctions being arranged to define a field effect transistor including a source region of the first dopant type, the source region being formed in the substrate along the trench sidewalls subjacent the defined outline characteristic and bounded by the first P-N junction, a drain of the first dopant type bounded by the second P-N junction and spaced laterally from the defined outline characteristic and extending downward into the substrate, and a region of the second dopant type defining a conduction channel extending between the first and second P-N junctions along the oxide layer at the upper surface of the substrate operable upon inversion to conduct current between the source and drain, a gate conductive layer insulated from the conduction channel including a doped polysilicon layer in contact with the oxide layer and a metal layer atop the doped polysilicon layer, and a source conductive layer on the upper surface of the substrate within said opening, the source conductive layer being deposited in the trench and thereby spaced below the gate conductive layer so that the conductive layers are electrically separate.
46. A self-aligned vertical double-diffused insulated gate transistor, comprising:
a silicon substrate with doping of a first dopant type and having an upper surface, an oxide layer on the upper surface of the substrate, an opening in the oxide layer having a defined outline characteristic, a trench having sidewalls and a base formed in the substrate within the opening, double-diffused dopant means of opposite second and first dopant types disposed within the substrate defining first and second P-N junctions spaced laterally apart under the oxide layer and contoured in accordance with the defined outline characteristic, the P-N junctions being arranged to define a field effect transistor including a source region of the first dopant type, the source region being formed in the substrate along the trench sidewalls, subjacent the defined outline characteristic and bounded by the first P-N junction, a drain of the first dopant type bounded by the second P-N junction and spaced laterally from the defined outline characteristic, and a region of the second dopant type defining a conduction channel extending between the first and second P-N junctions along the oxide layer at the upper surface of the substrate operable upon inversion to conduct current between the source and drain, and a gate conductive layer on the oxide layer insulated from the conduction channel and a source conductive layer on the upper surface of the substrate within said opening, the source conductive layer being deposited in the trench, the trench sidewalls having a stairstep profile including a vertical lower portion extending to the trench base, and the source conductive layer contacting the trench base and the vertical lower portion of the stairstepped sidewalls.
47. A device according to claim 46 in which the source conductive layer is confined within the trench and the gate conductive layer is confined within the sidewall spacers, the transistor having an open topography such that all regions of the source are electrically contiguous within the substrate without overlap of the gate and source conductive layers.
48. A device according to claim 46 in which the source and gate conductive layers include metal layers.
49. A device according to claim 46 including an insulative sidewall spacer extending along the defined outline characteristic atop the oxide layer and laterally separating the gate and source conductive layers.
50. A device according to claim 49 in which the trench sidewalls include an upper portion which is recessed beneath the insulative sidewall spacer.
51. A device according to claim 50 in which the gate conductive layer includes a doped polysilicon layer in contact with the oxide layer and a metal layer atop the doped polysilicon layer.
52. A device according to claim 50 including a low-resistivity contact layer extending between the source region and the source conductive layer.
53. A device according to claim 52 in which the low-resistivity contact layer is a shallow diffusion of the same dopant type as the source region.
54. A device according to claim 52 in which the low-resistivity contact layer is a layer of one of a refractory metal and a metal silicide.
CA000597410A 1988-05-17 1989-04-21 Topographic pattern delineated power mosfet with profile tailored processed source Expired - Lifetime CA1305261C (en)

Priority Applications (2)

Application Number Priority Date Filing Date Title
CA000616369A CA1326567C (en) 1988-05-17 1992-05-07 Topographic pattern delineated power mosfet with profile tailored recessed source
CA000616370A CA1326568C (en) 1988-05-17 1992-05-07 Profile tailored trench etch using a sf_-0_ etching composition wherein both isotropic and anisotropic etching is achieved by varying the amount of oxygen

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US07/194,874 1988-05-17
US07/194,874 US4895810A (en) 1986-03-21 1988-05-17 Iopographic pattern delineated power mosfet with profile tailored recessed source

Related Child Applications (2)

Application Number Title Priority Date Filing Date
CA000616370A Division CA1326568C (en) 1988-05-17 1992-05-07 Profile tailored trench etch using a sf_-0_ etching composition wherein both isotropic and anisotropic etching is achieved by varying the amount of oxygen
CA000616369A Division CA1326567C (en) 1988-05-17 1992-05-07 Topographic pattern delineated power mosfet with profile tailored recessed source

Publications (1)

Publication Number Publication Date
CA1305261C true CA1305261C (en) 1992-07-14

Family

ID=22719206

Family Applications (1)

Application Number Title Priority Date Filing Date
CA000597410A Expired - Lifetime CA1305261C (en) 1988-05-17 1989-04-21 Topographic pattern delineated power mosfet with profile tailored processed source

Country Status (6)

Country Link
US (1) US4895810A (en)
EP (1) EP0342952B1 (en)
JP (1) JP3025277B2 (en)
AT (1) ATE144078T1 (en)
CA (1) CA1305261C (en)
DE (1) DE68927309T2 (en)

Families Citing this family (49)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5262336A (en) * 1986-03-21 1993-11-16 Advanced Power Technology, Inc. IGBT process to produce platinum lifetime control
US5089434A (en) * 1986-03-21 1992-02-18 Advanced Power Technology, Inc. Mask surrogate semiconductor process employing dopant-opaque region
US5283201A (en) * 1988-05-17 1994-02-01 Advanced Power Technology, Inc. High density power device fabrication process
US5155052A (en) * 1991-06-14 1992-10-13 Davies Robert B Vertical field effect transistor with improved control of low resistivity region geometry
KR970000538B1 (en) * 1993-04-27 1997-01-13 엘지전자 주식회사 Method for manufacturing a field effect transistor having gate recess structure
US5354417A (en) * 1993-10-13 1994-10-11 Applied Materials, Inc. Etching MoSi2 using SF6, HBr and O2
KR0143459B1 (en) * 1995-05-22 1998-07-01 한민구 Morse-gate type power transistor
US6043126A (en) * 1996-10-25 2000-03-28 International Rectifier Corporation Process for manufacture of MOS gated device with self aligned cells
US6110763A (en) * 1997-05-22 2000-08-29 Intersil Corporation One mask, power semiconductor device fabrication process
US5935874A (en) * 1998-03-31 1999-08-10 Lam Research Corporation Techniques for forming trenches in a silicon layer of a substrate in a high density plasma processing system
US6074954A (en) 1998-08-31 2000-06-13 Applied Materials, Inc Process for control of the shape of the etch front in the etching of polysilicon
DE19840032C1 (en) * 1998-09-02 1999-11-18 Siemens Ag Semiconductor device for compensation element
KR100451450B1 (en) 1998-12-18 2004-10-06 인피니언 테크놀로지스 아게 Field effect transistor arrangement with a trench gate electrode and an additional highly doped layer in the body region
WO2000039858A2 (en) * 1998-12-28 2000-07-06 Fairchild Semiconductor Corporation Metal gate double diffusion mosfet with improved switching speed and reduced gate tunnel leakage
EP1077475A3 (en) * 1999-08-11 2003-04-02 Applied Materials, Inc. Method of micromachining a multi-part cavity
US6833079B1 (en) 2000-02-17 2004-12-21 Applied Materials Inc. Method of etching a shaped cavity
EP1407476A4 (en) * 2000-08-08 2007-08-29 Advanced Power Technology Power mos device with asymmetrical channel structure
US6828609B2 (en) * 2001-11-09 2004-12-07 Infineon Technologies Ag High-voltage semiconductor component
US6819089B2 (en) 2001-11-09 2004-11-16 Infineon Technologies Ag Power factor correction circuit with high-voltage semiconductor component
US6593199B1 (en) * 2002-02-27 2003-07-15 Motorola, Inc. Method of manufacturing a semiconductor component and semiconductor component thereof
US7169634B2 (en) * 2003-01-15 2007-01-30 Advanced Power Technology, Inc. Design and fabrication of rugged FRED
US7015104B1 (en) * 2003-05-29 2006-03-21 Third Dimension Semiconductor, Inc. Technique for forming the deep doped columns in superjunction
US6975015B2 (en) * 2003-12-03 2005-12-13 International Business Machines Corporation Modulated trigger device
WO2005065144A2 (en) * 2003-12-19 2005-07-21 Third Dimension (3D) Semiconductor, Inc. Planarization method of manufacturing a superjunction device
US7023069B2 (en) * 2003-12-19 2006-04-04 Third Dimension (3D) Semiconductor, Inc. Method for forming thick dielectric regions using etched trenches
JP4928947B2 (en) * 2003-12-19 2012-05-09 サード ディメンジョン (スリーディ) セミコンダクタ インコーポレイテッド Manufacturing method of super junction device
KR20080100265A (en) * 2003-12-19 2008-11-14 써드 디멘존 세미컨덕터, 인코포레이티드 Method of manufacturing a superjunction device with conventional terminations
US7052982B2 (en) * 2003-12-19 2006-05-30 Third Dimension (3D) Semiconductor, Inc. Method for manufacturing a superjunction device with wide mesas
KR100612072B1 (en) * 2004-04-27 2006-08-14 이태복 Semiconductor device of high breakdown voltage and manufacturing method thereof
US7344951B2 (en) * 2004-09-13 2008-03-18 Texas Instruments Incorporated Surface preparation method for selective and non-selective epitaxial growth
DE102004052643B4 (en) * 2004-10-29 2016-06-16 Infineon Technologies Ag Method for producing a lateral trench transistor
TWI401749B (en) * 2004-12-27 2013-07-11 Third Dimension 3D Sc Inc Process for high voltage superjunction termination
US7439583B2 (en) * 2004-12-27 2008-10-21 Third Dimension (3D) Semiconductor, Inc. Tungsten plug drain extension
EP1872396A4 (en) * 2005-04-22 2009-09-23 Icemos Technology Corp Superjunction device having oxide lined trenches and method for manufacturing a superjunction device having oxide lined trenches
ITTO20050343A1 (en) * 2005-05-19 2006-11-20 St Microelectronics Srl MOSFET DEVICE WITH HIGH DENSITY OF INTEGRATION, IN PARTICULAR POWER VDMOS, AND ITS PROCESS OF MANUFACTURE
JP4982979B2 (en) * 2005-07-19 2012-07-25 日産自動車株式会社 Manufacturing method of semiconductor device
US7446018B2 (en) * 2005-08-22 2008-11-04 Icemos Technology Corporation Bonded-wafer superjunction semiconductor device
US7429772B2 (en) 2006-04-27 2008-09-30 Icemos Technology Corporation Technique for stable processing of thin/fragile substrates
US8435873B2 (en) 2006-06-08 2013-05-07 Texas Instruments Incorporated Unguarded Schottky barrier diodes with dielectric underetch at silicide interface
US8580651B2 (en) * 2007-04-23 2013-11-12 Icemos Technology Ltd. Methods for manufacturing a trench type semiconductor device having a thermally sensitive refill material
US7723172B2 (en) * 2007-04-23 2010-05-25 Icemos Technology Ltd. Methods for manufacturing a trench type semiconductor device having a thermally sensitive refill material
US20080272429A1 (en) * 2007-05-04 2008-11-06 Icemos Technology Corporation Superjunction devices having narrow surface layout of terminal structures and methods of manufacturing the devices
US8012806B2 (en) * 2007-09-28 2011-09-06 Icemos Technology Ltd. Multi-directional trenching of a die in manufacturing superjunction devices
US7846821B2 (en) * 2008-02-13 2010-12-07 Icemos Technology Ltd. Multi-angle rotation for ion implantation of trenches in superjunction devices
US8030133B2 (en) 2008-03-28 2011-10-04 Icemos Technology Ltd. Method of fabricating a bonded wafer substrate for use in MEMS structures
US8946814B2 (en) 2012-04-05 2015-02-03 Icemos Technology Ltd. Superjunction devices having narrow surface layout of terminal structures, buried contact regions and trench gates
US9576842B2 (en) 2012-12-10 2017-02-21 Icemos Technology, Ltd. Grass removal in patterned cavity etching
TWI746007B (en) * 2020-06-12 2021-11-11 新唐科技股份有限公司 Power device
CN114883194A (en) * 2021-02-05 2022-08-09 华为技术有限公司 Manufacturing method of semiconductor device and semiconductor device

Family Cites Families (29)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3675313A (en) * 1970-10-01 1972-07-11 Westinghouse Electric Corp Process for producing self aligned gate field effect transistor
US4040168A (en) * 1975-11-24 1977-08-09 Rca Corporation Fabrication method for a dual gate field-effect transistor
JPS5553462A (en) * 1978-10-13 1980-04-18 Int Rectifier Corp Mosfet element
US4231811A (en) * 1979-09-13 1980-11-04 Intel Corporation Variable thickness self-aligned photoresist process
US4471522A (en) * 1980-07-08 1984-09-18 International Business Machines Corporation Self-aligned metal process for field effect transistor integrated circuits using polycrystalline silicon gate electrodes
US4399449A (en) * 1980-11-17 1983-08-16 International Rectifier Corporation Composite metal and polysilicon field plate structure for high voltage semiconductor devices
US4324038A (en) * 1980-11-24 1982-04-13 Bell Telephone Laboratories, Incorporated Method of fabricating MOS field effect transistors
US4329773A (en) * 1980-12-10 1982-05-18 International Business Machines Corp. Method of making low leakage shallow junction IGFET devices
US4453305A (en) * 1981-07-31 1984-06-12 The Secretary Of State For Defence In Her Britannic Majesty's Government Of The United Kingdom Of Great Britain And Northern Ireland Method for producing a MISFET
DE3132955A1 (en) * 1981-08-20 1983-03-03 Licentia Patent-Verwaltungs-Gmbh, 6000 Frankfurt Field-effect transistor and method for manufacturing it
DE3279874D1 (en) * 1981-08-21 1989-09-14 Toshiba Kk Method of manufacturing dielectric isolation regions for a semiconductor device
US4375124A (en) * 1981-11-12 1983-03-01 Gte Laboratories Incorporated Power static induction transistor fabrication
US4437925A (en) * 1981-11-12 1984-03-20 Gte Laboratories Incorporated Etched-source static induction transistor
US4497107A (en) * 1981-11-12 1985-02-05 Gte Laboratories Incorporated Method of making self-aligned high-frequency static induction transistor
US4553316A (en) * 1981-12-24 1985-11-19 Texas Instruments Incorporated Self-aligned gate method for making MESFET semiconductor
US4424621A (en) * 1981-12-30 1984-01-10 International Business Machines Corporation Method to fabricate stud structure for self-aligned metallization
US4516143A (en) * 1982-01-04 1985-05-07 General Electric Company Self-aligned power MOSFET with integral source-base short and methods of making
JPS58158972A (en) * 1982-03-16 1983-09-21 Toshiba Corp Manufacture of semiconductor device
US4459605A (en) * 1982-04-26 1984-07-10 Acrian, Inc. Vertical MESFET with guardring
US4419811A (en) * 1982-04-26 1983-12-13 Acrian, Inc. Method of fabricating mesa MOSFET using overhang mask
US4503598A (en) * 1982-05-20 1985-03-12 Fairchild Camera & Instrument Corporation Method of fabricating power MOSFET structure utilizing self-aligned diffusion and etching techniques
US4450041A (en) * 1982-06-21 1984-05-22 The United States Of America As Represented By The Secretary Of The Navy Chemical etching of transformed structures
US4430792A (en) * 1982-07-08 1984-02-14 General Electric Company Minimal mask process for manufacturing insulated-gate semiconductor devices with integral shorts
US4466176A (en) * 1982-08-09 1984-08-21 General Electric Company Process for manufacturing insulated-gate semiconductor devices with integral shorts
US4586243A (en) * 1983-01-14 1986-05-06 General Motors Corporation Method for more uniformly spacing features in a semiconductor monolithic integrated circuit
US4577392A (en) * 1984-08-03 1986-03-25 Advanced Micro Devices, Inc. Fabrication technique for integrated circuits
EP0202477A3 (en) * 1985-04-24 1988-04-20 General Electric Company Method of forming an electrical short circuit between adjoining regions in an insulated gate semiconductor device
EP0227894A3 (en) * 1985-12-19 1988-07-13 SILICONIX Incorporated High density vertical dmos transistor
US4748103A (en) * 1986-03-21 1988-05-31 Advanced Power Technology Mask-surrogate semiconductor process employing dopant protective region

Also Published As

Publication number Publication date
DE68927309D1 (en) 1996-11-14
JP3025277B2 (en) 2000-03-27
ATE144078T1 (en) 1996-10-15
EP0342952A3 (en) 1990-07-04
JPH0256937A (en) 1990-02-26
EP0342952A2 (en) 1989-11-23
EP0342952B1 (en) 1996-10-09
DE68927309T2 (en) 1997-03-06
US4895810A (en) 1990-01-23

Similar Documents

Publication Publication Date Title
CA1305261C (en) Topographic pattern delineated power mosfet with profile tailored processed source
US5019522A (en) Method of making topographic pattern delineated power MOSFET with profile tailored recessed source
US5045903A (en) Topographic pattern delineated power MOSFET with profile tailored recessed source
US5182234A (en) Profile tailored trench etch using a SF6 -O2 etching composition wherein both isotropic and anisotropic etching is achieved by varying the amount of oxygen
US5814859A (en) Self-aligned transistor device including a patterned refracting dielectric layer
US5795793A (en) Process for manufacture of MOS gated device with reduced mask count
US4417385A (en) Processes for manufacturing insulated-gate semiconductor devices with integral shorts
JP2837014B2 (en) Semiconductor device and manufacturing method thereof
KR100296805B1 (en) Semiconductor device manufacturing method
JP2744808B2 (en) Manufacturing method of self-aligned transistor
US6238981B1 (en) Process for forming MOS-gated devices having self-aligned trenches
EP0238362B1 (en) Mask-surrogate semiconductor process employing dopant-opaque region
US4691435A (en) Method for making Schottky diode having limited area self-aligned guard ring
US5798549A (en) Conductive layer overlaid self-aligned MOS-gated semiconductor devices
USRE35405E (en) Method of manufacturing semiconductor device utilizing an accumulation layer
US4374455A (en) Method for manufacturing a vertical, grooved MOSFET
US6087224A (en) Manufacture of trench-gate semiconductor devices
US4043848A (en) Method of fabrication of insulated gate field effect semiconductor devices
KR100272051B1 (en) Process for manufacture of a p-channel mos gated device with base implant through the contact window
US5089434A (en) Mask surrogate semiconductor process employing dopant-opaque region
US4001048A (en) Method of making metal oxide semiconductor structures using ion implantation
US3945857A (en) Method for fabricating double-diffused, lateral transistors
US5256583A (en) Mask surrogate semiconductor process with polysilicon gate protection
US4523368A (en) Semiconductor devices and manufacturing methods
US4796069A (en) Schottky diode having limited area self-aligned guard ring and method for making same

Legal Events

Date Code Title Description
MKLA Lapsed