CA2214123C - Capacitor structure for an integrated circuit and method of fabrication thereof - Google Patents

Capacitor structure for an integrated circuit and method of fabrication thereof Download PDF

Info

Publication number
CA2214123C
CA2214123C CA002214123A CA2214123A CA2214123C CA 2214123 C CA2214123 C CA 2214123C CA 002214123 A CA002214123 A CA 002214123A CA 2214123 A CA2214123 A CA 2214123A CA 2214123 C CA2214123 C CA 2214123C
Authority
CA
Canada
Prior art keywords
electrode
capacitor
electrodes
main portion
layer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
CA002214123A
Other languages
French (fr)
Other versions
CA2214123A1 (en
Inventor
Anthony C.C. Ng
Mukul Saran
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Nortel Networks Ltd
Original Assignee
Nortel Networks Ltd
Nortel Networks Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Nortel Networks Ltd, Nortel Networks Corp filed Critical Nortel Networks Ltd
Publication of CA2214123A1 publication Critical patent/CA2214123A1/en
Application granted granted Critical
Publication of CA2214123C publication Critical patent/CA2214123C/en
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5222Capacitive arrangements or effects of, or between wiring layers
    • H01L23/5223Capacitor integral with wiring layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L28/00Passive two-terminal components without a potential-jump or surface barrier for integrated circuits; Details thereof; Multistep manufacturing processes therefor
    • H01L28/40Capacitors
    • H01L28/60Electrodes
    • H01L28/82Electrodes with an enlarged surface, e.g. formed by texturisation
    • H01L28/86Electrodes with an enlarged surface, e.g. formed by texturisation having horizontal extensions
    • H01L28/87Electrodes with an enlarged surface, e.g. formed by texturisation having horizontal extensions made by depositing layers, e.g. by depositing alternating conductive and insulating layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L28/00Passive two-terminal components without a potential-jump or surface barrier for integrated circuits; Details thereof; Multistep manufacturing processes therefor
    • H01L28/40Capacitors
    • H01L28/60Electrodes
    • H01L28/82Electrodes with an enlarged surface, e.g. formed by texturisation
    • H01L28/90Electrodes with an enlarged surface, e.g. formed by texturisation having vertical extensions
    • H01L28/91Electrodes with an enlarged surface, e.g. formed by texturisation having vertical extensions made by depositing layers, e.g. by depositing alternating conductive and insulating layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Abstract

A capacitor structure for an integrated circuit and a method of fabrication are described. The capacitor structure is defined by layers forming interconnect metallization and interlayer dielectrics. The latter are relatively thick, and provide high breakdown voltages. Multilevel metallization schemes allow for a stack of a plurality of electrodes to be provided. The electrodes may take the form of stacks of flat plates interconnected in parallel so that the capacitance is the sum of capacitances of alternate layers in the stack. Advantageously each electrode comprises a main portion (56, 60, 64, 68) and a surrounding portion (57, 61, 65, 69) having the form of a protecting ring (e.g. 57), coplanar with the main portion of the electrode. The ring prevent s thinning of the dielectric near edges of electrode during fabrication, to improve control of breakdown voltages for high voltage applications. Alternative electrode structures employing a plurality of interconnected fingers, and particularly a configuration having interdigitated fingers, are provided to increase the capacitance per unit surface area. Parallel electrode fingers are stacked in vertical alignment, or offset, and interconnected to provide vertical, horizontal or inclined stacks having different patterns of polarities, thereby formingcapa citors of various configurations. The capacitor structures have particular application for high voltage (>100V), low leakage and high frequency (MHz/GHz) applications.

Description

WO 96127907 PCTlCA96/00093 CAPACITOR STRUCTURE FOR AN INTEGRATED CIRCUIT AND
METHOD OF FABRICATION THEREOF
FIELD OF THE INVENTION
This invention relates to a capacitor structure for an integrated circuit and a method of fabrication thereof, with particular application to capacitors for high voltage, high frequency and low leakage applications.
BACKGROUND OF THE INVENTION
Conventionally, capacitor structures for integrated circuits comprise either a flat plate capacitor structure or a trench capacitor structure. Flat plate capacitors typically comprise first and second layers of conductive material patterned to define top and bottom electrodes, with an intervening layer of a thin capacitor dielectric, the structure being isolated from the substrate by an underlying dielectric layer, e.g. by formation of the capacitor structure on top of a thick field isolation oxide layer. The bottom electrode typically comprises a layer of conductive material, e.g. polysilicon, which forms other structures of the integrated circuit, e.g. gate electrodes or emitter structures of transistors. The second (top) electrode is defined thereon by a second conductive layer, typically another polysilicon layer. The capacitor dielectric is conventionally a thin silicon dioxide or silicon nitride layer. Recently other dielectric materials including tantalum oxide and ferroelectric dielectrics are being used for capacitor dielectrics. However, the latter 3o usually require special electrode materials and barrier layers to prevent reactions between the ferroelectric dielectric and electrode materials.
Trench capacitors are conventionally formed by conductive and dielectric layers provided within trench regions defined in the substrate, e.g. by patterning concentrically arranged vertical electrodes. Another approach to a trench capacitor structure is described in U.S. Patent 5,275,974 to Ellul et al. and is based on a method of deposition of conformal layers of conductive and dielectric materials within trench regions, which are then , planarized by chemical mechanical polishing to provide for coplanar contacts to each electrode. , Various other schemes are known for increasing the capacitance per unit area, for example a stacked metal-insulator-metal capacitor for a DRAM, as described in U.S.
Patent 5,142,639 to Koyhama. U.S. Patent 5,189,594 to 1o Hoshiba describes a capacitor having comb like electrodes which intersect to provide a plurality of small value capacitors connected in parallel. Examples of multilayer metal-insulator-metal capacitors are described in Japanese patent applications J6210467 to Katsumata and J59055049 to Suzuki .
However, in either flat plate or trench capacitors, the capacitor dielectric thickness is a major factor in determining the capacitance per unit area. Thin dielectrics are required to increase the capacitance per 2o unit area, i.e. to allow for smaller area capacitors, as required for high density integrated circuits. The drawback is that thinner dielectrics result in lower breakdown voltages. 'Typical known capacitor structures with thin dielectrics used for 3.3V and 5V integrated circuits, for example, have breakdown voltages of ~16V.
For operation of integrated circuits at a higher voltage, e.g. 12V typically used for some telecommunications applications, a breakdown voltage of 16V would be insufficient.
3o Thus, for the latter high voltage applications, different capacitor structures are required to obtain reduced area devices with high capacitance and higher breakdown voltages ( ~100V). Further, high frequency response, in the GHz range, is also an important consideration for applications of advanced bipolar-CMOS
integrated circuits, e.g. for telecommunications applications.

SUMMARY OF THE INVENTION.
The present invention seeks to provide a capacitor structure for an in t:egrated circuit anc~ a method of fabrication thereof, which reduce or avoids the above-mentioned problems, and with particular application .for high voltage, high frequency and low leakage capacitors.
Thus according to one aspect of the present invention there is provided a capacitor structure for an 1U integrated circuit having multilevel irxterconnect metallization compr:i_sing <~ pluralit~r of: Layers of conductive metallization and laye.r~ of .interlayer dielectric, the capacitor structure comprising: an isolation layer hav~_ng formed thereon. a first electrode i5 defined by part of a firsts layer of conductive metallization; a layer of capacitor dielectric formed thereon provided by part of a first .interlayer dielectric;
an overlying second electrode defined by part of a second layer of conductive metal::lization; eacr~ electrode 20 comprising a main portion having a >oundary and a surrounding portion, th.e boundary defining at least one edge and the surrounding portion being spaced from the main portion along said at least ane edge.
Thus, capacitor electrodes are defined by parts of 25 conductive layers forming the intercannect metallization of the integrated circuit. The capacitar dielectric is provided by a layer of interlayer dielectric which typically separates layer; of interconnect metallizat:ion.
Each capacitor electrode comprises a. main portion, which 3o may be in the form of a conventional. plate, and a surrounding portion, spaced from the ma..in portion, which for example, takes the form of a ring provided by the part of the same conductive layer that forms the main portion of the capacitor plate. A ring which surrounds the main portion, may be separated from the main portion or may be in contact with the main portion along part of the boundary of the main portion. The surrounding portion functions to ensure minimal thinning of the capacitor dielectric near , edges of the main portion of the capacitor electrodes during planarization of the dielectric layers. For example, thinning may occur when using spin-on-glass followed by etch back to planarize the interlayer Zo dielectric. Avoidance of edge thinning of the capacitor dielectric helps to control breakdown voltage, and other electrical characteristics including leakage, dielectric breakdown, TDDB, and capacitance variations. The structure may be readily fabricated using known CMOS, bipolar and Z5 BiCMOS process technologies.
Where the integrated circuit comprises multilevel metallization, a stacked capacitor structure having a plurality of electrodes may be provided. If required an underlying conductive layer, e.g. a gate polysilicon layer 2o may provide a bottom electrode of a multilayer stack and two of more levels of interconnect metallization provides other electrodes of the stack. Interconnections are provided between alternate electrodes in a stacked capacitor structure, e.g. by vias which provide parallel a5 connection of alternate electrodes in the stack.
For example, capacitor electrodes with their surrounding rings, may be provided in a configuration comprising conventional stacked flat rectangular electrode plates, in which the capacitance is determined by the area 30 of overlap of the main portion of the electrodes. The capacitance of the structure is thus the sum of the capacitances of the pairs of electrodes of opposite polarities in the stacked structure.
Advantageously, the capacitor dielectric provided 35 between two adjacent plates is a relatively thick layer of interlayer dielectric. Thus, a capacitor of this structure has a very high breakdown voltage, typically above 200V for WO 96/27907 PCTlCA96I00093 a conventional 1.4 N.m thick interlayer dielectric. The leakage current is low, (e. g. <30pA at 100V).
Furthermore, since highly conductive materials used for interconnect metallization are used to build the capacitor electrodes, the frequency response of the structure is excellent. For example a 9pF capacitor was fabricated with a series resistance of less than 0.752 at 6GHz, and a frequency coefficient was less than l5ppm/MHz.
Beneficially, a main portion of each electrode may 1o comprise a plurality of interconnected conductive fingers e.g. connected at an end of each finger to form a comb-like, or grid like, electrode structure. The fingers of each electrode may be aligned vertically relative to the fingers of the adjacent electrodes. Alternatively, the 1s fingers of one electrode may be offset so that the fingers in different layers are shifted relative to underlying and overlying fingers. The pattern of polarity of the stacked fingers is arranged to form stacks of fingers which are effectively parallel in a vertical direction and/or 2o horizontal direction. Alternatively, the fingers are staggered to provide stacks of fingers of the same polarity which inclined with respect to the semiconductor substrate surface. The resulting arrangement of stacked fingers is in effect similar to a capacitor structure having a set of 25 vertical capacitor plates extending into the substrate, and results in an increased capacitance per unit surface area compared with a simple flat plate arrangement.
In one arrangement, each conductive layer of metallization is patterned to form two interdigitated 3o electrodes. Connections between electrodes are provided by appropriate arrangements of conductive vias connecting adjacent or alternate electrodes, to provide the required pattern of polarities. In a particularly convenient configuration, each electrode finger is of opposite 35 polarity to adjacent fingers. This arrangement was found to provide a significant increase, about 40~, in capacitance per unit area over a conventional parallel planar plate configuration having the same design area.
A capacitor may be provided having a digitate electrode structure combined with protecting rings; that , is, each electrode comprises a the main portion defining a plurality of conductive fingers and a surrounding portion in the form of a ring. The latter may provide a protecting ring as described above to reduce edge thinning of the 1o dielectric for high voltage applications. Protecting rings are beneficial particularly to assist in planarization by a method, such as spin on glass and etchback, to avoid the cumulative effects of edge thinning when sequentially planarizing structures having several layers of interlayer dielectrics.
Advantageously, to provide a multilayer stacked capacitor structure, a bottom electrode of the capacitor may be provided by an underlying conductive layer of polysilicon, e.g. a gate metallization layer. The bottom 2o electrode is patterned similarly to the overlying electrodes described above, and may comprise a surrounding portion and/or a plurality of conductive fingers. A
plurality of overlying electrodes of the capacitor structure are then provided by some, or all, layers of a multilevel interconnect metallization scheme.
Beneficially, these structure can be adapted to various interconnect schemes without significant process constraints. That is, these structures may be fabricated using known process technologies, and using all 3o interconnect materials currently used, for example, aluminum alloys, tungsten, doped and/silicided polysilicon.
The structures are also compatible with advanced metallization schemes proposed for next generation technologies, using alternative conductive materials for example, copper and copper alloys, TiN, 4~1N, TiWN and metal silicides.

WO 96!27907 PCTICA96I00093 According to another aspect of the present invention, there is provided a method of forming a capacitor structure for an integrated circuit comprising a plurality of layers of interconnect metallization separated . 5 by layers of interlayer dielectric, the method comprising:
providing a layer of interconnect metallization and defining an electrode therein comprising patterning the layer of metallization to define a main portion having a boundary and at least one edge, and a surrounding portion to extending around the main portion and laterally spaced from said at least one edge; providing thereon a layer of interlayer dielectric to form a capacitor dielectric and planarizing said dielectric layer, whereby the surrounding portion prevents thinning of edges of the capacitor 15 dielectric during planarizing; and, then forming thereon a second capacitor electrode.
Thus, edge thinning of the capacitor dielectric around boundaries of the main portion of each electrode may be avoided or reduced, to improve breakdown characteristics 20 of the capacitor.
A bottom electrode of the capacitor may be defined in an underlying layer of polysilicon before the step of providing said layers of interconnect metallization.
Thus aspects of the present invention provide for 25 capacitor structures, and methods of fabrication of the capacitor structures, which overcome or avoid some of the above-mentioned problems.
BRIEF DESCRIPTION OF THE DRAWINGS
3o Embodiments of the invention will now be described by way of example, with reference to the accompanying drawings, in which:
Figure 1 shows a schematic cross-sectional view through part of a integrated circuit including a prior art 35 capacitor structure;

_ g Figure 2 shows a schematic oblique view of electrodes of a capacitor structure according to a first embodiment of the present invention;
Figure 3 shows a schematic cross-sectional view through the capacitor structure of Figure 2 in a vertical , plane through line III-III;
Figure 4A and 4B shows a schematic top plan view of the first and second capacitor electrodes respectively, along arrow IV of Figure 2;
1o Figure 5 shows a schematic oblique view of electrodes of a capacitor structure according to a second embodiment of the present invention;
Figure 6 shows a schematic cross-sectional view through the capacitor structure of Figure 5, in a vertical s5 plane through line VI-VI;
Figure 7A and 7B shows a schematic top plan view of the first and second capacitor electrode structures respectively, along arrow VII of Figure 5;
Figure 8 shows a schematic oblique view of the 2o electrodes of a capacitor structure according to a third embodiment, having electrodes comprising a plurality of interdigitated fingers;
Figure 9 shows a schematic cross sectional view of the capacitor of Figure 8 in a plane through line IX-IX of 25 showing the electrodes and intermetal dielectric layers of a capacitor structure of the third embodiment;
Figure 10 shows a cross-sectional view in a plane through line X-X of Figure 8, of the capacitor structure of the third embodiment with interconnections the electrodes 3o being arranged to form vertical stacks of electrode fingers of the same polarity;
Figure 11 shows a top plan view, along arrow XI of Figure 8, of the top pair of interdigitated electrodes of the capacitor structure of the third embodiment;
35 Figure 12 shows a schematic oblique view of the electrodes of a capacitor structure according to a fourth embodiment of the invention having interdigitated electrodes interconnected so that alternate electrode fingers have opposite polarity;
Figure 13 shows a cross-sectional view of the electrode fingers in a vertical plane showing alternating polarities of adjacent electrode fingers in successive layers;
Figure 14 shows a cross-sectional view in a plane through line XIV-XIV of Figure 12, i.e. through one finger in each layer, to show the polarities of respective fingers of each electrode;
Figures 15A and 15B show plan views of the top electrode and underlying electrode of the structure of Figure 12 along arrow XV, showing respective polarities and via interconnections of each electrode;
Figure 16 shows a top plan view of a capacitor electrode according to a variation of the fourth embodiment, including a protecting ring surrounding the main part of the electrode;
Figure 17 shows a schematic oblique view of 2o electrodes of a capacitor structure according to a fifth embodiment;
Figure 18 shows a cross-sectional view in a plane through line XVIII-XVIII of Figure 17 of the electrodes of the capacitor structure of the fifth embodiment;
Figure 19 shows a top plan view of the top electrode along arrow XIX of Figure 17;
Figures 20A and 20B show test data characterizing capacitors fabricated according to the first and fourth embodiments respectively, and having the same design area;
3o Figure 21 shows a schematic cross sectional view through electrode fingers of a capacitor according to a fourth embodiment, illustrating the fringing electric field between electrode fingers; and Figure 22 shows a schematic cross-sectional view through the electrode fingers of a capacitor structure according to a sixth embodiment.

WO 96/27907 PCTlCA96/00093 DESCRIPTION OF THE PREFERRED EMBODIMENTS
Part of a prior art integrated circuit 10 comprising a stacked capacitor structure fabricated by a conventional method on a conventional semiconductor substrate 12 is shown in Figure 1, comprising first and , second electrodes 16 and 24, and capacitor dielectric 18.
In fabricating this structure, a first dielectric layer 14 is provided on the substrate 12, and a first conductive layer, typically polysilicon, is deposited and patterned to Zo define the bottom capacitor electrode 16. A layer of dielectric is deposited over the bottom electrode to provide the capacitor dielectric 18. The capacitor dielectric is planarized by a known process, e.g. using a spin-on-glass and etch back, to reduce surface topography around the edges of capacitor structure. However, this process tends to lead to edge thinning of region 22 of the dielectric 18 during etch back, as illustrated schematically in Figure 1. A second conductive layer is deposited thereon and patterned to define a top capacitor 2o electrode 24. A top dielectric layer 26 is deposited overall. For high voltage capacitors, edge thinning of the dielectric in region 22 may significantly degrade the breakdown voltage. Where a stacked capacitor structure with more than two electrodes is formed, the cumulative effect of edge thinning of several layers may significantly effect the planarity of the structure.
Part of an integrated circuit having multilevel metallization and comprising a capacitor 50 fabricated according to a first embodiment of the present invention is 3o shown in cross-section in Figure 3. Figure 2 shows an oblique view of the conductive electrodes of the capacitor structure 50, with the dielectric layers omitted for clarity. The capacitor 50 is formed on a dielectric isolation layer 54, e.g. a conventional field oxide or ' trench isolation layer on a semiconductor substrate 52.
The capacitor structure comprises a bottom electrode 56, defined by part of a first conductive layer, comprising - ~1 -polysilicon. A first i.nterlayer dielectric layer 58 is formed thereon, and a :-.~,econd electro:>de is defined by part of a conductive :Layer for:mir~.g a fir:~t level of interconnect metal.lization. 60 (metal 1). A layer. 61 of interlaye:r dielectric is provided and then another layer of conductive material providing a second level off: metallizat:ion (metal 2) is deposited and patterned to define a third electrode 64; another layer 66 of intermetal dielectric i.s deposited and a fourth electrode is formed from the tap level 1G metallization 68 (metal 3), to complete the structure.
Each capacitor electrode has a main portion, i.e. 56,, 60, 64 and 68, comprising a planar rectangular plate delineated by a boundary shown as edges 82 of the main portion 56 (see Figure 4A) and a dashed line 84. A surrounding portion of each electrode i.e. 57, 6:1, 65, and 69 formed from the same layer of metallization as the main portion of each electrode. The surrounding portion takes the form of a coplanar ring, i.e. ring 57 which is spaced from the edges 82 of the main portion 56 of the bortorta electrode along at least a part of the boundary defining edges 82. As ~~hown in Figure 4A, the surrounding portion extends from the main portion 56 along the boundary repreecented by the dashed line 84. Thus, each electrode resembles a conventional rectangular plate su.rrounc~ed by a. ring of a corresponding rectangular form. The ring is thus >ep~rrated from the plate along 3 edges of the rectangu:l.ar plate and is continuous with the other side which defines the boundary of the main portion of the electrode plate.
Sets of conductive vial 10, 72 and 74 are defined 3o between adjacent electrodes, with separate parts 75 of each conductive layer interconnecting the vias, i.e. forming a jumper, which connects alternate electrodes to the same polarity. Thus, the capacitor structure is configured as a stacked capacitor equivalent to two capacitors in parallel.
The capacitance is determined by the overlap of the main portions of the electrodes.

_. ~.2 -The second, third and fourth electrodes and their corresponding protective rings are formed from the first, second and third coxxductive layers camprising multilevel meta:Llization forming conventional conductive interconnect on other parts of the :i.ntegrated cia.°cuit, Plan views of individual electrodes ~'p6 and 6U, as viewed along arrow IV
of Figure 2 are shown in Figures 4A anc~ ~B.
The protecting rings 57, 61., 65 and 69 ensure that during planarization, even if there is some thinning of the 1o dielectric around edges of the structux-e, a uniform thickness of dielectric is rzuaintained between the main portions of the capacitor electrodes, i.e. between the capacitor plates.
For example, in a. method of fabricating a capacitor structure according t.o the first embodiment, as shown in Figures 2, 3, 4A and 4B, an integrated circuit substrate 52 is provided and a layer of conductive material is deposited on an isolation layer 5~. The conductive layer is patterned to define bottom capacitor electrode comprising a rectangular xnai.n portion 56, and a surrounding portion 57 in the farm of a rectangular ring (see Figure 4A). The conductive layer fox-ming r_:he bottom electrode may comprise a layer of polysilicon, :~.g. part of a gate polysilicon layer of a bipolar CMOS integrated circuit.
The polysilicon layer may be doped in situ during deposition, or by ion implantation of an undoped polysilicon layer, as conventional and the polysilicon dopant is activated by a post deposition anneal to form a conductive layer of polysilicon. A placzarized layer of 3o dielectric 58 is provided on the bottom electrode, for example, by depositing a thick inter:layer dielectric layer over the electrode, then a planarizing layer of spin on glass (SOG). This step is followed by an etchback, e.g. by reactive ion etching or other known method, leaving a planarized layer of dielectric of the required thickness extending over the electrode.
During planarizat~ion, the ~>rotecting rings prevent thinning of the dielectric near edges caf the main portion of the electrode. Although some thinning may occur over edges of the protecting rings, the dielectric thickness between the main portions of; the el.~e:ctrodes is maintained with a substantially ur~iform thickness. Any thinning at edges of the main portion of the capacitor plates is much less than near edges of the protecting rings. Thus the protecting rings substantial..ly increase control of breakdown voltage, leakage current and improve manufacturing control of capacitance variation.
Vias 70 are defined through the dielectric 58 to the main portion of the electrode SE.i and to connecting portion 75 of the cc>nduct;i.ve layer. The vias 70 are filled with conductive material to form contacts to the underlying electrode.
A second conductive layer is tine provided by part 2c of a first level of interconnect metallization which is deposited overall, and is patterned in <~ conventional manner to define a second capacitor electrode (Figure 4B), overlying the first electrode, with a main portion 60 and a protecting ring 61, vertic:al.ly al.igr~e~d over the protecting 2s ring 57 , of the fir~;t elec::trode 56 ( t~ igur~e 2 ) . The second electrode 60 of the capac:i.tor structure is patterned to define a main portion 60 of the electrode which partly overlaps the main portion of the bottom electrode 56, i.e.
as shown in Figures 2 and 3. Thus t:he area of overlap of 3o the main portions 56 and 60 of. the capacitor electrodes defines the active capacitor area.
Another layer of dielectric 62 is then provided, i.e. a layer of conventional interlayer dielectric.
Another set of vias 72 are defined a.nd filled with 3s conductive material to provide :interconnections between electrodes as shown in Figures 2, 4A and 4B. The stacking of the electrodes is showri schematically in Figure 3. That is, a third electrode 64 of the capacitor being defined by part of a second level metallization layer, an intervening layer of interlayer dielectric 66 forming the capacitor , dielectric thereon, then a top level of metallization defining the top (fourth) electrode 68 of the capacitor , structure. Another set of conductive vias 74 provides interconnections with the underlying capacitor electrodes.
The resulting parallel plate configuration is such that main portions of conductive electrodes in all layers overlap, and are electrically interconnected so that any two adjacent layers are opposite polarities. This scheme results in electrically parallel connection of the capacitor plates so that the total capacitance is the sum of the capacitance of adjacent plates.
Preferably, the same spacing of the protecting rings from the electrodes is maintained for each electrode.
The spacing depends on the planarization scheme and how much thinning of the edge of the electrodes is acceptable.
In one example, using a 1~1m thick metal layer for each 2o electrode, the protecting rings were placed ~3).1m from the edge of the electrode. Typically in structures with 1-2 ~.un thick metallization layers, closely spaced metal features were planarized very well with spin-on-glass schemes using a protecting ring which was placed within 3-SEtm of the electrode plate edge.
Regarding the choice of conductive material used for the electrodes, known conductive layers including polysilicon, conventional known metals and metal alloys, including aluminum, and metallic compounds such as TiN, so TiW, etc., may be used. The sheet resistance should be sufficiently low to achieve linearity of the capacitor response over the operating voltage range.
tnlhen the bottom electrode is provided by a layer of polysilicon, it may be beneficial to silicide the entire electrode, to reduce the sheet resistance, e.g. if doping levels are not sufficiently high. Any suitable conventional known silicidation process may be used.

WO 96/27907 PCTlCA96/00093 The interlayer dielectric (ILD) which in this structure forms the capacitor dielectric, is typically PSG
or BPSG. The latter is generally deposited by chemical vapour deposition and then annealed by a conventional process. The ILD is planarized by ILD reflow, with or without further planarization. Alternatively planarization may be accomplished by a spin-on-glass (SOG) based process and/or chemical mechanical polishing (CMP). Alternatively, after deposition overall of a thick layer of intermetal 1o dielectric, the latter planarized, by etching back i.e. by reactive ion etching or preferably by chemical mechanical polishing.
A capacitor structure according to a second embodiment of the present invention is shown in Figures 5 to 7. An oblique view of the conductive layers forming the capacitor electrodes is.shown in Figure 5 and a cross-sectional view through the capacitor electrodes is shown in Figure 6. The first electrode comprises a main portion 156 and a surrounding portion 157, which takes the form of a 2o ring spaced from the main portion along edges 180 of the main portion 156. Surrounding portion 157 thus forms a separate ring from the main portion 156 of the first electrode as shown in Figure 7A. The structure of the second and~fourth electrodes is similar to that of the 2s electrodes of the capacitor first embodiment. That is, the second electrode, comprises a main portion 160 (see Figure 7B) and a surrounding portion 161 which is spaced from the main portion 160 along three edges 182 of the main portion and continuous with the main portion along the remainder of 3o the boundary 184. In plan view, the third electrode is of . the same structure as the first electrode shown in Figure 7A (i.e. having a separate ring) and the fourth electrode is of the same structure as the second electrode in 7B.
Interconnections between electrodes are provided by sets 35 conductive vias 170, 172 and 174 between successive conductive layers, as shown in Figure 6. Sets of vias are interconnected through isolated parts 175 of the conductive layers which define the first and third electrodes, to provide connections between the first and third electrodes.
Interconnections between the second and fourth electrodes are provided by vias forming interconnections through the rings 157 and 165. .
Thus the electrodes are interconnected through the vias 170, 172,174. The polysilicon ring of the bottom electrode has no electrical connection to the polysilicon capacitor plate, and thus the plates at the two neighboring so levels are not shorted. As shown, the stacking of the plates and rings associated with each level is such that all the rings are shorted electrically by via holes connecting each level. Thus alternate conductive electrodes are also shorted to the rings, as shown in Figure 6.
A capacitor structure 200 according to a third embodiment of the present invention is shown in Figures 8 to 11. As shown in Figure 8, the capacitor structure 200 has a stacked structure similar to that of the first 2o embodiment. However, each conductive layer is patterned to form a pair of electrodes. Each electrode comprises a main portion defining a plurality of elongate elements, i.e.
fingers, each of which are interconnected at one end to form a comb like structure. The fingers, for example 211 and 221, in the two electrodes 210 and 220 are arranged in an interdigitated configuration as shown Figure 11, which is a plan view of the top electrodes as viewed along arrow XI in Figure 8. Four similar layers of electrodes are formed, respectively from a first conductive layer 3o comprising a layer of polysilicon, and first, second and third levels of interconnect metallization respectively.
The four conductive layers of the interconnect metallization each form pairs of electrodes 212 and 222, 214 and 224, and 216 and 226, identical in form to the bottom electrode pair 210 and 220, and isolated from one another by intervening layers of interlayer dielectric.
Dielectric also extends between the individual fingers of each electrodes. Electrodes 210, 212, 214 and 216 form a set which are interconnected by a plurality of stacked conductive vial 240 and thus are all of the same polarity.
Correspondingly, electrodes 220, 222, 224 and 226 are _ 5 interconnected by vias 230 and form another set of electrodes of the opposite polarity. For clarity, the interlayer dielectric layers are not shown in Figure 8. A
cross-sectional diagram through the structure along one set of fingers is shown in Figure 9, shows schematically how 1o the conductive layers forming the electrodes are defined by successive layers of metallization and separated by the layers of interlayer dielectric 250, 252, 254, and top dielectric layer 256.
The two sets of electrodes of opposite polarity 15 are arranged so that the resulting pattern of polarity of the fingers, shown in cross section through the capacitor structure in Figure 10, is such that fingers in each layer are arranged adjacent fingers of the opposite polarity.
Fingers of the same polarity are vertically stacked. This 2o scheme results in an electrically parallel connection of capacitor plates. The total capacitance is the summation of the capacitance of all adjacent plates. Thus, the arrangement is effectively equivalent to a plurality of vertical capacitor plates each comprising a stack of 25 fingers of the same polarity, with alternate plates are electrically connected to opposite polarities.
The effective area of each individual element, i.e. each finger of the electrode, is small. However this configuration effectively provides numerous parallel plates 3o in the vertical direction and can be used to obtain similar capacitance as with horizontal stacked plates. As the metal spacing shrinks in future technologies, the effective dielectric thickness between such vertical electrical plates would be reduced, which would result in an increased 35 capacitance between the plates.
The structure of the third embodiment is similar to the parallel plate configuration of the first embodiments except that effectively, each plate is divided into a plurality of straight parallel. fingers. Any two adjacent fingers in the plane are connected to opposite polarities. The pattern of polarity of: each layer can be different for each layer, or identical for each layer.
When the same pattern of alternating polarity i_s app:Lied to each level, as in the second embodiment:, it results in an effective parallel plate configuration with vertical electrical plates, composed of vertically stacked lines in to each of the four layers. Alternate vertical plates have opposite polarities.
As described below, other polarity configurations may be provided by alternative via interconnection schemes to provide other configurations of caparcitor plates.
Consequently capacitor structures according to other embodiments of the present invention are provided 'by a set of electrodes of a similar structure, e.g. sets of planar, parallel int:erdig,i.tated fingers, but using different configurations c::~f interr~oz°mections to form 2o different patterns of pol<::~rity of the i.ndividua.l fingers in a vertical plane.
That is, a capacitor structure 300 according' to a fourth embodiment of: the present ..invention is shown in Figures 12 to 15. The capacitor :is :shown in oblique view in Figure 12, for clarity showing only the conductive electrodes, and omitting the dielect.xic, as mentioned above, and in cross section in Figure 13. Figure 14 shows the structure in cross-section through the electrodes, along line XIV-XIV of Figure 1.2. Plan views of individual 3o electrodes are shown in Figures 15A and 1.5B.
The capacitor 300 of the fourth embodiment has interdigitated pairs of electrodes, 310 and 320, 312 and 322, 314 and 324, and 316 and 326, defined by each of four conductive layers , similar to the electrodes of the third embodiment. However, the structure of alternate layers differs in the configuration and interconnections between the electrodes fingers, so that alternate fingers of each electrode are interconnected to opposite polarities, and electrodes fingers in adjacent layers are also adjacent ~ fingers of opposite polarities, as shown in Figure 14, which is a cross-section cut vertically through the individual fingers, e.g. 311, of each electrode. In this configuration, stacks of electrode fingers of the same polarity stepped, and thus in effect inclined with respect to the substrate surface, e.g. along axis 350 of Figure 14.
The overall configuration results in any two 1o adjacent fingers horizontally or vertically being connected to opposite polarities as shown in Figure 14. This configuration allows for an increase in the capacitance per unit surface area. The smaller the gap between the fingers, the higher the capacitance. Nevertheless, as the gap size is reduced, the dielectric breakdown voltage may be compromised.
In a capacitor structure which is a variation of the fourth embodiment, each layer of metallization provides a pair of capacitor electrode 352 and 356, similar to that of the fourth embodiment described above. In addition, each pair of electrodes is provided with a protecting ring 360, surrounding the main portion of each electrode, shown in Figure 16. The ring similar to the protecting rings which are a feature of the capacitor first embodiment, and functions, reduce edge thinning of the dielectric during fabrication, thereby assisting in control of breakdown voltage.
A capacitor structure 400 according to.a fifth embodiment of the present invention is shown in Figure 17, 18 and 19. The capacitor structure 400 comprises four ., layers of conductive metallization defining pairs of i electrodes 410 and 420, 412 and 422, 414 and 424, and 416 and 426, each having a plurality of fingers 411. The pairs of electrodes in each conductive layer are arranged with interdigitated fingers of electrodes, and interconnected so as to be configured similarly to those of the third embodiment, except that the conductive layers are stacked so that successive electrodes are laterally offset, as Figure 19 shows schematically. Thus, individual fingers 411 in adjacent electrodes are in parallel alignment and laterally offset as shown in cross section in Figure 18.
This arrangement effectively provides vertically tilted stacks of electrode fingers as shown by the dashed lines 450 in Figure 18. The stacks of electrode fingers are effectively equivalent to electrode plates inclined relative to the substrate surface, e.g. along axis 450, to to provide an increased plate area/capacitance per unit surface area relative, to the structure of the second embodiment. Figure 19 shows a top plan view along arrow XIX of Figure 17 showing schematically how the electrodes are stacked. A protecting ring, shown schematically by dashed line 460 is provided around each pair of electrodes, similarly as described in other embodiments.
Depending on the interconnection between electrodes, and mode of application of signal, different electrical plate configurations may result 2o Figure 20A and 20B shows test results comparing measurements on two batches of capacitors, the first being of the rectangular parallel plate structure of the first embodiment, and the second being a capacitor according to the fourth embodiment. Both capacitors were defined with the same design area, and differ in that one has solid plate electrodes, and the other has electrodes having an interdigitated finger structure. These data show that the capacitance is significantly higher for the interdigitated electrode structure. The interdigitated finger structure of the electrodes of capacitor according to the fourth embodiment added as much as 40~ capacitance for the same design area than a conventional parallel plate counterpart.
The fringing field between electrode fingers for a structure similar to that of the fourth embodiment is shown schematically in Figure 21.. The interdigitated plates provide at least 40~ more charge storage in the same volume. This increase in capacitance translates into about WO 96/27907 PCTlCA96/00093 30~ reduction in the design area for the same capacitance requirement. Furthermore, these capacitors have breakdown voltages greater than 100V. The leakage current of the interdigitated 3pF capacitor was on average 25pA at 13V, a r 5 factor of 4 times higher than the structure of the first embodiment.
The following examples are provided to show why a parallel configuration of the high voltage back end capacitor has an inherent advantage in that the more the 1o metal-dielectric-metal capacitors connected in parallel, the smaller the total capacitance variation.
In a simplified situation, if all dielectric layers have the same average thickness and variance, the percentage standard derivation of the capacitance is 1/'~N
15 that of the percentage standard derivation of the dielectric layer, where N is the number of metal-dielectric-metal capacitors connected in parallel and percentage of standard derivation is the percentage of the standard derivation divided by the mean.
2o Let C be the total capacitance of a back end capacitor with area A composed of three dielectric layers with thickness dl, d2 and d3. Let E be the relative permittivity and ~ the permittivity of free space, then 25 ~' = 8~af~
d~ d2 d3 Let Xr = a , then a C = EEoA~ Xf 3o The variance of the capacitance is 6~2 = ~ ~F.oA) 2 ~ 6xi z i Divide both sides by C2, 6c2 i C2 = ~~xx;
i ;
1 6'xt2 6da2 Since xt= - , - from the property of dt xa 2 d~ 2 variance, xt 2 6dt2 . . ~'xi - ~di -d~ d;
~dt 2 ~c2 _ ~ df4 io C2 ~~ 1 1 ~ d~ d;
Example 1: In one process, BPSG has a thickness of 9537A ~
593 (6.23 0 , and the inter metal dielectric had a thickness of 12955 t 762.3f~ (5.880 . Substituting these numbers into the previous equation, the variation in the total capacitance would be 3.53.
In the special case that all dielectrics have the same average thickness and variance, d~=d;=d~=d, thenad~=~d;=adk=o'o, ~c 2 3 ~o~ 2 / d4 ~o CZ - 9 ~ 2 = adz d~
6~~ _ 60 C ~d _. p The percentage standard derivation of the total capacitance is 11~3 that of the percentage standarc~i derivation of each dielectric layer.
Examzale 2: If the variation in the dielectric thickness is 13000 ~ 760 (5.9~), then for a back end capacitor including 3 dielectric layers, the variation of the capacitance would have a ~~ercentage standard derivation 1/~3 that of the dielectric, or 7601'13600 divided by ~3 =
3.4~. In 3000 dies from ti batches, a standard deviation of 2.7~ was observed.
In a capacitor structure according to a sixth embodiment, the structi.zre is similar to that. of the fifth embodiment in comprising four layers of interdi.gitated electrode fingers (Figure 22), with interconnections to provide stepped stacks of electrode fingers of the same polarity. The structures of the abc.>ve described embodiments have uniformly sized fingers in each metal level, and uniform spacing bet:weezz ~:ingers in each level of 2o metallization, On the other hand, tl~~a strwcture shown in Figure 22 has spacing and line widths which are dependent on the level of metallization. As is typical in integrated circuits having multilevel metallization, the minimum design rules for metallization line width and metal-to-metal spacing are dependent on the respective level of metal, being largest for the top metal, and decreasing down to the first level of metallizati.on. Consequently, the structure in Figure 22 has electrode fingers, e.g. 510, 512, 514, 516 of dimensions and spacings which reflect 3o these design rules. The non-uniform spacing of electrode fingers in each layer means that the electrode fingers are interconnected to formed stacks whit~.h az.~e variously oriented relative to the surface.
In an alternative method of fa~~rication, using chemical mechanical polishing (OMp) for planarization, it was found that the pratect:ing ring structure is not required. Since the ring adds to the area of the device WO 96!27907 PCT/CA96/00093 structure, it is beneficial if the ring can be omitted to provide a significant reduction in area of the capacitor.
It will be apparent that many alternatives and variations on the structures of the embodiments are possible. In particular, electrodes of structures according to the embodiments may be fabricated with or without protecting rings, and protecting rings may be separate from or continuous with each electrode. In other embodiments, the protecting ring is provided in two parts, io of the same or different polarities. Many different configurations of polarity for each electrode plate or finger are possible.
Further while the capacitor electrode structures described above comprise straight finger like electrodes, with each electrode fingers interconnected at one end, it will be clear that alternative capacitor electrode arrangements comprising a plurality of elongate elements or fingers may be defined and interconnected in other configurations.
2o Metallization schemes for advanced integrated circuits have been proposed using lower permittivity dielectrics (e.g. polyimides) as interlayer dielectrics, to reduce parasitic capacitance between conductors compared with conventional dielectrics, i.e. silicon dioxide, oxynitride and nitrides. In application of these materials to capacitor structures according to the present invention, the capacitor it would be necessary to increase the plate area to account for the reduced permittivity relative to conventional dielectrics. In the latter applications 3o digitate capacitor plates are particularly beneficial in reducing area requirements, and with multilevel metallization, i.e. TLM, QLM, can be used to obtain higher capacitance per unit area as described above.
In multilevel metallization schemes, a subset of the metallization and intermetal dielectric layers may be used to construct capacitor structures according to the present invention. While the above described embodiments WO 96127907 PCTlCA96I00093 comprise four layers of capacitor plates, any pair of metal layers may be used to fabricated a capacitor structure ~ having e.g. interdigitated electrodes, with or without the protective ring surrounding each layer. G~Thile it is convenient to use a layer of polysilicon for the bottom electrode, the four electrode capacitor structures described above may be fabricated from four levels of metallization.
Thus it will be appreciated that, while specific 1o embodiments of the invention are described in detail above, numerous variations and modifications of these embodiments fall within the scope of the invention as defined in the following claims.

Claims (12)

WHAT IS CLAIMED IS:
1 A capacitor structure for an integrated circuit having multilevel interconnect metallization comprising a plurality of layers of conductive metallization and layers of interlayer dielectric, the capacitor structure comprising:
an isolation layer having formed thereon a first electrode defined by part of a first layer of conductive metallization;
a layer of capacitor dielectric formed thereon provided by part of a first interlayer dielectric;
a second electrode defined by part of a second layer of conductive metallization and overlying the first electrode;
each electrode comprising a main portion and a surrounding portion, the main portion having a boundary, the boundary defining at least one edge of the main portion, and the surrounding portion extending around the boundary of the main portion and being spaced from the main portion along said at least one edge.
2. A capacitor structure for an integrated circuit having multilevel interconnect metallization comprising a plurality of layers of conductive metallization and layers of interlayer dielectric, the capacitor structure comprising:
an isolation layer having formed thereon a first electrode defined by part of a first layer of conductive metallization;
a layer of capacitor dielectric formed thereon provided by part of a first interlayer dielectric;
a second electrode defined by part of a second layer of conductive metallization and overlying the first electrode;
each electrode comprising a main portion having a boundary, the boundary defining at least one edge of the main portion, and a surrounding portion forming a ring surrounding the main portion and spaced from the at least one edge of the main portion.
3. A structure according to claim 2 wherein the surrounding portion is spaced from the main portion along the boundary to define a ring coplanar with and surrounding the main portion of the electrode.
4. A structure according to claim 2 wherein interconnections between electrodes of different layers provides for a main portion of one polarity at said first electrode, and the ring of the surrounding portion of an adjacent electrode layer is of an opposite polarity.
5. A structure according to claim 2 further comprising an additional electrode wherein the ring surrounds said additional electrode.
6. A structure according to claim 2 wherein each electrode comprises a rectangular flat plate, the electrodes being stacked to provide a parallel stack of electrodes, each electrode having a coplanar surrounding portion of annular form spaced from the main portion.
7. A capacitor structure according to claim 2 wherein the capacitor comprises a stack of a plurality of electrodes, comprising:
conductive vias interconnecting alternate electrodes of the stack to provide sets of capacitor electrodes of the same polarity;
first and second electrical contacts being made respectively to sets of electrodes of opposite polarity, whereby the total capacitance of the stacked electrode structure is the sum of capacitances between alternate electrodes of opposite polarities.
8. A capacitor according to claim 2 wherein the main portion of each electrode comprises a plurality of mutually interconnected fingers with dielectric extending there between.
9. A structure according to claim 8 wherein fingers in alternating layers are laterally offset relative to fingers of an adjacent layer whereby stacks of fingers of the same polarity are inclined relative to a surface of the integrated circuit.
10. A structure according to claim 8 wherein fingers in each layer are mutually interconnected to form two sets of fingers in each layer, said sets having opposite polarity, with each finger adjacent another finger of the opposite polarity, and in adjacent layers, fingers of the same polarity are offset relative to those in adjacent layers, thus providing stacks of fingers of the same polarity, said stacks of fingers of the same polarity which are inclined with respect to a surface of the integrated circuit.
11. A capacitor structure for an integrated circuit having multilevel interconnect metallization comprising a plurality of layers of conductive metallization and layers of interlayer dielectric, the capacitor structure comprising:
an isolation layer having formed thereon a first electrode defined by part of a first layer of conductive metallization;
a layer of capacitor dielectric formed thereon provided by part of a first interlayer dielectric;
a second electrode defined by part of a second layer of conductive metallization and overlying the first electrode;

each electrode comprising a main portion having a boundary, the boundary defining at least one edge of the main portion, and a surrounding portion forming a ring surrounding the main portion, the ring being continuous with the main portion along part of the boundary, and spaced from the main portion along the at least one edge.
12. A capacitor structure for an integrated circuit having multilevel interconnect metallization comprising a plurality of layers of conductive metallization separated by layers of interlayer dielectric, the capacitor structure comprising:
a stack of electrodes, each electrode defined by part of one layer of conductive metallization and comprising a main portion having a boundary and a surrounding portion, the main portion defining a plurality of interconnected conductive fingers and the surrounding portion defining a ring surrounding and spaced from the main portion along at least part of the boundary;
the electrodes being separated by a capacitor dielectric defined by part of a respective layer of interlayer dielectric;
alternate electrodes being interconnected by conductive vias to provide sets of capacitor electrodes of the same polarity;
first and second electrical contacts being provided respectively to electrodes of opposite polarity, whereby the total capacitance of the stacked electrode structure is the sum of capacitances between alternate electrodes of opposite polarities.
CA002214123A 1995-03-03 1996-02-14 Capacitor structure for an integrated circuit and method of fabrication thereof Expired - Fee Related CA2214123C (en)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US08/398,264 US5583359A (en) 1995-03-03 1995-03-03 Capacitor structure for an integrated circuit
US08/398,264 1995-03-03
PCT/CA1996/000093 WO1996027907A1 (en) 1995-03-03 1996-02-14 Capacitor structure for an integrated circuit and method of fabrication thereof

Publications (2)

Publication Number Publication Date
CA2214123A1 CA2214123A1 (en) 1996-09-12
CA2214123C true CA2214123C (en) 2005-02-08

Family

ID=23574697

Family Applications (1)

Application Number Title Priority Date Filing Date
CA002214123A Expired - Fee Related CA2214123C (en) 1995-03-03 1996-02-14 Capacitor structure for an integrated circuit and method of fabrication thereof

Country Status (6)

Country Link
US (1) US5583359A (en)
EP (1) EP0813752B1 (en)
JP (1) JP4382876B2 (en)
CA (1) CA2214123C (en)
DE (1) DE69621011T2 (en)
WO (1) WO1996027907A1 (en)

Families Citing this family (317)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5817571A (en) * 1996-06-10 1998-10-06 Taiwan Semiconductor Manufacturing Company, Ltd. Multilayer interlevel dielectrics using phosphorus-doped glass
US5939766A (en) * 1996-07-24 1999-08-17 Advanced Micro Devices, Inc. High quality capacitor for sub-micrometer integrated circuits
US7321485B2 (en) 1997-04-08 2008-01-22 X2Y Attenuators, Llc Arrangement for energy conditioning
US9054094B2 (en) 1997-04-08 2015-06-09 X2Y Attenuators, Llc Energy conditioning circuit arrangement for integrated circuit
US7336468B2 (en) 1997-04-08 2008-02-26 X2Y Attenuators, Llc Arrangement for energy conditioning
US7301748B2 (en) 1997-04-08 2007-11-27 Anthony Anthony A Universal energy conditioning interposer with circuit architecture
US5978206A (en) * 1997-09-30 1999-11-02 Hewlett-Packard Company Stacked-fringe integrated circuit capacitors
WO1999026259A1 (en) * 1997-11-18 1999-05-27 Matsushita Electric Industrial Co., Ltd. Laminate, capacitor, and method for producing laminate
US6281542B1 (en) * 1998-04-14 2001-08-28 Tsmc-Acer Semiconductor Manufacturing Corp. Flower-like capacitor structure for a memory cell
US6266227B1 (en) * 1998-08-26 2001-07-24 Kyocera Corporation Thin-film capacitor
US6018175A (en) 1998-09-03 2000-01-25 Micron Technology, Inc. Gapped-plate capacitor
US6146939A (en) * 1998-09-18 2000-11-14 Tritech Microelectronics, Ltd. Metal-polycrystalline silicon-N-well multiple layered capacitor
US6094335A (en) * 1998-10-09 2000-07-25 Advanced Micro Devices, Inc. Vertical parallel plate capacitor
US6208500B1 (en) * 1998-11-25 2001-03-27 Microchip Technology Incorporated High quality factor capacitor
US6278871B1 (en) * 1998-12-29 2001-08-21 U.S. Philips Corporation Integrated circuit including a low-dispersion capacitive network
ATE337606T1 (en) * 1999-04-09 2006-09-15 St Microelectronics Nv LAYER-SHAPED CAPACITOR DEVICE
US6677637B2 (en) * 1999-06-11 2004-01-13 International Business Machines Corporation Intralevel decoupling capacitor, method of manufacture and testing circuit of the same
US6762203B2 (en) * 1999-08-03 2004-07-13 Kao Corporation Oil composition
JP4446525B2 (en) * 1999-10-27 2010-04-07 株式会社ルネサステクノロジ Semiconductor device
US6262877B1 (en) * 1999-11-23 2001-07-17 Intel Corporation Low inductance high capacitance capacitor and method of making same
JP2001189420A (en) * 2000-01-05 2001-07-10 Nec Corp Semiconductor device
US6417556B1 (en) 2000-02-02 2002-07-09 Advanced Micro Devices, Inc. High K dielectric de-coupling capacitor embedded in backend interconnect
US6323099B1 (en) 2000-02-02 2001-11-27 Advanced Micro Devices High k interconnect de-coupling capacitor with damascene process
WO2001059843A1 (en) * 2000-02-10 2001-08-16 Conexant Systems, Inc. An improved capacitor in semiconductor chips
US6383858B1 (en) * 2000-02-16 2002-05-07 Agere Systems Guardian Corp. Interdigitated capacitor structure for use in an integrated circuit
EP1130654A1 (en) * 2000-03-01 2001-09-05 Infineon Technologies AG Integrated device including a metal- insulator-metal capacitor
US6747307B1 (en) * 2000-04-04 2004-06-08 Koninklijke Philips Electronics N.V. Combined transistor-capacitor structure in deep sub-micron CMOS for power amplifiers
US6297524B1 (en) * 2000-04-04 2001-10-02 Philips Electronics North America Corporation Multilayer capacitor structure having an array of concentric ring-shaped plates for deep sub-micron CMOS
US6411494B1 (en) 2000-04-06 2002-06-25 Gennum Corporation Distributed capacitor
US6822312B2 (en) * 2000-04-07 2004-11-23 Koninklijke Philips Electronics N.V. Interdigitated multilayer capacitor structure for deep sub-micron CMOS
US6410954B1 (en) * 2000-04-10 2002-06-25 Koninklijke Philips Electronics N.V. Multilayered capacitor structure with alternately connected concentric lines for deep sub-micron CMOS
US6507063B2 (en) 2000-04-17 2003-01-14 International Business Machines Corporation Poly-poly/MOS capacitor having a gate encapsulating first electrode layer
US6411492B1 (en) * 2000-05-24 2002-06-25 Conexant Systems, Inc. Structure and method for fabrication of an improved capacitor
US6570210B1 (en) * 2000-06-19 2003-05-27 Koninklijke Philips Electronics N.V. Multilayer pillar array capacitor structure for deep sub-micron CMOS
US6284619B1 (en) * 2000-06-29 2001-09-04 International Business Machines Corporation Integration scheme for multilevel metallization structures
US6437385B1 (en) 2000-06-29 2002-08-20 International Business Machines Corporation Integrated circuit capacitor
US8744384B2 (en) 2000-07-20 2014-06-03 Blackberry Limited Tunable microwave devices with auto-adjusting matching circuit
EP1182708A3 (en) 2000-08-18 2002-03-27 Texas Instruments Incorporated High capacitance damascene capacitor
US6838717B1 (en) * 2000-08-31 2005-01-04 Agere Systems Inc. Stacked structure for parallel capacitors and method of fabrication
US6635916B2 (en) * 2000-08-31 2003-10-21 Texas Instruments Incorporated On-chip capacitor
US6625006B1 (en) * 2000-09-05 2003-09-23 Marvell International, Ltd. Fringing capacitor structure
US6974744B1 (en) 2000-09-05 2005-12-13 Marvell International Ltd. Fringing capacitor structure
US6690570B2 (en) 2000-09-14 2004-02-10 California Institute Of Technology Highly efficient capacitor structures with enhanced matching properties
US6492843B1 (en) 2000-09-29 2002-12-10 Intel Corporation Random frequency clock generator
US6385033B1 (en) * 2000-09-29 2002-05-07 Intel Corporation Fingered capacitor in an integrated circuit
US6563191B1 (en) * 2000-10-16 2003-05-13 Agilent Technologies, Inc. Interdigitated capacitor with dielectric overlay
US6980414B1 (en) 2004-06-16 2005-12-27 Marvell International, Ltd. Capacitor structure in a semiconductor device
US6410955B1 (en) * 2001-04-19 2002-06-25 Micron Technology, Inc. Comb-shaped capacitor for use in integrated circuits
US6459561B1 (en) 2001-06-12 2002-10-01 Avx Corporation Low inductance grid array capacitor
US20040009640A1 (en) * 2001-08-17 2004-01-15 Mukul Saran High capacitance damascene capacitors
ITRM20010517A1 (en) * 2001-08-29 2003-02-28 Micron Technology Inc STRUCTURE OF INTEGRATED POLYSILIC CAPACITOR.
US6888217B2 (en) * 2001-08-30 2005-05-03 Micron Technology, Inc. Capacitor for use in an integrated circuit
US6496355B1 (en) 2001-10-04 2002-12-17 Avx Corporation Interdigitated capacitor with ball grid array (BGA) terminations
US6717193B2 (en) 2001-10-09 2004-04-06 Koninklijke Philips Electronics N.V. Metal-insulator-metal (MIM) capacitor structure and methods of fabricating same
US6627509B2 (en) * 2001-11-26 2003-09-30 Delaware Capital Formation, Inc. Surface flashover resistant capacitors and method for producing same
US6661638B2 (en) * 2001-12-07 2003-12-09 Avaya Technology Corp. Capacitor employing both fringe and plate capacitance and method of manufacture thereof
TW503496B (en) * 2001-12-31 2002-09-21 Megic Corp Chip packaging structure and manufacturing process of the same
TW544882B (en) 2001-12-31 2003-08-01 Megic Corp Chip package structure and process thereof
TW584950B (en) 2001-12-31 2004-04-21 Megic Corp Chip packaging structure and process thereof
US6673698B1 (en) 2002-01-19 2004-01-06 Megic Corporation Thin film semiconductor package utilizing a glass substrate with composite polymer/metal interconnect layers
US20030155603A1 (en) * 2002-02-15 2003-08-21 Lenvis Liu Finger metal-insulator-metal capacitor with local interconnect
US6737698B1 (en) * 2002-03-11 2004-05-18 Silicon Laboratories, Inc. Shielded capacitor structure
GB0207857D0 (en) 2002-04-05 2002-05-15 Zarlink Semiconductor Ltd Integrated circuit capacitors
DE10217565A1 (en) * 2002-04-19 2003-11-13 Infineon Technologies Ag Semiconductor component with an integrated grid-shaped capacitance structure
DE10217566A1 (en) * 2002-04-19 2003-11-13 Infineon Technologies Ag Semiconductor component with an integrated capacitance structure having a plurality of metallization levels
DE10217567A1 (en) * 2002-04-19 2003-11-13 Infineon Technologies Ag Semiconductor component with an integrated capacitance structure and method for its production
EP1369922B1 (en) * 2002-06-07 2011-03-09 STMicroelectronics Srl Multilayer metal structure of supply rings having large parasitic resistance
CA2395900A1 (en) * 2002-08-12 2004-02-12 Christopher Andrew Devries Matched vertical capacitors
KR100902503B1 (en) * 2002-08-12 2009-06-15 삼성전자주식회사 High capacitance capacitor having multi vertical structure
US7229875B2 (en) * 2002-10-17 2007-06-12 Samsung Electronics Co., Ltd. Integrated circuit capacitor structure
KR100480641B1 (en) * 2002-10-17 2005-03-31 삼성전자주식회사 Metal-Insulator-Metal capacitor having high capacitance, integrated circuit chip having the same and method for manufacturing the same
DE10248722A1 (en) * 2002-10-18 2004-05-06 Infineon Technologies Ag Integrated circuit arrangement with capacitor and manufacturing process
DE10249192A1 (en) * 2002-10-22 2004-05-13 Infineon Technologies Ag Electronic component with integrated passive electronic component and method for its production
KR100505658B1 (en) * 2002-12-11 2005-08-03 삼성전자주식회사 Semiconductor device having MIM capacitor
DE10303738B4 (en) * 2003-01-30 2007-12-27 Infineon Technologies Ag Storage capacitor and memory cell array
US6800892B2 (en) * 2003-02-10 2004-10-05 Micron Technology, Inc. Memory devices, and electronic systems comprising memory devices
CN100359692C (en) * 2003-03-04 2008-01-02 台湾积体电路制造股份有限公司 Multilayer composite metal capacitor structure
US6819542B2 (en) 2003-03-04 2004-11-16 Taiwan Semiconductor Manufacturing Co., Ltd. Interdigitated capacitor structure for an integrated circuit
US6880134B2 (en) * 2003-04-09 2005-04-12 Freescale Semiconductor, Inc. Method for improving capacitor noise and mismatch constraints in a semiconductor device
US7498219B2 (en) * 2003-04-15 2009-03-03 Texas Instruments Incorporated Methods for reducing capacitor dielectric absorption and voltage coefficient
DE10326087B4 (en) * 2003-06-10 2008-03-20 Infineon Technologies Ag Component with a utility structure and an auxiliary structure
US7105909B2 (en) * 2003-07-08 2006-09-12 Cyntec Company Configuration and method for manufacturing filters comprising LC circuit
US7280590B1 (en) * 2003-09-11 2007-10-09 Xilinx, Inc. Receiver termination network and application thereof
US6999298B2 (en) * 2003-09-18 2006-02-14 American Semiconductor, Inc. MIM multilayer capacitor
US6934143B2 (en) * 2003-10-03 2005-08-23 Taiwan Semiconductor Manufacturing Co., Ltd. Metal-insulator-metal capacitor structure
US6949781B2 (en) * 2003-10-10 2005-09-27 Taiwan Semiconductor Manufacturing Co. Ltd. Metal-over-metal devices and the method for manufacturing same
US7135366B2 (en) * 2003-12-17 2006-11-14 Rotella Francis M Method for fabricating a lateral metal-insulator-metal capacitor
US7259956B2 (en) * 2003-12-19 2007-08-21 Broadcom Corporation Scalable integrated circuit high density capacitors
JP4371799B2 (en) * 2003-12-19 2009-11-25 株式会社リコー Capacitance element
US7675729B2 (en) 2003-12-22 2010-03-09 X2Y Attenuators, Llc Internally shielded energy conditioner
TWI229354B (en) * 2003-12-31 2005-03-11 Via Tech Inc Capacitor pair structure for increasing the match thereof
JP4525965B2 (en) * 2004-01-06 2010-08-18 ルネサスエレクトロニクス株式会社 Semiconductor device
JP3991230B2 (en) * 2004-02-12 2007-10-17 セイコーエプソン株式会社 Ferroelectric capacitor, method of forming the same, and ferroelectric memory
FR2870042B1 (en) * 2004-05-07 2006-09-29 St Microelectronics Sa INTEGRATED CIRCUIT CAPACITIVE STRUCTURE
US7186625B2 (en) * 2004-05-27 2007-03-06 International Business Machines Corporation High density MIMCAP with a unit repeatable structure
DE112004002962A5 (en) * 2004-06-25 2007-07-12 Technische Universität Braunschweig Carolo-Wilhelmina Multilayer capacitor and integrated circuit module
KR100624906B1 (en) * 2004-06-25 2006-09-19 매그나칩 반도체 유한회사 Parallel Capacitor in a semiconductor device
US7154734B2 (en) * 2004-09-20 2006-12-26 Lsi Logic Corporation Fully shielded capacitor cell structure
DE102004047660B4 (en) * 2004-09-30 2008-01-24 Infineon Technologies Ag Component with integrated capacity structure
JP4548082B2 (en) * 2004-10-06 2010-09-22 ソニー株式会社 Capacitance element and semiconductor device having the same
JP4615962B2 (en) * 2004-10-22 2011-01-19 ルネサスエレクトロニクス株式会社 Semiconductor device
JP4343085B2 (en) * 2004-10-26 2009-10-14 Necエレクトロニクス株式会社 Semiconductor device
JP2008535207A (en) 2005-03-01 2008-08-28 エックストゥーワイ アテニュエイターズ,エルエルシー Regulator with coplanar conductor
WO2006093831A2 (en) 2005-03-01 2006-09-08 X2Y Attenuators, Llc Energy conditioner with tied through electrodes
US7009832B1 (en) * 2005-03-14 2006-03-07 Broadcom Corporation High density metal-to-metal maze capacitor with optimized capacitance matching
JP2006261455A (en) * 2005-03-17 2006-09-28 Fujitsu Ltd Semiconductor device and mim caspacitor
TWI258865B (en) * 2005-03-29 2006-07-21 Realtek Semiconductor Corp Longitudinal plate capacitor structure
GB0506899D0 (en) * 2005-04-05 2005-05-11 Plastic Logic Ltd Multiple conductive layer TFT
US20060261439A1 (en) * 2005-05-17 2006-11-23 Chih-Fu Chien Capacitor structure
US7339225B2 (en) * 2005-05-20 2008-03-04 Faraday Technology Corp. Capacitor structure
FR2886458B1 (en) * 2005-05-25 2007-09-07 St Microelectronics Sa CAPACITIVE NETWORK
US20060273425A1 (en) * 2005-06-06 2006-12-07 Khan Qadeer A High density capacitor structure
TWI269321B (en) * 2005-07-27 2006-12-21 Ind Tech Res Inst Symmetrical capacitor
US7548407B2 (en) * 2005-09-12 2009-06-16 Qualcomm Incorporated Capacitor structure
US7202548B2 (en) * 2005-09-13 2007-04-10 Via Technologies, Inc. Embedded capacitor with interdigitated structure
JP2007081132A (en) * 2005-09-14 2007-03-29 Sharp Corp Semiconductor integrated circuit
DE102005046734B4 (en) * 2005-09-29 2011-06-16 Infineon Technologies Ag Semiconductor device with integrated capacitance structure
US8536677B2 (en) * 2005-10-04 2013-09-17 Infineon Technologies Ag Capacitor structure
US20070102745A1 (en) * 2005-11-04 2007-05-10 Tsun-Lai Hsu Capacitor structure
US7502218B2 (en) * 2005-11-09 2009-03-10 Taiwan Semiconductor Manufacturing Co., Ltd. Multi-terminal capacitor
US9406444B2 (en) * 2005-11-14 2016-08-02 Blackberry Limited Thin film capacitors
US7561407B1 (en) * 2005-11-28 2009-07-14 Altera Corporation Multi-segment capacitor
US8169014B2 (en) * 2006-01-09 2012-05-01 Taiwan Semiconductor Manufacturing Co., Ltd. Interdigitated capacitive structure for an integrated circuit
US7645675B2 (en) * 2006-01-13 2010-01-12 International Business Machines Corporation Integrated parallel plate capacitors
US7711337B2 (en) 2006-01-14 2010-05-04 Paratek Microwave, Inc. Adaptive impedance matching module (AIMM) control architectures
US20070181973A1 (en) * 2006-02-06 2007-08-09 Cheng-Chou Hung Capacitor structure
TWI271754B (en) * 2006-02-16 2007-01-21 Jmicron Technology Corp Three-dimensional capacitor structure
SG135079A1 (en) 2006-03-02 2007-09-28 Sony Corp Memory device which comprises a multi-layer capacitor
CN101395683A (en) 2006-03-07 2009-03-25 X2Y衰减器有限公司 Energy conditioner structures
US7274085B1 (en) * 2006-03-09 2007-09-25 United Microelectronics Corp. Capacitor structure
CN100454550C (en) * 2006-03-24 2009-01-21 联华电子股份有限公司 Capacitance structure
CN101047063B (en) * 2006-03-30 2010-10-13 财团法人工业技术研究院 Capacitor structure
US8053824B2 (en) * 2006-04-03 2011-11-08 Lsi Corporation Interdigitated mesh to provide distributed, high quality factor capacitive coupling
US7411270B2 (en) * 2006-04-03 2008-08-12 Freescale Semiconductor, Inc. Composite capacitor and method for forming the same
TW200739898A (en) * 2006-04-13 2007-10-16 Jmicron Technology Corp Three-dimensional capacitor structure
US20070267733A1 (en) * 2006-05-18 2007-11-22 International Business Machines Corporation Symmetrical MIMCAP capacitor design
JP2009540541A (en) * 2006-06-02 2009-11-19 ケネット・インコーポレーテッド Improved metal-insulator-metal capacitor
US7466534B2 (en) * 2006-06-06 2008-12-16 International Business Machines Corporation High capacitance density vertical natural capacitors
TWI299206B (en) * 2006-06-16 2008-07-21 Realtek Semiconductor Corp X-shaped semiconductor capacitor structure
US8330251B2 (en) * 2006-06-26 2012-12-11 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device structure for reducing mismatch effects
US7511939B2 (en) 2006-08-24 2009-03-31 Analog Devices, Inc. Layered capacitor architecture and fabrication method
US7714676B2 (en) 2006-11-08 2010-05-11 Paratek Microwave, Inc. Adaptive impedance matching apparatus, system and method
US7535312B2 (en) 2006-11-08 2009-05-19 Paratek Microwave, Inc. Adaptive impedance matching apparatus, system and method with improved dynamic range
US7403147B2 (en) * 2006-11-29 2008-07-22 Sitime Corporation Precision capacitor array
TWI321842B (en) 2006-12-05 2010-03-11 Via Tech Inc Capacitor structure for integrated circuit
CN1996595B (en) * 2006-12-21 2010-05-19 威盛电子股份有限公司 Capacitance structure for the integrated circuit
US7551421B2 (en) 2006-12-26 2009-06-23 International Business Machines Corporation Capacitor having electrode terminals at same end of capacitor to reduce parasitic inductance
JP2008235498A (en) * 2007-03-20 2008-10-02 Renesas Technology Corp Semiconductor device
US7838919B2 (en) * 2007-03-29 2010-11-23 Panasonic Corporation Capacitor structure
US8207569B2 (en) * 2007-06-06 2012-06-26 Qualcomm, Incorporated Intertwined finger capacitors
US20090141423A1 (en) * 2007-07-12 2009-06-04 James Chyi Lai Parallel plate magnetic capacitor and electric energy storage device
US20090015983A1 (en) * 2007-07-12 2009-01-15 Western Lights Semiconductor Corp. Parallel plate capacitor
GB2466840B (en) * 2009-01-12 2011-02-23 Northern Lights Semiconductor A parallel plate magnetic capacitor and electric energy storage device
US7701037B2 (en) * 2007-07-31 2010-04-20 International Business Machines Corporation Orientation-independent multi-layer BEOL capacitor
US8022458B2 (en) 2007-10-08 2011-09-20 Taiwan Semiconductor Manufacturing Company, Ltd. Capacitors integrated with metal gate formation
US7990676B2 (en) * 2007-10-10 2011-08-02 Advanced Micro Devices, Inc. Density-conforming vertical plate capacitors exhibiting enhanced capacitance and methods of fabricating the same
JP2009111013A (en) * 2007-10-26 2009-05-21 Rohm Co Ltd Semiconductor apparatus
JP2009111110A (en) * 2007-10-30 2009-05-21 Nec Electronics Corp Semiconductor device
US7991363B2 (en) 2007-11-14 2011-08-02 Paratek Microwave, Inc. Tuning matching circuits for transmitter and receiver bands as a function of transmitter metrics
US8138539B2 (en) * 2007-11-29 2012-03-20 Infineon Technologies Ag Semiconductor devices and methods of manufacture thereof
US7872852B2 (en) 2008-02-12 2011-01-18 United Microelectronics Corp. Conductive structure having capacitor
US20090230509A1 (en) * 2008-03-12 2009-09-17 Broadcom Corporation Finger capacitor structures
US20100044833A1 (en) * 2008-08-25 2010-02-25 Tao Cheng Integrated capacitor
FR2935533B1 (en) * 2008-08-27 2011-07-22 St Microelectronics Sa THREE DIMENSIONAL CAPACITOR AND METHOD FOR TOPOLOGIC DESIGN OF SUCH CAPACITOR.
US8072285B2 (en) 2008-09-24 2011-12-06 Paratek Microwave, Inc. Methods for tuning an adaptive impedance matching network with a look-up table
US8120086B2 (en) * 2008-09-30 2012-02-21 Taiwan Semiconductor Manufacturing Co., Ltd Low leakage capacitors including portions in inter-layer dielectrics
US8114734B2 (en) * 2008-10-21 2012-02-14 United Microelectronics Corp. Metal capacitor and method of making the same
GB2464542A (en) * 2008-10-21 2010-04-28 Cambridge Silicon Radio Ltd Interdigitised metal on metal capacitor
US8716778B2 (en) * 2008-11-17 2014-05-06 Altera Corporation Metal-insulator-metal capacitors
US7944732B2 (en) * 2008-11-21 2011-05-17 Xilinx, Inc. Integrated capacitor with alternating layered segments
US7994610B1 (en) 2008-11-21 2011-08-09 Xilinx, Inc. Integrated capacitor with tartan cross section
US8207592B2 (en) * 2008-11-21 2012-06-26 Xilinx, Inc. Integrated capacitor with array of crosses
US7956438B2 (en) * 2008-11-21 2011-06-07 Xilinx, Inc. Integrated capacitor with interlinked lateral fins
US7994609B2 (en) * 2008-11-21 2011-08-09 Xilinx, Inc. Shielding for integrated capacitors
US8362589B2 (en) * 2008-11-21 2013-01-29 Xilinx, Inc. Integrated capacitor with cabled plates
US20100177457A1 (en) * 2009-01-10 2010-07-15 Simon Edward Willard Interdigital capacitor with Self-Canceling Inductance
DE102009000168B4 (en) * 2009-01-13 2017-03-23 Robert Bosch Gmbh Micromechanical structures and methods for operating a micromechanical structure
US8537523B1 (en) 2009-02-11 2013-09-17 Altera Corporation Method and apparatus for implementing a metal capacitor with L-shaped fingers
JP5540520B2 (en) * 2009-02-16 2014-07-02 ソニー株式会社 Capacitive element, capacitive element design method, and integrated circuit device including the capacitive element
JP2010212629A (en) * 2009-03-12 2010-09-24 Panasonic Corp Semiconductor device
KR101595788B1 (en) * 2009-03-18 2016-02-22 삼성전자주식회사 Capacitor structure and method of manufacturing the capacitor structure
US8027144B2 (en) * 2009-04-28 2011-09-27 United Microelectronics Corp. Capacitor structure
US8482048B2 (en) * 2009-07-31 2013-07-09 Alpha & Omega Semiconductor, Inc. Metal oxide semiconductor field effect transistor integrating a capacitor
US8472888B2 (en) 2009-08-25 2013-06-25 Research In Motion Rf, Inc. Method and apparatus for calibrating a communication device
US8378450B2 (en) * 2009-08-27 2013-02-19 International Business Machines Corporation Interdigitated vertical parallel capacitor
US7969239B2 (en) * 2009-09-29 2011-06-28 Silicon Storage Technology, Inc. Charge pump circuit and a novel capacitor for a memory integrated circuit
US9026062B2 (en) 2009-10-10 2015-05-05 Blackberry Limited Method and apparatus for managing operations of a communication device
US10283443B2 (en) 2009-11-10 2019-05-07 Taiwan Semiconductor Manufacturing Co., Ltd. Chip package having integrated capacitor
US9941195B2 (en) 2009-11-10 2018-04-10 Taiwan Semiconductor Manufacturing Co., Ltd. Vertical metal insulator metal capacitor
US9343237B2 (en) 2009-11-10 2016-05-17 Taiwan Semiconductor Manufacturing Company, Ltd. Vertical metal insulator metal capacitor
US8810002B2 (en) * 2009-11-10 2014-08-19 Taiwan Semiconductor Manufacturing Company, Ltd. Vertical metal insulator metal capacitor
JP2010093288A (en) * 2009-12-18 2010-04-22 Renesas Technology Corp Semiconductor device
GB201003808D0 (en) * 2010-03-08 2010-04-21 Mantock Paul L A high energy storage capacitor
US8803631B2 (en) 2010-03-22 2014-08-12 Blackberry Limited Method and apparatus for adapting a variable impedance network
JP5732742B2 (en) 2010-04-16 2015-06-10 富士通セミコンダクター株式会社 Semiconductor integrated circuit device and manufacturing method thereof
WO2011133657A2 (en) 2010-04-20 2011-10-27 Paratek Microwave, Inc. Method and apparatus for managing interference in a communication device
US8971014B2 (en) 2010-10-18 2015-03-03 Taiwan Semiconductor Manufacturing Company, Ltd. Protection structure for metal-oxide-metal capacitor
US8987862B2 (en) 2011-01-12 2015-03-24 Freescale Semiconductor, Inc. Methods of forming semiconductor devices having conductors with different dimensions
CN102610609B (en) * 2011-01-19 2014-09-10 万国半导体股份有限公司 Bimetal-oxide semiconductor field effect transistor integrated with capacitor
CN102610608B (en) * 2011-01-19 2014-10-15 万国半导体股份有限公司 Metal-oxide semiconductor field effect transistor integrated with capacitor
TWI488285B (en) * 2011-01-19 2015-06-11 Alpha & Omega Semiconductor Dual metal oxide semiconductor field effect transistors integrating a capacitor
US8712340B2 (en) 2011-02-18 2014-04-29 Blackberry Limited Method and apparatus for radio antenna frequency tuning
US8493708B2 (en) 2011-02-21 2013-07-23 International Business Machines Corporation Capacitor structure
US8653844B2 (en) 2011-03-07 2014-02-18 Xilinx, Inc. Calibrating device performance within an integrated circuit
JP2012221965A (en) * 2011-04-04 2012-11-12 Elpida Memory Inc Semiconductor storage device and manufacturing method of the same
US8594584B2 (en) 2011-05-16 2013-11-26 Blackberry Limited Method and apparatus for tuning a communication device
US8916919B2 (en) 2011-06-23 2014-12-23 International Business Machines Corporation Interdigitated vertical native capacitor
US9769826B2 (en) 2011-08-05 2017-09-19 Blackberry Limited Method and apparatus for band tuning in a communication device
US8759893B2 (en) * 2011-09-07 2014-06-24 Taiwan Semiconductor Manufacturing Company, Ltd. Horizontal interdigitated capacitor structure with vias
US8941974B2 (en) 2011-09-09 2015-01-27 Xilinx, Inc. Interdigitated capacitor having digits of varying width
US8692608B2 (en) 2011-09-19 2014-04-08 United Microelectronics Corp. Charge pump system capable of stabilizing an output voltage
US9030221B2 (en) 2011-09-20 2015-05-12 United Microelectronics Corporation Circuit structure of test-key and test method thereof
US9064841B2 (en) * 2011-10-07 2015-06-23 Taiwan Semiconductor Manufacturing Company, Ltd. Metal-oxide-metal capacitor apparatus with a via-hole region
US8395455B1 (en) 2011-10-14 2013-03-12 United Microelectronics Corp. Ring oscillator
US8558350B2 (en) * 2011-10-14 2013-10-15 Taiwan Semiconductor Manufacturing Company, Ltd. Metal-oxide-metal capacitor structure
US8421509B1 (en) 2011-10-25 2013-04-16 United Microelectronics Corp. Charge pump circuit with low clock feed-through
US9287209B2 (en) * 2011-11-04 2016-03-15 Broadcom Corporation Metal finger capacitor for high-K metal gate processes
US8588020B2 (en) 2011-11-16 2013-11-19 United Microelectronics Corporation Sense amplifier and method for determining values of voltages on bit-line pair
US8643142B2 (en) * 2011-11-21 2014-02-04 Sandisk Technologies Inc. Passive devices for 3D non-volatile memory
US8951859B2 (en) 2011-11-21 2015-02-10 Sandisk Technologies Inc. Method for fabricating passive devices for 3D non-volatile memory
CN102437162A (en) * 2011-12-02 2012-05-02 北京大学 Standard single-gate complementary metal oxide semiconductor (CMOS) process-based electrically-erasable programmable read-only memory (EEPROM)
US8493806B1 (en) 2012-01-03 2013-07-23 United Microelectronics Corporation Sense-amplifier circuit of memory and calibrating method thereof
US9159718B2 (en) * 2013-03-08 2015-10-13 Taiwan Semiconductor Manufacturing Co., Ltd. Switched capacitor structure
US9293521B2 (en) * 2012-03-02 2016-03-22 Taiwan Semiconductor Manufacturing Co., Ltd. Concentric capacitor structure
US8948889B2 (en) 2012-06-01 2015-02-03 Blackberry Limited Methods and apparatus for tuning circuit components of a communication device
US20130334657A1 (en) * 2012-06-15 2013-12-19 Taiwan Semiconductor Manufacturing Co., Ltd. Planar interdigitated capacitor structures and methods of forming the same
US9123719B2 (en) * 2012-06-26 2015-09-01 Broadcom Corporation Metal-oxide-metal capacitor
US9350405B2 (en) 2012-07-19 2016-05-24 Blackberry Limited Method and apparatus for antenna tuning and power consumption management in a communication device
US8970197B2 (en) 2012-08-03 2015-03-03 United Microelectronics Corporation Voltage regulating circuit configured to have output voltage thereof modulated digitally
US8724404B2 (en) 2012-10-15 2014-05-13 United Microelectronics Corp. Memory, supply voltage generation circuit, and operation method of a supply voltage generation circuit used for a memory array
US9209240B2 (en) 2012-10-16 2015-12-08 Sandisk Technologies Inc. Metal-oxide-metal capacitor structure
US8669897B1 (en) 2012-11-05 2014-03-11 United Microelectronics Corp. Asynchronous successive approximation register analog-to-digital converter and operating method thereof
US8711598B1 (en) 2012-11-21 2014-04-29 United Microelectronics Corp. Memory cell and memory cell array using the same
US8873295B2 (en) 2012-11-27 2014-10-28 United Microelectronics Corporation Memory and operation method thereof
US8643521B1 (en) 2012-11-28 2014-02-04 United Microelectronics Corp. Digital-to-analog converter with greater output resistance
EP2738827B1 (en) * 2012-11-29 2022-04-06 IMEC vzw MIMCAP structure in a semiconductor device package
US8953401B2 (en) 2012-12-07 2015-02-10 United Microelectronics Corp. Memory device and method for driving memory array thereof
US9030886B2 (en) 2012-12-07 2015-05-12 United Microelectronics Corp. Memory device and driving method thereof
US20140159200A1 (en) * 2012-12-08 2014-06-12 Alvin Leng Sun Loke High-density stacked planar metal-insulator-metal capacitor structure and method for manufacturing same
JP2014120615A (en) 2012-12-17 2014-06-30 Fujitsu Semiconductor Ltd Capacity element, capacity array, and a/d converter
US10404295B2 (en) 2012-12-21 2019-09-03 Blackberry Limited Method and apparatus for adjusting the timing of radio antenna tuning
US9374113B2 (en) 2012-12-21 2016-06-21 Blackberry Limited Method and apparatus for adjusting the timing of radio antenna tuning
US9590232B2 (en) * 2012-12-27 2017-03-07 Palo Alto Research Center Incorporated Three dimensional co-extruded battery electrodes
US10923714B2 (en) 2012-12-27 2021-02-16 Palo Alto Research Center Incorporated Structures for interdigitated finger co-extrusion
US8836079B2 (en) * 2013-01-24 2014-09-16 Qualcomm Incorporated Metal-on-metal (MoM) capacitors having laterally displaced layers, and related systems and methods
JP6079279B2 (en) * 2013-02-05 2017-02-15 三菱電機株式会社 Semiconductor device and method for manufacturing semiconductor device
US9153642B2 (en) 2013-03-05 2015-10-06 Qualcomm Incorporated Metal-oxide-metal (MOM) capacitor with enhanced capacitance
US8917109B2 (en) 2013-04-03 2014-12-23 United Microelectronics Corporation Method and device for pulse width estimation
US9105355B2 (en) 2013-07-04 2015-08-11 United Microelectronics Corporation Memory cell array operated with multiple operation voltage
KR20150010353A (en) * 2013-07-19 2015-01-28 삼성전자주식회사 A capacitor structure
US9177909B2 (en) 2013-08-14 2015-11-03 United Microelectronics Corp. Semiconductor capacitor
US9370103B2 (en) * 2013-09-06 2016-06-14 Qualcomm Incorported Low package parasitic inductance using a thru-substrate interposer
KR20150028929A (en) * 2013-09-06 2015-03-17 매그나칩 반도체 유한회사 Capacitive humidity sensor
US9685433B2 (en) * 2013-09-25 2017-06-20 Taiwan Semiconductor Manufacturing Company Ltd. Capacitor device
CN104617091A (en) * 2013-11-01 2015-05-13 华邦电子股份有限公司 Capacitor for integrated circuits
US8947911B1 (en) 2013-11-07 2015-02-03 United Microelectronics Corp. Method and circuit for optimizing bit line power consumption
US8866536B1 (en) 2013-11-14 2014-10-21 United Microelectronics Corp. Process monitoring circuit and method
JP2014053637A (en) * 2013-11-14 2014-03-20 Renesas Electronics Corp Semiconductor device
US20150137201A1 (en) * 2013-11-20 2015-05-21 Qualcomm Incorporated High density linear capacitor
US9270247B2 (en) 2013-11-27 2016-02-23 Xilinx, Inc. High quality factor inductive and capacitive circuit structure
US9143143B2 (en) 2014-01-13 2015-09-22 United Microelectronics Corp. VCO restart up circuit and method thereof
JP6342165B2 (en) * 2014-01-24 2018-06-13 ルネサスエレクトロニクス株式会社 Semiconductor device and IO cell
US10411086B2 (en) * 2014-04-07 2019-09-10 Semiconductor Components Industries, Llc High voltage capacitor and method
CN103985707A (en) * 2014-04-24 2014-08-13 无锡市晶源微电子有限公司 Capacitor of sandwich structure
US10340082B2 (en) 2015-05-12 2019-07-02 Capacitor Sciences Incorporated Capacitor and method of production thereof
US10347423B2 (en) 2014-05-12 2019-07-09 Capacitor Sciences Incorporated Solid multilayer structure as semiproduct for meta-capacitor
WO2015175558A2 (en) 2014-05-12 2015-11-19 Capacitor Sciences Incorporated Energy storage device and method of production thereof
US20170301477A1 (en) 2016-04-04 2017-10-19 Capacitor Sciences Incorporated Electro-polarizable compound and capacitor
US10096543B2 (en) * 2014-08-13 2018-10-09 Mediatek Inc. Semiconductor capacitor structure for high voltage sustain
US9524964B2 (en) 2014-08-14 2016-12-20 Xilinx, Inc. Capacitor structure in an integrated circuit
WO2016073522A1 (en) 2014-11-04 2016-05-12 Capacitor Sciences Incorporated Energy storage devices and methods of production thereof
US9438319B2 (en) 2014-12-16 2016-09-06 Blackberry Limited Method and apparatus for antenna selection
JP6332547B2 (en) * 2015-02-27 2018-05-30 株式会社村田製作所 Capacitors and electronic equipment
US9932358B2 (en) 2015-05-21 2018-04-03 Capacitor Science Incorporated Energy storage molecular material, crystal dielectric layer and capacitor
US20160343796A1 (en) * 2015-05-22 2016-11-24 Mediatek Inc. Capacitor structure and method for forming the same
CA3021580A1 (en) 2015-06-25 2016-12-29 Barry L. Merriman Biomolecular sensors and methods
US9941051B2 (en) 2015-06-26 2018-04-10 Capactor Sciences Incorporated Coiled capacitor
US9520461B1 (en) * 2015-08-28 2016-12-13 Texas Instruments Incorporated Integrated circuit with lateral flux capacitor
US10026553B2 (en) 2015-10-21 2018-07-17 Capacitor Sciences Incorporated Organic compound, crystal dielectric layer and capacitor
US10712334B2 (en) 2016-01-28 2020-07-14 Roswell Biotechnologies, Inc. Massively parallel DNA sequencing apparatus
CN109071212A (en) 2016-01-28 2018-12-21 罗斯韦尔生物技术股份有限公司 Use the method and apparatus of large-scale molecular electronic sensor array measurement analyte
WO2017139493A2 (en) 2016-02-09 2017-08-17 Roswell Biotechnologies, Inc. Electronic label-free dna and genome sequencing
US10636575B2 (en) 2016-02-12 2020-04-28 Capacitor Sciences Incorporated Furuta and para-Furuta polymer formulations and capacitors
US10305295B2 (en) 2016-02-12 2019-05-28 Capacitor Sciences Incorporated Energy storage cell, capacitive energy storage module, and capacitive energy storage system
US10597767B2 (en) 2016-02-22 2020-03-24 Roswell Biotechnologies, Inc. Nanoparticle fabrication
US10153087B2 (en) 2016-04-04 2018-12-11 Capacitor Sciences Incorporated Electro-polarizable compound and capacitor
US9978517B2 (en) 2016-04-04 2018-05-22 Capacitor Sciences Incorporated Electro-polarizable compound and capacitor
US10187030B2 (en) * 2016-04-25 2019-01-22 Kumu Networks, Inc. High quality factor time delay filters using multi-layer fringe capacitors
US9761655B1 (en) 2016-06-20 2017-09-12 International Business Machines Corporation Stacked planar capacitors with scaled EOT
US9829456B1 (en) 2016-07-26 2017-11-28 Roswell Biotechnologies, Inc. Method of making a multi-electrode structure usable in molecular sensing devices
US10395841B2 (en) 2016-12-02 2019-08-27 Capacitor Sciences Incorporated Multilayered electrode and film energy storage device
US10902939B2 (en) 2017-01-10 2021-01-26 Roswell Biotechnologies, Inc. Methods and systems for DNA data storage
KR20230158636A (en) 2017-01-19 2023-11-20 로스웰 바이오테크놀로지스 인코포레이티드 Solid state sequencing devices comprising two dimensional layer materials
CA3057151A1 (en) 2017-04-25 2018-11-01 Roswell Biotechnologies, Inc. Enzymatic circuits for molecular sensors
US10508296B2 (en) 2017-04-25 2019-12-17 Roswell Biotechnologies, Inc. Enzymatic circuits for molecular sensors
EP4023764A3 (en) 2017-05-09 2022-09-21 Roswell Biotechnologies, Inc. Binding probe circuits for molecular sensors
EP3410485B1 (en) 2017-05-30 2022-08-03 ams AG Backside illuminated image sensor
US10211147B2 (en) * 2017-07-06 2019-02-19 Globalfoundries Inc. Metal-insulator-metal capacitors with dielectric inner spacers
US11489038B2 (en) * 2017-08-29 2022-11-01 Micron Technology, Inc. Capacitors having vertical contacts extending through conductive tiers
KR20200039795A (en) 2017-08-30 2020-04-16 로스웰 바이오테크놀로지스 인코포레이티드 Progressive enzyme molecular electronic sensors for DNA data storage
EP3694990A4 (en) 2017-10-10 2022-06-15 Roswell Biotechnologies, Inc. Methods, apparatus and systems for amplification-free dna data storage
US11161281B2 (en) * 2017-12-22 2021-11-02 International Business Machines Corporation Structure and method for monitoring directed self-assembly pattern formation
EP3514828B1 (en) * 2018-01-19 2021-08-25 Socionext Inc. Semiconductor integrated circuitry
JP7027176B2 (en) * 2018-01-22 2022-03-01 ラピスセミコンダクタ株式会社 Semiconductor device
US10686031B2 (en) * 2018-03-27 2020-06-16 Qualcomm Incorporated Finger metal-oxide-metal (FMOM) capacitor
US11552030B2 (en) 2018-07-31 2023-01-10 Intel Corporation High frequency capacitor with inductance cancellation
WO2020029119A1 (en) * 2018-08-08 2020-02-13 深圳市为通博科技有限责任公司 Double-sided capacitor and manufacturing method therefor
TWI675478B (en) 2018-10-30 2019-10-21 力晶積成電子製造股份有限公司 Metal-oxide-metal capacitor structure
US10770457B2 (en) * 2018-11-06 2020-09-08 Nxp Usa, Inc. Compensated alternating polarity capacitive structures
CN109637808B (en) * 2019-01-11 2024-02-23 芯百特微电子(无锡)有限公司 Novel capacitor and device
JP6686189B1 (en) * 2019-01-25 2020-04-22 國家中山科學研究院 Capacitor array with staggered layer structure for millimeter wave frequency band
US20210066214A1 (en) * 2019-08-27 2021-03-04 Texas Instruments Incorporated Integrated circuit devices with capacitors
CN111276462B (en) * 2020-02-20 2022-11-25 电子科技大学 On-chip capacitor and communication system
US11355431B2 (en) * 2020-10-07 2022-06-07 United Microelectronics Corporation Semiconductor structure
WO2022176188A1 (en) * 2021-02-22 2022-08-25 日本電信電話株式会社 Capacitor
WO2023159386A1 (en) * 2022-02-23 2023-08-31 京东方科技集团股份有限公司 Display substrate and manufacturing method therefor, and display device
US20230370085A1 (en) * 2022-05-10 2023-11-16 Qualcomm Incorporated Matching unit capacitor with multiple metal layers

Family Cites Families (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS5955049A (en) * 1982-09-22 1984-03-29 Sumitomo Electric Ind Ltd Laminated layer structure mim capacitor
JPS61259560A (en) * 1985-05-14 1986-11-17 Nec Corp Semiconductor integrated circuit
JPS62104067A (en) * 1985-10-30 1987-05-14 Mitsubishi Electric Corp Semiconductor device
JPH01120858A (en) * 1987-11-04 1989-05-12 Mitsubishi Electric Corp Integrated circuit device
JP2705237B2 (en) * 1989-09-12 1998-01-28 三菱電機株式会社 Semiconductor device having MIM capacitor
JPH03241864A (en) * 1990-02-20 1991-10-29 Mitsubishi Electric Corp Capacitor for microwave integrated circuit
JPH03257855A (en) * 1990-03-07 1991-11-18 Matsushita Electron Corp Semiconductor device
JP2504606B2 (en) * 1990-05-18 1996-06-05 株式会社東芝 Semiconductor memory device and manufacturing method thereof
US5189594A (en) * 1991-09-20 1993-02-23 Rohm Co., Ltd. Capacitor in a semiconductor integrated circuit and non-volatile memory using same
US5275974A (en) * 1992-07-30 1994-01-04 Northern Telecom Limited Method of forming electrodes for trench capacitors
US5208725A (en) * 1992-08-19 1993-05-04 Akcasu Osman E High capacitance structure in a semiconductor device

Also Published As

Publication number Publication date
WO1996027907A1 (en) 1996-09-12
EP0813752B1 (en) 2002-05-02
JPH11501159A (en) 1999-01-26
EP0813752A1 (en) 1997-12-29
US5583359A (en) 1996-12-10
CA2214123A1 (en) 1996-09-12
JP4382876B2 (en) 2009-12-16
DE69621011D1 (en) 2002-06-06
DE69621011T2 (en) 2003-02-27

Similar Documents

Publication Publication Date Title
CA2214123C (en) Capacitor structure for an integrated circuit and method of fabrication thereof
US6897505B2 (en) On-chip capacitor
EP1806783B1 (en) Improved interdigitated capacitive structure for an integrated circuit
KR101557840B1 (en) 3 methods of fabricating three-dimensional capacitor structures having planar metal-insulator-metal and vertical capacitors therein
US7122878B2 (en) Method to fabricate high reliable metal capacitor within copper back-end process
US6365954B1 (en) Metal-polycrystalline silicon-n-well multiple layered capacitor
JP5568494B2 (en) Integrated circuit capacitor structure
KR100548999B1 (en) Logic device having a vertically extending metal-insulator-metal capacitor between interconnections and method of forming the same
US6646323B2 (en) Zero mask high density metal/insulator/metal capacitor
US6876028B1 (en) Metal-insulator-metal capacitor and method of fabrication
KR20000053364A (en) Beol decoupling capacitor
KR20020025889A (en) Multilayered capacitor structure with alternately connected concentric lines for deep submicron cmos
GB2394358A (en) Capacitor structure and fabrication method therefor in a dual damascene process
US20100090308A1 (en) Metal-oxide-metal capacitors with bar vias
US6635548B2 (en) Capacitor and method for forming same
CN112447720A (en) Semiconductor element and method for manufacturing the same
EP3627576B1 (en) Capacitor and manufacturing method for same
US20230207614A1 (en) Metal-insulator-metal (mim) capacitor including an insulator cup and laterally-extending insulator flange
JP4118202B2 (en) Semiconductor device and manufacturing method thereof
US20230420495A1 (en) Multi-capacitor module including a nested metal-insulator-metal (mim) structure
US20240006472A1 (en) Multi-capacitor module including a stacked metal-insulator-metal (mim) structure
US20230207615A1 (en) Metal-insulator-metal (mim) capacitor module including a cup-shaped structure with a rounded corner region
US20230268380A1 (en) Metal-insulator-metal (mim) capacitor module with dielectric sidewall spacer
US20230395649A1 (en) Metal-insulator-metal (mim) capacitor module
KR100662504B1 (en) Capacitor of Semiconductor Device and Method for Fabricating The Same

Legal Events

Date Code Title Description
EEER Examination request
MKLA Lapsed