CN100337089C - 器件检验 - Google Patents

器件检验 Download PDF

Info

Publication number
CN100337089C
CN100337089C CNB03164841XA CN03164841A CN100337089C CN 100337089 C CN100337089 C CN 100337089C CN B03164841X A CNB03164841X A CN B03164841XA CN 03164841 A CN03164841 A CN 03164841A CN 100337089 C CN100337089 C CN 100337089C
Authority
CN
China
Prior art keywords
diffraction grating
diffraction
displacement
asymmetric
mark
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
CNB03164841XA
Other languages
English (en)
Other versions
CN1534271A (zh
Inventor
A·J·德博伊夫
F·博内布罗伊克
H·A·J·克拉梅
M·杜沙
R·J·F·范哈伦
A·G·M·基尔斯
J·L·克鲁泽
M·范德沙尔
P·J·范维南
E·C·莫斯
P·W·H·贾格
H·范德拉安
P·F·利尔曼恩
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
ASML Netherlands BV
Original Assignee
ASML Netherlands BV
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from EP03076422A external-priority patent/EP1477860A1/en
Application filed by ASML Netherlands BV filed Critical ASML Netherlands BV
Publication of CN1534271A publication Critical patent/CN1534271A/zh
Application granted granted Critical
Publication of CN100337089C publication Critical patent/CN100337089C/zh
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F9/00Registration or positioning of originals, masks, frames, photographic sheets or textured or patterned surfaces, e.g. automatically
    • G03F9/70Registration or positioning of originals, masks, frames, photographic sheets or textured or patterned surfaces, e.g. automatically for microlithography
    • G03F9/7003Alignment type or strategy, e.g. leveling, global alignment
    • G03F9/7046Strategy, e.g. mark, sensor or wavelength selection
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F9/00Registration or positioning of originals, masks, frames, photographic sheets or textured or patterned surfaces, e.g. automatically
    • G03F9/70Registration or positioning of originals, masks, frames, photographic sheets or textured or patterned surfaces, e.g. automatically for microlithography
    • G03F9/7049Technique, e.g. interferometric
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F9/00Registration or positioning of originals, masks, frames, photographic sheets or textured or patterned surfaces, e.g. automatically
    • G03F9/70Registration or positioning of originals, masks, frames, photographic sheets or textured or patterned surfaces, e.g. automatically for microlithography
    • G03F9/7065Production of alignment light, e.g. light source, control of coherence, polarization, pulse length, wavelength
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F9/00Registration or positioning of originals, masks, frames, photographic sheets or textured or patterned surfaces, e.g. automatically
    • G03F9/70Registration or positioning of originals, masks, frames, photographic sheets or textured or patterned surfaces, e.g. automatically for microlithography
    • G03F9/7073Alignment marks and their environment
    • G03F9/7076Mark details, e.g. phase grating mark, temporary mark
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F9/00Registration or positioning of originals, masks, frames, photographic sheets or textured or patterned surfaces, e.g. automatically
    • G03F9/70Registration or positioning of originals, masks, frames, photographic sheets or textured or patterned surfaces, e.g. automatically for microlithography
    • G03F9/7073Alignment marks and their environment
    • G03F9/7084Position of mark on substrate, i.e. position in (x, y, z) of mark, e.g. buried or resist covered mark, mark on rearside, at the substrate edge, in the circuit area, latent image mark, marks in plural levels
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F9/00Registration or positioning of originals, masks, frames, photographic sheets or textured or patterned surfaces, e.g. automatically
    • G03F9/70Registration or positioning of originals, masks, frames, photographic sheets or textured or patterned surfaces, e.g. automatically for microlithography
    • G03F9/7088Alignment mark detection, e.g. TTR, TTL, off-axis detection, array detector, video detection
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F9/00Registration or positioning of originals, masks, frames, photographic sheets or textured or patterned surfaces, e.g. automatically
    • G03F9/70Registration or positioning of originals, masks, frames, photographic sheets or textured or patterned surfaces, e.g. automatically for microlithography
    • G03F9/7092Signal processing

Abstract

一种器件检验的方法,该方法包括在器件上提供一个被检验的不对称标记,标记的不对称形式由要检验的参数决定,引导光至标记,通过检测特定波长的衍射光或衍射角,获得标记的第一位置测量,通过检测不同波长的衍射光或衍射角,获得标记的第二位置测量,比较第一与第二所测量位置以确定表示标记不对称程度的位移。

Description

器件检验
技术领域
本发明涉及可用于由光刻技术进行器件生产中的检验方法以及器件检验装置。
背景技术
在采用光刻投影装置的生产过程中,图案(例如在掩模中的)被成像在至少部分由一层辐射敏感材料(抗蚀剂)覆盖的基底上。在这种成像步骤之前,可以对基底可进行各种处理,如涂底漆、涂敷抗蚀剂和软烘烤。在曝光后,可以对基底进行其它的处理,如曝光后烘烤(PEB)、显影、硬烘烤和检验成像特征。以这一系列工艺为基础,对例如IC的器件的单层形成图案。这种图案层然后可进行任何不同的处理,如蚀刻、离子注入(掺杂)、镀金属、氧化、化学-机械抛光等完成一单层所需的所有处理。如果需要多层,那么对每一新层重复全部步骤或者其变化。最终,在基底(晶片)上出现器件阵列。然后采用例如切割或者锯断的技术将这些器件彼此分开,单个器件可以安装在载体上,与管脚等连接。关于这些步骤的进一步信息可从例如Peter van Zant的 “微 型集成电路片制造:半导体加工实践入门(Microchip Fabrication:A Practical Guideto Semiconductor Processing)第三版,McGraw Hill Publishing Co.,1997,ISBN 0-07-067250-4)”一书中获得,这里作为参考引入。
抗蚀剂显影后的检验步骤一般是指计量,有两个目的。首先,需要检测在已显影的抗蚀剂中图案错误的靶部区域。如果足够数量的小片是错误的,晶片上带有图案的抗蚀剂会被剥离并且晶片被再次曝光,希望图案是正确的,而不是带着错误的图案执行加工步骤如刻蚀而造成错误的图案。其次,这种测量可以允许在光刻装置中使后来曝光的误差被检测和校正,例如照明设置或曝光时间。
计量测量可用于确定晶片的两层之间的重迭误差(overlay error),或者用于确定焦距误差或晶片一个特定层(通常为最上面的层)的特征的临界尺寸(critical dimension,简称CD)。有各种可以获得计量测量的方法。典型地,在与光刻装置分离的装置中进行。在分离的装置中进行测量通常是指离线。一个单独的离线装置可用于对几个光刻装置生产的晶片进行计量测量。
一种已知的离线计量装置用于根据印在晶片上的框的像测量重迭,第一框印在第一层上并且第二框印在第二层上。这种装置还可以用来测量焦点误差。该装置通常被称为框套框(box-in-box)(或框架套框架)(frame-in-frame)。框套框装置的缺点是由于依靠单根线成像检测,其总精度受到限制。
第二种已知的离线计量装置包括扫描电子显微镜(SEM)。该装置提供了晶片表面的非常高分辨率的测量,并且可用于CD测量。这种装置的缺点是测量速度慢而且昂贵。
第三种已知的离线计量装置是散射测定计。该装置提供CD和/或重迭测量。在散射测定计中,白光在显影的抗蚀剂中由周期性结构反射,并且因而产生的反射光谱在一给定角度被检测。该结构引起反射光谱的重组,例如,采用严格耦合光波分析(Rigorous Coupled-Wave Analysis,简称RCWA)或者通过与模拟导出的光谱库相比较。然而,结构的重组计算地非常深入并且该技术可以经受低灵敏度和差重复性。
发明内容
本发明的目的在于:提供可以克服至少一项上述缺点的器件检验。
根据本发明,提供一种器件检验的方法,该方法包括在器件上提供一个被检验的不对称标记,该标记的不对称形式由被检验的参数决定,引导光至标记,通过检测一个特定波长的衍射光或衍射角,获得标记位置的第一测量,通过检测一个不同波长的衍射光或衍射角,获得标记位置的第二测量,比较所测量的第一与第二位置以确定表示标记不对称程度的位移。
第一和第二位置测量可以包括具有不同衍射角但波长相同的衍射光的检测。或者,第一和第二位置测量可以包括具有相同衍射角但波长不同的衍射光的检测。再或者,第一和第二位置测量可以包括具有不同衍射角及不同波长的衍射光的检测。
标记可以包括一个或多个衍射光栅,并且衍射角可以包括衍射级。
标记可以包括器件第一层中的第一衍射光栅,以及器件第二低层中的第二衍射光栅,第一衍射光栅和第二衍射光栅具有相同的周期(period),并且一个覆盖另一个,这样光被两个衍射光栅的组合衍射。
标记可以包括器件第一层中的第一衍射光栅,以及器件第二层中的第二衍射光栅,第一衍射光栅和第二衍射光栅具有不同的周期,选择各自的周期以在不同衍射级引起强衍射,标记的不对称依赖于第一和第二层的重迭,测量包括通过测量一个衍射级来测量第一衍射光栅的位置,以及通过测量另一个衍射级或波长来测量第二衍射光栅的位置,所测量的位置之间的位移表示第一与第二层的重迭。
术语“强衍射”意指衍射足够强以被测量,优选地,比经过组合的两个衍射光栅的衍射更强。
标记可以包括设置为测量光刻投射装置的聚焦精度的一个相位衍射光栅,该方法包括在光刻投射装置的掩模上提供一个具有子结构的相位衍射光栅,该子结构包括一个基本上为λ/4的光程的梯度,该梯度具有相邻衍射光栅栅线相反的符号,选择子结构的周期使得当相位衍射光栅被光刻投射装置投射在器件上时,聚焦误差将导致相位衍射光栅移动,相位衍射光栅的邻接栅线沿相反方向移动,以使通过位移测量的不对称增强。
标记可以包括一个设置为测量光刻投射装置中晶片上的曝光图案的临界尺寸的衍射光栅,该方法包括成像到晶片上,具有周期或者其衍射级的子结构的衍射光栅,临界尺寸能够通过光刻投射装置成像,子结构被设置为形成补偿衍射光栅不对称的衍射光栅的附加栅线,临界尺寸的改变改善了子结构的有效反射率,从而改善了衍射光栅的不对称,改善后的不对称被作为位移检测。
本发明还提供一种器件检验装置,该装置包括一个设置为引导光至器件上的一个不对称标记的光源,一个设置为检测以一个特定波长或衍射角从标记衍射的光从而提供标记的位置测量的检测器,一个设置为检测以一个不同波长或衍射角从标记衍射的光从而提供标记的第二位置测量的第二检测器,和设置为比较所测量的位置以确定表示标记不对称程度的位移的比较装置。
本发明还提供一种器件检验装置,该装置包括一个设置为引导光至器件上的一个相位衍射光栅的光源,一个设置为检测从相位衍射光栅衍射的光的检测器,和设置为利用所检测的衍射光获得检验信息的处理装置。
在本申请中,本发明的装置具体用于制造IC,但是应该明确理解这些装置可能具有其它应用。例如,它可用于制造集成光学系统、用于磁畴存储器的引导和检测图案、液晶显示板、薄膜磁头等等。本领域的技术人员将理解,在这种可替换的用途范围中,在说明书中任何术语“划线板”、“晶片”或者“电路小片(die)”的使用应认为分别可以由更普通的术语“掩模”、“基底”和“靶部”代替。
在本文件中,使用的术语“光”、“辐射”和“光束”包含所有类型的电磁辐射,包括紫外辐射(例如具有365、248、193、157或者126nm的波长)和EUV(远紫外辐射,例如具有5-20nm的波长范围),和粒子束,如离子束或者电子束。
附图说明
现在仅通过举例的方式,参照附图描述本发明的实施方案,其中:
图1示意性地表示一种可用于生产利用本发明可以检验的器件的光刻投射装置;
图2为可用于生产利用本发明可以检验的器件的光刻加工流程图;
图3和图4示意性地表示根据本发明可以使用的计量单元;
图5示意性地表示一种根据本发明的一种方法中使用的计量衍射光栅;
图6示意性地表示一种根据本发明的一种可选方法中使用的计量衍射光栅;
图7示意性地说明衍射光栅之间的耦合;
图8示意性地表示一种根据本发明的一种可选方法中使用的计量衍射光栅;
图9和图10示意性地一种表示根据本发明的一种可选方法中使用的计量衍射光栅;
图11和图12示意性地说明一种可用于与本发明结合以减小测量误差的方法;
图13和图14示意性地表示一种根据本发明的一种可选方法中使用的计量衍射光栅,以及计量衍射光栅作用的示意说明;
图15至图18示意性地表示一种根据本发明的一种可选方法中使用的计量衍射光栅,以及使用那种衍射光栅所获得的结果;
图19和图20示意性地表示一种根据本发明的一种可选方法中使用的计量衍射光栅和计量单元;
图21和图22示意性地表示一种根据本发明的一种可选方法中使用的计量衍射光栅;
图23示意性地表示一种根据本发明可以使用的一种可选的计量单元;
图24至图26示意性地表示根据本发明的一种对准和计量方法;和
图27示意性地示出不使用衍射光栅时本发明如何实施。
在图中相应的附图标记表示相应的部件。
具体实施方式
光刻投射装置
图1示意性地表示可以用于根据本发明的方法中的一种光刻投射装置。该装置包括:
辐射系统Ex,用于提供辐射投射光束PB(例如DUV辐射),在该具体的例子中该辐射系统还包括辐射源LA;
第一目标台(掩模台)MT,设有用于保持掩模MA(例如划线板)的掩模保持器,并与用于将该掩模相对于物体PL精确定位的第一定位装置连接;
第二目标台(基底台)WT,设有用于保持基底W(例如涂敷抗蚀剂的硅晶片)的基底保持器,并与用于将基底相对于物体PL精确定位的第二定位装置连接;和
投射系统(“镜头”)PL,用于将掩模MA的辐射部分成像在基底W的靶部C(例如包括一个或多个电路小片(die))上。
如上所述,该装置是透射型的(即具有透射掩模)。但是该装置通常也可以例如是反射型的(具有反射掩模)。或者该装置可以采用其他类型的构图部件,例如上述程控反射镜阵列类型。
辐射源LA(例如受激准分子激光器)产生辐射束。该光束直接或经过如扩束器Ex的横向调节装置后,再照射到照射系统(照射器)IL上。照射器IL包括调节装置(AM),用于设定光束强度分布的外和/或内径向量(通常分别称为σ-外和σ-内)。另外,它一般包括各种其它部件,如积分器IN和聚光器CO。按照这种方式,照射到掩模MA上的光束PB在其横截面具有理想的均匀性和强度分布。
应该注意,图1中的辐射源LA可以置于光刻投射装置的壳体中(例如当源是汞灯时经常是这种情况),但也可以远离光刻投射装置,其产生的辐射光束被(例如通过适当的定向反射镜的帮助)引导至该装置中;当光源LA是准分子激光器时通常是后面的那种情况。本发明和权利要求包含这两种方案。
光束PB然后与保持在掩模台MT上的掩模MA相交。经过掩模MA之后的光束PB通过镜头PL,该镜头将光束PB聚焦在基底W的靶部C上。在第二定位装置(和干涉测量装置IF)的辅助下,基底台WT可以精确地移动,例如在光束PB的光路中定位不同的靶部C。类似的,例如在从掩模库中机械取出掩模MA后或在扫描期间,可以使用第一定位装置PM将掩模MA相对光束PB的光路进行精确定位。一般地,用图1中未明确显示的长冲程模块(粗略定位)和短行程模块(精确定位),可以实现目标台MT、WT的移动。可是,在晶片分档器中(与分步扫描装置相对),掩模台MT可仅与短冲程执行装置连接,或者固定。
所示的装置可以按照两种不同模式使用:
在步进模式中,掩模台MT基本保持不动,整个掩模图像被一次投射(即单“闪”)到靶部C上。然后基底台WT沿x和/或y方向移动,以使不同的靶部C能够由光束PB照射;和
在扫描模式中,基本为相同的情况,但是所给的靶部C没有暴露在单“闪”中。取而代之的是,掩模台MT沿给定的方向(所谓的“扫描方向,例如y方向”)以速度v移动,以使投射光束PB扫描整个掩模图像;同时,基底台WT沿相同或者相反的方向以速度V=Mv同时移动,其中M是镜头PL的放大率(通常M=1/4或1/5)。在这种方式中,可以曝光相当大的靶部C,而没有牺牲分辨率。
图2为构成本发明一部分的光刻加工的流程图。在曝光步骤S4之前,可以使用如上述的图1中的光刻投射装置完成对基底如硅晶片的涂底漆步骤S1,旋转涂敷步骤S2以用抗蚀剂层涂敷基底和软烘烤步骤S3以从抗蚀剂中除去溶剂。在曝光后,晶片经历曝光后烘烤步骤S5,显影步骤S6,在显影过程中曝光或未曝光的抗蚀剂(取决于抗蚀剂是阳性的还是阴性的)被去除,以及在检验步骤S8之间的硬烘烤S7。检验步骤S8包括各种不同的测量和检验,并且根据发明包括下文详尽描述的计量步骤。如果晶片通过了检验,执行加工步骤S9。该步骤涉及刻蚀没有被抗蚀剂覆盖的基底区域、沉积生成物层、镀金属、离子注入等等。在加工步骤S9之后,在对另一层重新开始加工前进行剥离剩余抗蚀剂S10和最终检验S11。在基底未通过步骤S8的检验的情况下,基底会被直接引导到剥离步骤S10并且进行印制同一加工层的又一次尝试。尽管优选在硬烘烤S7之后进行检验步骤,在某些情况下,可以在曝光后烘烤S5或者甚至直接在曝光S4之后进行检验步骤。这样做的方式在下文详尽描述。
在检验步骤使用图3所示类型的计量单元。该计量单元符合现有技术的对准单元,例如WO98/39689中所描述的,该文献在这里引入作为参考。参照图3,基底标志以衍射光栅的形式提供,表示为P1。具有波长λ的照明光束b入射到光栅上被分解为许多与衍射光栅法线成不同角度αn(未标注)延伸的子光束,该角度由已知的衍射光栅方程定义:
Sin α n = nλ P
其中,n为衍射级数,P为衍射光栅周期。
为了在该文献中进一步使用,衍射光栅被限定为一系列的栅线和间隔。在光强衍射光栅中栅线和间隔具有不同的反射率,所有的栅线具有基本上相等的反射率并且所有的间隔具有基本上相等的反射率。当具有平坦波前的辐射束传播到光强光栅时,在辐射离开衍射光栅的平面内栅线和间隔处的光强不同。在相位衍射光栅中,栅线和间隔具有基本上相同的反射率,但是它们具有不同的折射率和/或不同的高度。当具有平坦波前的辐射束传播到相位光栅时,在辐射离开衍射光栅的平面内栅线和间隔处的相位不同。
被衍射光栅反射的子光束的路径并入透镜系统L1,该透镜系统L1将子光束的不同方向转换为平面73内的不同位置μn
                  un=f1αn
在该平面内,装置用于进一步分解不同的子光束。为此,可以在该平面内设置一个板,该板带有偏转元件具有例如楔状物的形式。图3中,楔状板用WEP表示。楔状物在例如板的后面。棱镜72可以在板的前面,从辐射源70发出的入射光束例如He-Ne激光,通过棱镜可以耦合入计量传感器。该棱镜还可以防止0级子光束到达检测器(在检测器处不需要0级子光束)。楔状物的数量相应于要使用的子光束的数量。在该实施例中示出每维附加级有六个楔状物,这样子光束可以被用尽,并且包括第7级。所有的楔状物具有不同的楔角,这样可以获得不同子光束的最佳分解。
第二透镜系统设置在楔状板后面。该透镜系统将标记P1成像在参考板平面RGP。缺少楔状板时,所有子光束可以被添加在参考平面。由于不同子光束穿过楔状板被以不同的角度反射,由子光束形成的图象到达参考平面内的不同位置。这些位置Xn由下式给出:
Xn=f2γn
其中为γ子光束被楔状板反射的角度。
在这些位置设置参考衍射光栅。在每个参考衍射光栅之后设置一个分离的检测器。每个检测器的输出信号取决于基底衍射光栅P1的像与相关参考衍射光栅相一致的程度。每个衍射光栅的周期适应于入射到该衍射光栅的相关子光束的衍射级数。随着衍射级数增加,光栅周期减小。
图4示出图3所示类型的计量单元,该计量单元设置为使用两个波长。在图4中,附图标记160表示偏振灵敏光束分光器。该光束分光器从He-Ne激光器接收具有第一波长λ1如633nm并具有第一偏振方向的第一光束b,并且将该光束传送到基底对准标记P1。入射到该光束分光器的还有第二对准光束b5,第二对准光束具有第二波长λ2如532nm并且来自倍频器之前的YAG激光器。光束b5的偏振方向与光束b的偏振方向正交,这样,光束b5被反射到基底标记P1。可以肯定光束分光器使光束b和光束b5的主要光线一致,这样,这些光束将作为一个光束传递到标记P1。被标记反射后,光束b和光束b5再次被光束分光器分束。分离的单元170、180用于每个被分光器分束的光束。每个这样的单元发射入射光束,并通过光束分光器接收来自基底标记的不同衍射级的子光束。在每个这样的单元中,不同的子光束在不同参考衍射光栅上形成基底标记的像,如已经参照图3描述的。为此,每个单元带有透镜系统L1、L2(L1’、L2’)、楔状板WEP(WEP’)、带有参考衍射光栅的板RGP(RGP’)、许多检测器90-96(90’-96’)和通过耦合棱镜72(72’)被耦合入系统的辐射光源70(70’)。
适当地放置图3或图4所示类型的计量单元,使在线计量可以实施。在本发明的一个实施例中,计量单元放置在一个轨道中(轨道传送晶片至存储器并且传送来自存储器的晶片,另外烘烤和显影晶片)。计量单元位于曝光后烘烤和显影的下游,这样,在抗蚀剂层曝光的图案对于该单元是清晰可见的。在一个可选实施例中,计量单元位于与轨道相邻处并且连接到轨道。晶片自轨道传送到计量单元,并且测量后返回轨道。与轨道的连接是通过一个传统的输出端口,并且位于曝光后烘烤和显影的下游。在又一个可选实施例中,计量单元在不与轨道相连接的一个分离的装置中,即离线。计量单元可以选择地在光刻投射装置内(该实施例在下文详尽描述)。
在生产过程中(即在线),标记包括在器件特征投影到上晶片的过程中曝光在晶片上的衍射光栅。该衍射光栅可以位于一个特别设计的非生成物区域,或者可以位于分离生成物结构的划痕线中。该晶片被显影、烘烤及加工。该衍射光栅可以在检验过程中被用于聚焦计量,如下文详尽描述的,或者被用于临界尺寸计量。检验可以在任何合适的时间进行,如上文结合图2所说明的。
在需要重迭计量处,衍射光栅的加工和生成物特征已经完成,这样它们可以永久地保持在晶片上。抗蚀剂层被旋转涂敷在晶片上,随后生成物特征层与第二衍射光栅一起被曝光到晶片上。第二衍射光栅在第一衍射光栅上面,从而形成一不对称标记。第一和第二衍射光栅的位置测量包括利用计量单元的不同衍射级或波长产生的标记(例如单独或作为复合衍射光栅被检测)进行。这些测量被用于确定重迭。
在本发明的一个实施例中,标记包括晶片生成物层上的第一衍射光栅10和晶片抗蚀剂层上的第二衍射光栅11,如图5所示。由于例如生成物层的上面有氧化物层12,在衍射光栅10和11之间有某一垂直间隔。第二衍射光栅11与第一衍射光栅10具有相同的周期P,尽管第二衍射光栅的每个栅线更窄。第二衍射光栅相对于第一衍射光栅偏移任意位移D。可以将两个光栅看作一个包括带有确定整体形状的复合光栅的标记。该复合光栅包括由第一和第二衍射光栅之间的任意位移D引起的不对称。尽管图5中示出的第二衍射光栅的每个栅线比第一衍射光栅的每个栅线窄,但这并不是必需的。只需第二衍射光栅和第一衍射光栅的某些部分对于计量单元是可见的(例如,第一衍射光栅的每个栅线可以比第二衍射光栅的每个栅线宽,由于任意位移D,第二衍射光栅是可见的)。
作为不对称的结果,由图3和图4的计量单元测量的复合光栅的表面位置被移动。该位移x位移取决于被检测的波长(λ)和衍射级n。由于该位移依赖于波长和衍射级,可以将位移视作通过对不同波长和衍射级的位置测量的比较获得的信息。位移包括任意位移D和由光刻投射装置的误差(例如重迭误差(overlay error))引起的位移,由误差引起的位移的大小和符号可以通过与任意位移比较来测量。可以理解,任意位移D是为便于在线计量测量在第一和第二衍射光栅之间导致不对称的多种途径中的一种。导致不对称的可选方法将在下文详尽描述。
在计量测量过程中,相对于计量单元扫描基底。可以理解基底可以与例如被扫描的计量单元的参考衍射光栅(图3中的RGP)一起安装;只需在基底与参考衍射光栅之间存在相对移动。扫描横向于衍射光栅的栅线方向,并且具有使衍射光栅的像P1通过每个参考衍射光栅RGP(RGP’)从而在检测器90-96(90’-96’)产生正弦信号的作用。记录正弦信号作为基底位置的函数,确定衍射光栅P1的中心作为来自每个检测器的正弦信号通过峰值的位置。
通过扫描基底台(图1中的WT)实现基底的扫描。基底台的移动将引入基底台的一个小的未知位置误差Δx(t)。考虑到该误差,在扫描过程中,检测的计量信号作为时间t的函数可以写为:
Figure C0316484100171
其中,n为衍射级,λ为波长,a和b为常数,并且Δx(t)为基底台的预期位置vt和实际位置之间的差异。对于低频误差,基底台位置误差表现为测量位置中的位置误差。采用曲线拟和,例如采用最小平方拟和,产生如下测量位置:
x测量的(n,λ,t,D)=Δx(t)+x位移(n,λ,D)
测量任何级/颜色之间测量位置的差异,产生级间位移SbO:
SbO(m,n,λ1,λ2,t1,t2,D)=x测量的(m,λ1,t1,D)-x测量的(n,λ2,t2,D)
=x位移(m,λ1,D)-x位移(n,λ2,D)+Δx(t1)-Δx(t2)
其中,m和n表示衍射级,并且λ1和λ2表示波长。如上式中所示,术语“级间位移”(Shift-between-Orders,简称SbO)是指当测量不同衍射级时或者当对于相同的衍射级测量不同的波长或对不同衍射级测量不同波长时产生的测量位置中的差异。为简化术语起见,该术语并不专指不同波长。这并不意味着在该文献中的任何地方,由不同波长测量产生的差异被排除在外。
在同一时间t进行两个位置的测量。级误差在SbO中被抵消,简化为:
SbO(m,n,λ1,λ2,D)=x位移(m,λ1,D)-x位移(n,λ2,D)这样,SbO与时间和级误差无关。
在另一个实施例中,选择的级、波长和测量时间t保持不变,这样SbO仅为偏移量D的函数。
由于设置的对称性:
SbO(D)=-SbO(-D)
为了测量重迭,两个复合衍射光栅被印制为带有相反偏移量D+δ、D-δ,其中,D表示任意位移并且δ表示由光刻投射装置的误差引起的位移。在理想情况下,重迭δ=0并且SbO的总和为零。这时产生简单的重迭计量测量,当重迭理想时,表示计量单元的分辨率(例如小于1nm)。然而,几乎可以肯定重迭为非理想情况,于是,重迭误差被量化以提供有效的计量测量。
通过将误差与已知微小偏移相比较来量化重迭误差。将重迭误差OV看成2OV=2δ,OV可以用下式表示:
SbO ( t 1 , t 2 , D + δ ) + AbO ( t 3 , t 4 - ( D + δ ) ) =
Figure C0316484100182
如果如上文所述的同时进行一对位置测量,那么t1=t2并且t3=t4,这样级误差Δx(t)被消除。为了量化重迭误差,需要确定对于微小变化δ1和δ2SbO的变化有多么迅速,即作为重迭误差的函数。该灵敏度用具有位移D+d+δ的第三衍射光栅来测量,其中d为微小的已知偏差。假设是线性的,该状况是在实际中观测的重迭误差的情形,对于微小位移SbO的灵敏度由下式给出:
dSbO dx = SbO ( D + d + δ ) - SbO ( D + δ ) d + δ 3 - δ 1 +
Figure C0316484100184
如上文所指出的,级误差可以再次被抵消。由相矛盾的要求确定d值:一方面,d值必须足够大以使得近似d+δ=d成立并且使噪声最小,但是,另一方面,d值必须足够小以保证线性。典型地,d应当与可以被测量的最大重迭误差同样大小或比它稍微大一点,例如,d可以在几百纳米量级。也可以采用其它适合的值。重迭遵从第三衍射光栅的下述测量:
OV = - d 2 SbO ( D ) + SbO ( - D ) SbO ( D ) - SbO ( D + d )
该测量可以用于多个级/波长对,尽管实际上仅采用最高灵敏度的级/波长对。
每个衍射光栅可以有几十平方微米大小。围绕每个衍射光栅有几微米的隔绝区域。衍射光栅可以设置在邻接小片角落的划痕线中。可能需要在不至一个小片角落中进行计量测量。一种方式是通过在每个需要测量的角落设置三个衍射光栅来进行。或者,为减少衍射光栅的数量从而为其它元件释放空间,在小片的一个角落设置第三衍射光栅并且在需要测量的其它角落仅设置单个衍射光栅(即每个角落一个衍射光栅)。采用单个衍射光栅的重迭测量用采用三个衍射光栅获得的灵敏度测量来量化。其优点是小片的角落之间的测量灵敏度不会显著地变化。
在所有检测器形成一个检测器平面的情况下(如图3所示),在检测器平面内的信号强度是衍射光栅周期信号的傅里叶变换ΣF(i,x,y)与光强函数I(x,y)之间的傅里叶变换的卷积C(i,x,y)(标记i表示不同级次)。光强函数I(x,y)为入射到衍射光栅的光束的光强分布Ip(x,y)与衍射光栅在该位置的存在函数E(x,y)的乘积(其中E(x,y)表示衍射光栅的空间范围,即在衍射光栅处E(x,y)=1,其它地方当E(x,y)=0):
I(x,y)=Ip(x,y)E(x,y)
一个给定的检测器仅用来检测在(xj,yj)处的一个特定的级次j。但是,卷积C(i,x,y)会导致不同级次i的一部分被那个检测器检测,从而将误差引入级次j的信号检测。数学上表示为C(i,x,y)≠0。可以通过这样的考虑直观地理解:由于衍射光栅的有限长度,检测器平面级次i的像有足够的空间宽度,使其边缘入射到预期的级次j的检测器上。
如本领域技术人员所公知的,有许多已知的解决该问题的方案。一个例子是选择光强分布Ip(x,y),这样,C(i,xj,yj)与C(j,xj,yj)相比没有意义。另一个例子是选择衍射光栅的周期,这样,F(i,xj,yj)很小以至于C(i,xj,yj)与C(j,xj,yj)相比没有意义。F(i,xj,yj)可以保持很小,不是因为级次i和j最大信号的位置之间的间隔很大,就是因为F(i,xj,yj)在(xj,yj)处具有局部最小。
对于本发明实施例,除会发生上文描述的情形外,还会发生下文描述的重迭信号的问题。上述解决方案可以用于这些实施例。
在本发明的不同实施例中,一对衍射光栅可以代替三个衍射光栅用于获得重迭计量测量。这是有利的,因为它占据更小的划痕线区域。由于实现了灵敏度量化偏移量d与任意偏移量D、-D中的一个合并,减少到两个衍射光栅是可能的。
概括地说,可以认为所检测的级间位移是由衍射光栅之间的偏移量Δx和取决于衍射光栅的“深度”及它们的间隔(图5中的“Z”)的比例因数k引起的。可以表示为:
SbO=kΔx
其中,偏移量是任意偏移量D和重迭误差OV的组合:
Δx=D+OV
如果使用两个光栅,那么有两个级间位移测量,提供有效信息以使两个未知值k、OV被确定(任意偏移量D从衍射光栅设计中的掩模设计中可以知道):
SbO1=k(D+OV)
SbO2=k(D-OV)
这等效于使灵敏度量化偏移量d等于(+D-2D)。重迭遵从两个衍射光栅的测量:
OV = D 2 Sb O 2 + SbO 1 SbO 1 - SbO 2
上文的描述涉及本发明采用两个具有相同周期的重迭衍射光栅形成的复合光栅的实施例。相同周期的抗蚀剂和生成物衍射光栅产生衍射光栅之间的强耦合。由于该耦合,级间位移不只是重迭的函数,而且还受衍射光栅垂直间隔(图5中的z)、波长和衍射光栅形状的影响。因此,需要依据在两个或更多的复合衍射光栅校准。
在一个可选实施例中,用包括非耦合衍射光栅(严格地说,所有衍射光栅都被耦合到更大或更小的区域,术语“非耦合”意指耦合产生的信号的大小比每个衍射光栅单独产生的信号小得多)的标记来测量级间位移。该可选实施例基于空间频率复用,并且采用不同周期(P/N)和(P/M)的衍射光栅。P可以在几十微米量级。选择这些周期以与具有周期P/(1,2……7)的参考衍射光栅计量单元相适应。可以理解,可以使用任何其它适合的周期。测量的级间位移与重迭成正比,并因此不再需要用多个标记校准。重迭误差使标记包括衍射光栅的不对称,并且正是这种不对称被采用级间位移测量(即衍射光栅位置的差异)。
另一个本发明的实施例是根据来自给定周期如P/6的衍射光栅的衍射将在具有相同周期的计量单元参考衍射光栅处被彻底地检测的事实。来自不同周期如P/7的衍射光栅的衍射将在具有那个周期的计量单元参考衍射光栅处被彻底地检测。也就是说,通过查看不同衍射级,分离地检测生成物层和抗蚀剂层中的衍射光栅是可能的,即使它们一个覆盖着另一个。测量位置的差异SbO直接表示衍射光栅的重迭。可以理解,本发明的该实施例可以用不同照明波长代替不同衍射级(只需来自不同衍射光栅的衍射被不同计量单元参考光栅彻底地检测)。
在x方向运动的衍射光栅被在晶片上曝光和加工。结果,在此安装衍射光栅是指在生成物层中。衍射光栅具有周期P/N,其中N为1、2……7中的一个。在晶片被曝光前,该衍射光栅用抗蚀剂膜层覆盖。曝光前的反射场可以表示为:
E(x,y)=[ F+FN(x,y)]
下标N表示周期P/N, F为反射场(所谓的0级)的平均复值。其它级次的复值振幅遵循傅里叶分解。然后抗蚀剂用周期为P/M的更高级次的衍射光栅曝光,其中M为1、2……7中的一个(M≠N)。在显影后,抗蚀剂衍射光栅在生成物衍射光栅之上,如图6所示。在图6中,生成物衍射光栅周期为P/6(即N=6),抗蚀剂衍射光栅周期为P/7(即M=7)。
抗蚀剂衍射光栅干扰被生成物衍射光栅反射的场,这样,不再是上文提到的简单形式。假设生成物衍射光栅与抗蚀剂衍射光栅之间的重迭误差x0、反射场可以表示为下式的形式:
E(x,y)=[ F+FN(x,y)]·[ G+GM(x-x0,y)]
= FG+ GFN(x,y)+ FGM(x-x0,y)+FN(x,y)GM(x-x0,y)
为了提供这些项的图解说明,用周期分别为N和M的两个透射衍射光栅F和G(为简化说明,透射衍射光栅用于反射衍射光栅处),这些项示于图7中。
项 F· G为由F和G透射的零级。
用于重迭计量的项为 GFN(x,y)和 FGM(x-x0,y)。项FN(x,y)GM(x-x0,y)包括被两个衍射光栅衍射的级次,在该实施例中不用作计量。这些项每个分别仅被衍射光栅F和衍射光栅G中的一个衍射光栅衍射。生成物衍射光栅的位置由GFN(x,y)项测量,并且抗蚀剂衍射光栅的位置由 FGM(x-x0,y)项测量,两个测量位置之间的差异表示重迭误差。换句话说, GFN(x,y)和 FGM(x-x0,y)的SbO直接等于重迭。计量单元通过仅监视具有周期P/6的衍射光栅测量生成物衍射光栅的位置,然后,接下来通过只监视具有周期P/7的衍射光栅来测量抗蚀剂衍射光栅的位置。衍射光栅位置之间的差异表示抗蚀剂层和生成物层之间的重迭误差。
本发明的另一个可选实施例可以被看作空间频率复用的形式:因为抗蚀剂和生成物衍射光栅具有不同的空间频率,它们通过计量单元被分别测量。由于计量单元被设置为引导不同衍射级到不同的检测器,如上文结合图3所描述的,计量单元可以分别进行测量。
可以理解,可以使用具有除P/(N或M)外的周期的衍射光栅。可以使用符合附加条件:N和M不相等、以及不同衍射级间的混合将不会导致具有相同频率的合成信号(莫尔信号(Moire signal))作为被计量单元检测的信号的任何适当的周期。例如,由于混合信号将与来自生成物衍射光栅的信号发生干涉(这种情况可以工作,但会产生更差的精度),不推荐N=2,并且M=4。
可以选择将不会导致有问题的合成信号的周期:耦合项FN(x,y)GM(x-x0,y)包括被两个衍射光栅衍射的级次(如图7中最下面的光束)。由于耦合项在测量频率M和N处会产生空间频率成分,希望将耦合项减到最小。例如,图7中最下面的光束将在最上面的光束的测量中引入误差,因为两个光束具有相同的空间频率。
选择周期,使N和M没有相同的除数(例如N=6和M=7)。这样,第一合成信号返送到检测级次M,并且第二合成信号返送到检测级次N。再假设N=6并且M=7,n=7并且m∈[-5,-7]返送到检测级次M,m=6并且n∈[-6,-8]返送到检测级次N。由于m和n的值高,返送信号将非常微弱。
如果遵从上述规则,在多数情况下,由耦合项导致的返送信号将具有足够低的振幅,使其不会将任何显著的误差引入重迭计量测量中。高频项的振幅低的一个原因是由于晶片的加工,衍射光栅的形式与方波相比更接近于正弦波,从而抑制更高次谐波。
如果需要,在本发明的又一个可选实施例中,通过确保在生成物衍射光栅和抗蚀剂衍射光栅之间没有空间重迭,衍射光栅之间的耦合可以减到最小,可以通过移置抗蚀剂衍射光栅使其位于生成物衍射光栅附近来实现,如图8所示。所示抗蚀剂衍射光栅和生成物衍射光栅具有不同的周期。由于衍射光栅与第一近似没有重迭,衍射光栅之间没有耦合。每个衍射光栅在x方向的位置使用计量单元来确定,位置之间的差异表示重迭误差。
与图8中所示的衍射光栅设置相关联的缺点是,如果晶片的x轴不精确地平行于计量单元的x轴,那么,在计量测量期间衍射光栅的扫描将导致误差。这是因为由于旋转测量,计量单元一个衍射光栅沿x方向被移动,并且其它衍射光栅沿-x方向被移动。该误差可以通过提供衍射光栅的位置已经被交换的第二对衍射光栅来消除。交换意为计量单元测量的误差符号相反并且可以从测量中消除。
一种可选的解决旋转导致的误差的问题的途径是,将抗蚀剂衍射光栅和生成物衍射光栅分割成无重迭的部分,如图9所示。有利地,本发明该实施例还允许正交方向大的重迭误差的检测,如下文结合图9和图10所描述的。
参照图9,生成物衍射光栅被分成三部分,并且抗蚀剂衍射光栅被分成两部分。这些部分设置为使它们相互不重迭。生成物衍射光栅和抗蚀剂衍射光栅都是关于平分两个衍射光栅的x方向的轴对称的。这种设置消除了旋转引起的误差。
计量单元的测量方向,即在测量过程中晶片被扫描的方向,在图9中用x表示(这是常规表示法)。生成物衍射光栅周期为P/7,抗蚀剂衍射光栅周期为P/6(P为10微米量级)。晶片平面中的方向正垂直于测量方向,在图9中用y表示。将每个衍射光栅分成三个分离的部分,使生成物衍射光栅和抗蚀剂衍射光栅在y方向是周期的。它们具有相同的周期Q,但是它们相互有180度的相移,如图9所示。由于生成物衍射光栅和抗蚀剂衍射光栅被空间地分离,它们之间实际上没有耦合(会残存小程度的剩余耦合)。抗蚀剂衍射光栅的位置使用计量单元测量,并且生成物衍射光栅的位置使用计量单元单独测量,位置之间的差异表示重迭误差(如前所述,测量在x方向进行)。
采用如图9中所示的二维衍射光栅具有避免旋转导致的误差的优点。它具有进一步优点:允许由于对准误差通常指孔径误差产生的y方向大的重迭误差的检测。在采用相位光栅对准标记时,用于提供对准的信号是正弦信号。假设在预对准操作中,对准标记被安置得与其预期位置足够近,对准单元将看见正弦信号使其正确对准的峰值的部分。但是,如果预对准操作没有正确地进行,对准单元会看见正弦信号邻近峰值的部分。然后将出现对准邻近峰值处,从而导致误差。误差的大小取决于正弦信号的邻近峰值处的间隔,典型地,约10微米。该二维衍射光栅提供用于检测由孔径误差(即约10微米的重迭误差)引起的重迭误差的装置。
参照图10a,在没有孔径误差时,生成物衍射光栅和抗蚀剂衍射光栅被很好地分离。如果孔径误差在y方向,将产生如图10b所示地衍射光栅重迭。衍射光栅的分离通过监视衍射光栅之间的耦合来检测,即衍射信号的耦合项(前面结合图7描述的)。耦合以可以被计量单元的检测器检测到的空间拍频表示(频率为|N-M|)。可以忽略的衍射光栅之间的低水平耦合示于图10a中,由此表示没有孔径误差产生。强耦合信号表示产生孔径误差。由于在孔径误差存在时产生衍射光栅重迭,周期Q必须适当地选择。例如周期Q等于预期孔径误差的三分之一,如果产生孔径误差,将给出两个衍射光栅的完全重迭。
图9所示的衍射光栅包括单个生成物部分和两个抗蚀剂部分。可以理解,可以采用不同的部分数,如果要避免旋转导致的误差,仅有的限制条件是两个部分在x方向必须具有相同的对称轴。也就是说最小的部分数是两个生成物部分和一个抗蚀剂部分或者两个抗蚀剂部分和一个生成物部分。
图10中所示的二维衍射光栅提供简单并且实用的孔径误差检测。可以理解,可以选择y方向的周期以提供其它大小的误差的检测。
在上面的描述中,注意到图10a中所示的可以忽略的衍射光栅之间的低水平耦合。结合图11解释耦合不是零的原因,图11是图10中所示衍射光栅的横截面视图。从图11中可以看出在生成物衍射光栅和抗蚀剂衍射光栅之间存在一个z方向的显著间隔。这可能是因为在生成物衍射光栅上面有一氧化物层,或者是因为许多其它的生成物层位于生成物衍射光栅上。用来照明用于计量测量的衍射光栅的光将在抗蚀剂和生成物层之间有一点发散,如图所示,从而引入衍射光栅之间的一些耦合。
如果想要避免图10中所示的耦合,可以对抗蚀剂衍射光栅做简单的改进。该改进如图11所示,包括在x方向引入衍射光栅的交替位移Δx。采用这种衍射光栅配置时,移置的衍射光栅的第N级经历的相移为:
Figure C0316484100251
当ΔN=π时,移置的衍射光栅的第N级在未移置衍射光栅的反相位,这样,第N级将消失,从而消除耦合。该方法使高衍射级次被消除,这样它们不会在计量单元的检测器处引入测量误差。该方法需要衍射光栅的照明是对称的,在实际中是可以实现的。
如上面进一步描述的,晶片级的位置误差Δ级通过使用计量单元进行测量被消除。但是,存在可以减小计量测量精度的第二误差。第二误差是指传感器误差ε(在本技术领域中,有时这是指工具诱发位移)。同时,Δ级是由晶片级不在应该占据的精确位置导致的,传感器误差ε是由计量单元的光学系统不完美的事实导致的。计量单元的光学系统不完美意思是:由计量单元的第一检测器测量的衍射光栅的位置与计量单元的第二检测器测量的衍射光栅的位置不完全相同,该光学系统稍微地移置了由衍射光栅产生的衍射图案。
在依据两个具有不同周期的衍射光栅(在上文已经描述)进行计量时,通过印制两对衍射光栅,传感器误差ε在传感器的SbO校准中可以被消除。第一对衍射光栅在抗蚀剂层中有第M级,并且在生成物层中有第N级。用这对衍射光栅测量的重迭为:
OV1=SbOn,m
在第二对衍射光栅中,衍射光栅相互交换:第N级在抗蚀剂层,并且第M级在生成物层。重迭为:
OV2=-SbOn,m
实际的SbO(即重迭)由下式决定:
SbO n , m = OV 1 - OV 2 2
该方法消除了计量单元校准中的传感器误差ε。
在依据两个具有相同周期(在上文已经进一步描述)的衍射光栅进行计量时,通过印制两对衍射光栅和单个的衍射光栅,传感器误差ε在传感器的SbO校准中可以被消除。每对衍射光栅包括一个在生成物层的衍射光栅和一个在抗蚀剂层的衍射光栅。单个的衍射光栅在抗蚀剂层(可以理解,也可以在生成物层)。第二对衍射光栅包括在衍射光栅之间的x方向的任意位移D,第一对衍射光栅包括在x方向的任意位移-D。测量在级间SbO之间产生三个位移:
SbO1=k(OV+D)+ε
SbO2=k(OV-D)+ε
SbO3=ε
其中,OV为重迭,k为将级间位移与重迭联系起来的常数。第三测量直接产生传感器误差ε,因为如果没有误差,级间位移将为零(仅测量一个位置)。两个仍旧未知的量k和OV可以用第一和第二测量确定,假设级间位移与重迭在测量值范围内为线性关系。
在又一个本发明可选的实施例中,不对称标记包括在生成物层的一个衍射光栅和由于重迭发生偏置的在抗蚀剂层的一个衍射光栅,如上文已经进一步描述过的,此外衍射光栅的栅线具有子结构。其中一个衍射光栅的子结构包括相位跃变。空间高频和相位跃变的结合具有显著地提高计量测量的灵敏度的作用。以这样的方式使用子结构的优点为子结构可以被设置为具有比传统衍射光栅更相似于器件特征的尺寸和密度,这样,重迭测量更精确地反映器件特征的重迭。
参照图13a,这是一个横截面,第一衍射光栅100在晶片的生成物层中,并且第二衍射光栅100在晶片的抗蚀剂层中。示出每个衍射光栅的三个周期。两个衍射光栅的周期均为P。
衍射光栅一个栅线的中心部分用虚线框表示,放大的细节示于图13b中。从图13b可以看出,每个衍射光栅具有衍射光栅子结构。生成物层衍射光栅100的子结构是连续的,反之,抗蚀剂层衍射光栅100的子结构包括一个180度的相位跃变(可以理解,相位跃变可以在生成物层衍射光栅,而不是在抗蚀剂层衍射光栅)。180度的相位跃变包括子结构的栅线103,该栅线具有子结构其它栅线两倍的长度。180度的相位跃变的作用为相对于生成物层衍射光栅,抗蚀剂层衍射光栅的子结构的上升沿将成为抗蚀剂层衍射光栅的子结构的下降沿。在没有重迭误差时,生成物衍射光栅和抗蚀剂衍射光栅是关于对称线s对称的,如图13b所示。
衍射光栅的子结构的周期g,示于图13b中,选择周期g使其接近光刻投射装置的分辨率极限值(典型地,在几百纳米量级)。可以理解,今后随着光刻投射装置分辨率的改善,该数值将显著地更小。子结构相对于发生衍射并且在生成物层与抗蚀剂层之间传播的照明波长要足够大。但是,子结构要足够小,使得由子结构产生的衍射不能被计量单元的检测器看见(例如因为该衍射相对于标准以非常大的角度衍射并且不被透镜L1收集(参见图3和图4))。由于由子结构产生的衍射不是不发生,就是看不见,计量单元将该子结构有效地看作具有复数反射系数r的反射镜。
复数反射系数r由抗蚀剂和生成物层子结构的相对位置决定。如果重迭很理想,那么,图13b的子结构左侧的复数反射系数与图13b的子结构右侧的复数反射系数相同。这种情况示意性地示于图14的中心区,图14示出从子结构的每侧反射的光紧靠子结构上方的振幅(在这里紧靠子结构上方的区域是指近场)。如果产生负的重迭误差,即抗蚀剂层被向右移置,那么,左侧子结构的复数反射系数将与右侧子结构的复数反射系数不同。这种不对称将表现为近场中振幅的差异,如图14所示。
计量单元的检测器,如图3和图4所示,位于离开衍射光栅一段距离处。在这里检测器的位置是指远场,要使检测器能够检测近场的傅里叶变换。如果近场的振幅(和相位)是对称的,那么,傅里叶变换也是对称的,即检测信号不包括位移。如果,另一方面,近场的振幅(和相位)是不对称的,那么,傅里叶变换也是不对称的,并且具有由衍射级次(或波长)决定的不对称。通过确定检测器处对于不同衍射级(或波长)的位置测量之间的差异,可以确定抗蚀剂层和生成物层的重迭。检测位移比重迭误差大很多,也就是说使用计量单元可以检测到非常小的重迭误差。
由于远场(即探测器)重可见的不对称由复数反射系数产生,其符号将不总是与重迭误差的符号相一致。
子结构的影响的数学表示如下:在小重迭误差的情况下,左半边和右半边的反射系数将依照下式不同地变化:
r=r0+Δr1(x)
r=r0-Δr1(x)
左右不对称的结构将产生可测量的级间位移。复数反射变化是具有子结构周期的周期性的,其周期为微米的几分之一。也就是说,比子结构大的重迭误差将被计量单元错误地测量。
子结构使用两对衍射光栅和一个单个的衍射光栅被校准,以如上文所描述的相同方法确定下述值:
SbO1=k(OV+D)+ε
SbO2=k(OV-D)+ε
SbO3=ε
校准将提供重迭误差的符号。
在某些情况下,优选使用更少的衍射光栅来校准子结构。一种方法是通过重建生成物衍射光栅100和抗蚀剂衍射光栅101的子结构的形状,确定联系级间位移和重迭的常数k(k取决于子结构)。重建分两部分进行。第一部分,在抗蚀剂层衍射光栅没有成像到抗蚀剂上之前测量生成物层衍射光栅。该测量使用图3和图4所示计量单元进行,或者,可选地使用下文结合附图23所描述的计量单元进行。该测量对多个波长进行(为此,附加波长通道可以被加到图3和图4所示计量单元中)。测量的结果被用于采用已知的“反转扫描”技术重建生成物层衍射光栅子结构的形状。目前反转扫描技术应用于临界尺寸散射法中。合适的反转扫描技术在US6,563,594和US6,559,924中描述。
重建的第二部分为重建抗蚀剂层衍射光栅子结构的形状。使用上文提及的计量单元的一种对抗蚀剂层衍射光栅进行多波长测量,结果被用于采用反转扫描技术重建抗蚀剂层衍射光栅子结构的形状。抗蚀剂层衍射光栅和生成物层衍射光栅之间的重迭将具有对重建可以忽略的影响,使重建稳固。如果发现重迭引入无法接收的误差,那么,第二抗蚀剂衍射光栅(具有同样的子结构)可以被成像到第一抗蚀剂层衍射光栅附近。该衍射光栅的子结构可以被不引入重迭误差地重建。一个可选的确定子结构的方法是使用分离测量,例如使用原子力显微镜。
一旦生成物层衍射光栅和抗蚀剂层衍射光栅完成重建,它们被用于与层分离的知识一起计算常数k。计算可以使用已知的电磁解算程序进行,例如G-解算程序(G-Solver)或Tempest。一旦k被确定,重迭可以用级间位移计算。以校准方法为基础的重建的优点是该方法与上文详细描述的校准方法需要更少的衍射光栅,从而为其它结构留出更大的空间。校准方法的又一个优点是,因为重建了衍射光栅的子结构,产生了临界尺寸的直接测量。
本发明的又一个可选实施例中,标记提供不对称,标记包括一个单个的衍射光栅,每个衍射光栅方位掩模(图1中的MA)上具有一个λ/4光程的梯度,例如图15中所示。参照图15,示出了掩模111上的衍射光栅110的三个栅线。衍射光栅的第一栅线110a具有子结构,每个子结构包括不可透射部分112,和第一和第二可透射部分113、114。梯度115位于第一可透射部分113与第二可透射部分114之间。梯度设置为使通过第一部分113的光的光程比通过第二部分114的光的光程长λ/4。
衍射光栅的第二栅线110b具有子结构,每个子结构包括不可透射部分116,和被梯度119分开的第一和第二可透射部分117、118。该梯度与衍射光栅的第一栅线110a的梯度115相比相位相反。也就是说,通过第一部分117的的光的光程比通过第二部分118的光的光程短λ/4。
后续的衍射光栅的栅线为相同子结构以图案交替方式构成。
λ/4梯度的作用是,如果衍射光栅没有正确聚焦,在晶片表面,每条衍射光栅的栅线被移动(该作用在US2002/0021434中描述,在此作为参考引入)。该位移取决于梯度的方向。也就是说,作为离焦的结果,衍射光栅的相邻栅线沿相反方向移动。
晶片上子结构的作用示意性地示于图16中。为简化说明,图16的衍射光栅的尺寸与图15的衍射光栅的尺寸一致;但是,实际上图16的衍射光栅的尺寸将为图15的衍射光栅的尺寸的四分之一。衍射光栅具有10微米量级的周期P。衍射光栅包括通过具有λ/4正相移的掩模成像的栅线121,或者包括通过具有λ/4负相移的掩模成像的栅线120。在图16所示的例子中,离焦将导致栅线120向右移动,相反地,在每一侧的栅线121向左移动。
掩模111上的子结构被作为衍射光栅的栅线120、121上的子结构成像。但是,该子结构仅产生零级衍射,并且由此第一级可以被近似,该子结构的表现仿佛是具有复数反射系数的平坦表面。
不对称由计量单元通过比较不同衍射级和/或不同波长由离焦引起的位移来测量(如前面提到的,为简化术语,该位移是指级间位移或SbO)。无离焦时,由子结构引起的位移为零,并且衍射光栅完全对称,给出零级间位移(SbO)。但是,离焦引入不对称,栅线120和121被沿相反方向移置一个距离Δx。该不对称表现为可以用计量单元测量的级间位移,如下文所描述的。
焦距计量的灵敏度可以用衍射光栅的栅线120和121来调整,分别用W1、W2表示。在信号强度受损害的情况下,可以使灵敏度非常大。宽度W1、W2可以表示为平均部分和差异部分的组合:
W1= W+ΔW
W2= W-ΔW
图17示出对于第一和第三级,计算灵敏度(即测量的位移和真实的位移的比例)作为ΔW的函数。
对于小的ΔW值,测量的级间位移非常大。此外,第一和第三级沿相反方向移动,进一步增加了级间位移。对于ΔW=4(任意单元)两级的灵敏度都变为1,并且由此级间位移变为零。这并不奇怪,因为该情况相应于栅线121消失的情形,这样,整个衍射光栅保持对称,没有离焦。
乍一看似乎要选择小的ΔW值。但是,有一笔账要算:随着ΔW的减小检测信号的强度减小。图18示出归一化的检测信号强度作为第一和第三衍射级的ΔW的函数。这里,信号强度已经被归一化。同时,当信号强度变得非常小时,刻线刻划误差和表面粗糙度误差会制约精度。可以直观地理解对于小的ΔW值信号强度快速减小的事实,因为当ΔW小时,衍射光栅开始表现为具有周期P/2而不是周期P的衍射光栅(计量单元被设置为检测来自周期为P的光栅的衍射)。但是,某种程度上可以通过采用计量单元使用的相位衍射光栅的大动态范围来补偿,例如,如果假设信号损失因数10是可以接受的(归一化信号强度=0.1)。
可以理解,无需衍射光栅的每个周期都设置上述子结构。只需足够数量的子结构以使被测量的级间位移具有所需精度。
对于第一级,级间位移对级漂移和级震动不敏感,这样,该方法特别适用于低质量扫描级。
在本发明的又一个实施例中,标记具有对在晶片上曝光的图案的临界尺寸(CD)敏感(临界尺寸是指在晶片上曝光的图案的分辨率)的不对称。该不对称采用依据包括三个不同区域的计量标记的方法来测量,如图19所示。这些区域为未曝光区域150、具有子结构的区域151和曝光区域152(即间隔凹进处)。三个区域共同形成周期为4P的不对称相位衍射光栅154的一个周期(其中,P为微米量级)。子结构151具有可以与光刻投射装置的极限分辨率相比拟的周期;既然这样,为简化说明设为P/5。未曝光区域150和曝光区域152宽度都为P,而子结构区域151宽度为2P。
再次参照图19,衍射光栅是不对称的。此外,衍射光栅的不对称变为CD的函数。该不对称被图3和图4的计量单元作为级间位移(如前面所讨论的,级间位移可以是不同衍射级之间和/或不同波长之间的位移)精确地检测,从而提供CD测量。
参照图19,可以直观地理解CD变化的作用。如果CD被提高到例如P/12.5(ΔCD=-P/50),那么,子结构151的每条栅线的宽度将很窄。这将导致衍射光栅的“重心”(即当由计量单元测量时衍射光栅的中心)移到左边。换句话说,子结构衍射较少的光至检测器,而被栅线150衍射的光的数量不变,这样,衍射光的光强中心朝栅线150移动(即向左移动)。衍射光栅154重心移动量由衍射级和来自衍射光栅154的衍射光的波长决定。也就是说,对于不同级(或波长)的相对运动的校准进行后,通过查看级(或波长)间位移可以获得CD的测量。
一个直观例子的极端情况示于图20中。参照图20a,CD为零(ΔCD=-P/10)将导致不存在子结构,这样,将衍射光栅150的中心线作为衍射光栅154的重心被测量。图20b示出相反的极端。CD为P/5(ΔCD=+P/10)将导致子结构151合并,将栅线150的起始端与合并的子结构区域150的末端之间的中点作为衍射光栅154的重心被测量。这样,CD为P/5的变化将被计量单元视作位移P。
应当注意,图19和图20中示出的衍射光栅154的设置只是一个例子。实际上,很多不同的设置都是可以的,这对于本领域读者来说显而易见。子结构引入不对称的符号取决于是使用正抗蚀剂还是使用负抗蚀剂。示于图20中的例子使用正抗蚀剂。
在本发明的又一个实施例中,级间位移被用来测量对在图案已经成像的晶片上加工的影响敏感的不对称。该方法依靠清除加工对标记部分的影响,示于图21中。包括两个衍射光栅180、181的标记被曝光在晶片的抗蚀剂层(标记是从图21上方看)。第一衍射光栅具有第一周期(P/N),并且第二衍射光栅具有第二周期(P/M)。晶片以常规方式加工。接下来,加工被从第二衍射光栅清除。这是通过将抗蚀剂应用于晶片来完成的,曝光第二衍射光栅区域,显影并刻蚀该区域,并且剥离抗蚀剂。标记于是包括加工过的第一衍射光栅180a和加工的影响已经去除的第二衍射光栅181a。第一和第二衍射光栅的相对位置180a、181a用上文结合图7和图8描述的级间位移方法来测量。该方法给出加工对经过加工的衍射光栅所具有的影响的测量,并且被用于依据其它加工过的衍射光栅进行校正校准。
本发明的该实施例可以承受成像误差,或者由不同检测级(或颜色)产生的不同位置测量误差。为了校正误差,可以曝光一个附加标记,如图22所示。左边的标记包括衍射光栅180a、181a,相应于图21中的衍射光栅。右边的标记采用与用于左边标记曝光的掩模(这可以避免产生掩模误差)相同的掩模曝光(参见图1)。但是,右边的标记中,两个衍射光栅180b、181b都已经经过加工效果的清除。右边的标记被用于提供用来校正用左边的标记进行测量的误差的级间测量位移。
一种可选的用于测量不对称,从而得到临界尺寸或其它特性的计量单元示于图23中。宽带相干光源200(即宽带激光)产生穿过45度角反射镜201的开口的准直光束,并且被透镜202聚焦到衍射光栅203(衍射光栅为图19和图20所示类型)。由衍射光栅203衍射的衍射光被透镜202准直,被45度角反射镜反射,并且被第二透镜204聚焦到一组扫描透射参考衍射光栅205。通过透射参考衍射光栅205的光被第三透镜206准直到分光衍射光栅207。分光衍射光栅207根据光波长以确定的角度衍射入射光。被衍射的光被第四透镜208聚焦到检测器阵列209上。在优选实施例中,如图所示,计量单元还包括常规反射计部件210和偏振器211,用于控制传送到衍射光栅203的光的线性偏振。常规反射计部件210为本领域所公知的,因此在此不再描述。被衍射光栅203散射的光的0级向后反射并且传送到标准反射计部件。该光以与常见反射计相类似的方式被检测和处理。
从图23中可以看出,三个扫描透射衍射光栅205一个紧挨另一个。这样使得检测器阵列209可以测量不同衍射级。
本发明上述实施例可以单独或者组合使用。此外,给出的标记可以用于对准,并且接下来用于计量。下面结合图24-26的描述包括这种方法的示例:
参照图24,晶片加工层中的标记包括具有第一周期(P/N)的衍射光栅250,该衍射光栅250设置成被图3和图4所示计量单元的一个特定检测器(或者如果使用不至一个波长,为多个特定检测器)优先检测。对准单元测量用于确定在晶片上后续层成像的对准位置的衍射光栅的位置。对准单元可以包括与计量单元相同的装置,如图3和图4所示,用来检测信号的方式不同(术语对准单元和计量单元在这部分描述中可以互换)。
后续的成像层包括两个在第一衍射光栅两边的具有第二周期(P/M)的衍射光栅251。相比用来检测第一衍射光栅,第二衍射光栅设置为最好被不同的特定检测器所检测(或多个检测器)。三个衍射光栅250、251组合形成不对称标记(假设重迭不理想),该标记用于测量如上述结合图7-12描述的重迭。
接下来加工具有第二衍射光栅的层,第二衍射光栅可用于确定晶片上下一层成像的对准位置。
第二衍射光栅251可选择地附带有位于第一衍射光栅附近的附加衍射光栅252。该附加衍射光栅具有周期(P/N),并且可以用于确定晶片上下一层成像的对准位置。如果下一层包括具有适当周期放置在适当位置的衍射光栅253,该附加衍射光栅还可以用于以与上述方式相类似的方法测量重迭。
本发明可以用于提供由使用图25中所示类型的标记加工引起的不对称的测量。参照图25,标记包括夹在包括具有更长的第二周期(P/M)的衍射光栅256的第二部分之间的包括具有第一周期(P/N)的衍射光栅255的中心部分(标记从上面看)。该标记允许对于不同光栅周期的级间位移的测量,反过来,允许加工引起的不对称的测量。整个靶部的一层被成像和加工(即衍射光栅不在不同层中)。长周期(P/M)比短周期(P/N)受不对称加工影响更多。级间位移与加工的不对称之间的关系可以用加工中具有不同对称性的晶片预先校准,并且存储以量化特定级间位移产生的不对称。靶部可以包括用于提高精度使不对称被量化的附加衍射光栅,例如通过产生可以与存储的校准数据相比较的若干级间位移测量。
结合图25描述的实施例可以与结合图24描述的实施例组合,如图26所示。在该实施例中,第一靶部包括夹在包括具有更长的第二周期(P/M)的衍射光栅261的第二部分之间的由具有第一周期(P/N)的衍射光栅260组成的中心部分。衍射光栅260、261位于加工层,并且可以用于测量上述加工不对称。还是在该加工层,具有第一周期(P/N)的附加衍射光栅262紧挨第一靶部设置。衍射光栅随后被成像到抗蚀剂层。第二周期(P/M)的衍射光栅263位于附加加工层衍射光栅262的两边。这些共同形成用于采用上述级间位移测量重迭的靶部(该靶部是指重迭靶部)。第三靶部包括夹在包括具有第二周期(P/M)的衍射光栅265的第二部分之间的包括由第一周期(P/N)的衍射光栅264组成的中心部分,同样被成像到抗蚀剂层。第三靶部可以用于校正传感器误差(参见上文)。
可以理解,本发明上述实施例的其它组合可用于获得所需计量测量和/或计量及对准测量的组合。
本发明上述重迭计量的实施例是按照用计量单元测量的不对称的标记来描述的。典型地,在晶片上显影和烘烤抗蚀剂后使用计量单元(图2中的S8),计量单元在距离光刻投射装置一段距离处设置(晶片可以通过传送带如轨道从光刻投射装置运送到计量单元)。但是,可以理解,本发明可以用于获得在光刻加工循环的其它阶段进行重迭计量测量,并且计量单元可以具有可选择的位置。例如,计量单元可以位于光刻投射单元内并用于获得计量测量,如两个先前加工的生成物层,或一个生成物层和一个具有隐藏像的抗蚀剂层的重迭测量(同样的计量单元可以用于测量对准)。
为了获得两个先前加工生成物层的计量测量,即在刻蚀和/或加工之后,例如带有衍射光栅的两层具有某种不对称形式(不对称可以上述任何形式)。用常规的方法将一层抗蚀剂涂覆到晶片上,使新的一层曝光,并且晶片传送到光刻投射装置。在新的层曝光之前,计量单元用于采用一种或多种上述方法,通过衍射光栅中存在的不对称获得计量测量。可以理解,计量测量可以在曝光后获得。方便地,计量单元包括还可以用于获得后续曝光的对准信息的单元(即无需分离计量和对准单元)。可以理解,通过具有适当不对称的衍射光栅的比较,可以获得多个生成物层的重迭计量测量。总的来说,在层n+1曝光之前,可以测量在先前的层n,n-1(或n-2、……n-m)中曝光的标记,使得可以进行层n和n-1(或n-2、……n-m及它们的组合)之间的重迭计量。
方便地,重迭计量测量可以在为曝光而对准晶片的过程中获得,即当对准单元位于用于对准的给定的对准衍射光栅上方时,可以单独地根据为提供对准的衍射光栅获得第一测量,并且根据位于对准衍射光栅上面或下面的层中的衍射光栅获得第二测量(或者根据两个衍射光栅的组合),第二测量用于提供重迭计量测量。在使用多级光刻投射装置时(即晶片在曝光之前在分离的级中被绘制,如EP1037117中所描述的),可以没有任何生产率减少地进行重迭计量测量。
以该方式采用这种方法是有利的,因为它可以使对每个晶片的重迭计量测量可以进行,从而将非生产晶片或小片不被检测的可能性减到最小。该方法与仅对一个代表性的晶片样品进行计量测量的常规装置相比是有利的。提供的重迭计量数据可用于提供应用于对于给定抗蚀剂层n的一批后续晶片的校正预算(反馈)。另外,在加工步骤是可比较的情况下,计量数据可用于提供应用于后续层的校正预算(前馈)。
本发明实施的重迭计量可以对隐藏图象进行。隐藏图象可以是已经在抗蚀剂中曝光的图象,即没有曝光后烘烤。但是,在某些情况下,溶解这种图象是不可能的,此时使用曝光后烘烤。
除重迭计量外,如上所述,本发明可以用于提供焦点计量、临界尺寸计量和其它计量。此时,可以对加工层中的标记或抗蚀剂层中的标记进行测量。计量测量可以对曝光后烘烤前或后的隐藏图象进行进行。计量单元可位于任何上面提到的位置。
无需使用衍射光栅即可获得衍射为本领域所公知的。衍射可以通过引导光照到适当大小的单个特征(典型地,该特征为光照波长量级)或者其它适当大小的标记。所描述的本发明实施例优选采用衍射光栅,因为衍射光栅提供强衍射信号。但是,可以理解,本发明可以使用非衍射光栅的标记来实施。例如,结合图5描述的本发明实施例。所示标记包括四个生成物衍射光栅栅线和四个抗蚀剂衍射光栅栅线。如果从标记中除去每个衍射光栅的三个栅线,那么标记包括一个位于生成物层单个栅线上面的抗蚀剂层单个栅线。被引导到标记处的光将被标记衍射。不同衍射级和/或波长将被计量单元检测。对于不同衍射级和/或波长测量的标记位置的差异可用于以上面详述的方式测量重迭。
可以理解,联系衍射光栅所描述的本发明的其它实施例也可以采用单个特征或其它适当大小的标记来实施。
为使本发明正确发挥作用所必需的是包括某种程度不对称的标记。如果标记是完全对称的,那么,将无法依照本发明提供计量。下面为需要不对称的原因的数学解释:
把一个独立的特征看作是关于位置x0对称的,并且被关于x0对称的光束照明。由于对称的原因,这种设置产生的近场必然也表现出同样类型的关于x0对称:
E e ( nf ) ( x - x 0 ; λ ) = E e ( nf ) ( - x - x 0 ; λ )
在此,近场对波长λ的依赖明显地表示出来。为了简化,只考虑一维(x),但是扩展到二维,很容易做到。上面定义的场的传播遵从同质媒介的波动方程。距离散射标记非常远的场分布被称作远场。该远场是近场的傅里叶变换示于各种有关这一主题的课本中(例如,J.W.Goodman;傅里叶光学入门(Introduction toFourier Optics),McGraw-Hill)。还是因为对称,该远场必然保持对称:
                    Ee(θ;λ,x0)=Ee(-θ;λ,x0)
Figure C0316484100362
|Ee(θ;λ,0)|exp[je(θ;λ)]exp[-jkx0]=|Ee(-θ;λ,0)|exp[je(-θ;λ)]exp[jkx0]其中,下标‘e’表示远场角θ和被称作空间角频率的k=2πsin(θ)/λ的偶函数。上式中的第二个表达式只不过使用了傅里叶变换法则:空域中的位移导致频域中的线性相移。换句话说,对称标记总是具有远场对称振幅。另外,远场的相位e也是对称的,并且只有反对称组件存在由标记移动引入的线性相移。
注意这种处理对于衍射光栅和分离的目标是有效的。它基本上是光学对准传感器概念的数学公式。主要地,现有的光学对准传感器比较被选择的负空间频率范围[-k1,…-k2]与相应的正空间频率范围[k2,…k1]之间的相位差。该相位差只是x0的函数,并且不依赖偶相位差e。该测量适于对准,但不提供计量测量。
本发明的实施例依赖于计量单元对于不同级次/颜色测量不对称的衍射光栅的表观位置。这些实施例的共同的要素为衍射光栅的不对称是需要被测量的计量参数(重迭、CD、透镜象差……)的(非线性)函数。
不对称标记在位置x0产生的近场通常也是不对称的。数学上,我们通常将该近场分解为对称(=偶)和不对称(=奇)组件:
E ( nf ) ( x - x 0 ; λ ) = E e ( nf ) ( - x - x 0 ; λ ) + E o ( nf ) ( - x - x 0 ; λ )
在此,下标‘e’和‘o’分别表示具有如下特性偶和奇复数函数:
fe(x)=fe(-x)
fo(x)=fo(-x)
傅里叶变换该近场,并且利用傅里叶变换的线性,导致远场也由对称(=偶)和不对称(=奇)两部分组成:
E(θ;λ,x0)=Ee(θ;λ,x0)+Eo(-θ;λ,x0)
E(θ;λ,0)={|Ee(θ;λ,0)|exp[je(θ;λ)]+|Eo(θ;λ,0)|exp[jo(θ;λ)]}exp[jkx0]
根据上面提到的奇复合函数的特性,相位和振幅项遵从:
|Ee,o(θ;λ,0)|=|Ee,o(-θ;λ,0)|
e(θ;λ)=e(-θ;λ)
o(θ;λ)=o(-θ;λ)+π
在进行实际说明而不是抽象分析之前,值得强调该分析对任何标记都有效。此外,偶和奇相位项e和o为空间频率(=远场角θ)及波长λ(即项之间的差异将通过测量级间位移获得)的函数。
图27示出当x0=0(即目标在其定义的位置)的情况的图解说明。在这种情况下,在两个远场角θ和-θ处的衍射场对称部分的复合振幅相等,但依赖于所选择的角度和波长。不对称复合场也示于图27中,并且不对称复合场相对于偶部分的振幅和相位α也依赖于远场角和波长。
计量单元不能区别远场的对称和不对称部分,只能测量全部场,也就是示于图27中的偶和奇场的矢量总和。总的来说,计量单元测量反射空间频率(或等价地:远场角)之间的相位差ψ(见图27)。矢量构成清楚地示出该矢量依赖于光谱地偶和奇部分地振幅和相对相位。通常,标记不对称的变化将改变远场的偶和奇部分。该变化是波长/远场角依赖的,导致可测量的位置:
x m ( k , λ ) = x 0 + Ψ ( k , λ ) 2 1 k
在此,下标‘m’表示与‘测量’位置有关,由两项组成:“真实”位置x0和不对称偏移。真实位置不依赖于波长和空间频率,这样,我们可以通过对于两种不同颜色和/或空间频率(在使用衍射光栅时为“衍射级”)进行位置测量来消除该未知项:
Δx ( k 1 , k 2 , λ 1 , λ 2 ) = Ψ ( k 1 , λ 1 ) 2 k 1 - Ψ ( k 2 , λ 2 ) 2 k 2
注意到矢量构造显示也可以使用对比度(即振幅差)。但是,这不是优选的,因为不对称作用通常非常小,导致对比度仅稍微偏离整体。

Claims (58)

1、一种器件检验的方法,该方法包括:
在器件上提供一个被检验的不对称标记,不对称标记包括第一和第二衍射光栅,
引导光至标记,
通过检测一个波长的衍射光或衍射角,获得标记的第一位置测量,
通过检测一个不同波长的衍射光或衍射角,获得标记的第二位置测量,和
比较所测量的第一与第二位置以确定表示标记不对称程度的位移。
2、根据权利要求1的方法,其特征在于,第一和第二位置测量包括具有不同衍射角但波长相同的衍射光的检测。
3、根据权利要求1的方法,其特征在于,第一和第二位置测量包括具有相同衍射角但波长不同的衍射光的检测。
4、根据权利要求1的方法,其特征在于,第一和第二位置测量包括具有不同衍射角及不同波长的衍射光的检测。
5、根据权利要求1-4中任何一项的方法,其特征在于,第一和第二位置测量同时进行。
6、根据权利要求1-4中任何一项的方法,其特征在于,所述衍射光栅是相位衍射光栅。
7、根据权利要求1的方法,其特征在于,第一衍射光栅设置在器件第一层中,以及第二衍射光栅设置在器件第二层中,第一衍射光栅和第二衍射光栅具有相同的周期,并且一个覆盖另一个,这样光被两个衍射光栅的组合衍射,所测量的衍射光栅之间的不对称表示第一和第二层的重迭。
8、根据权利要求7的方法,其特征在于,第一衍射光栅的栅线比第二衍射光栅的栅线窄。
9、根据权利要求7的方法,其特征在于,位移用于确定第一和第二层的重迭。
10、根据权利要求9的方法,其特征在于,通过利用分别设置在第一和第二层中的第三和第四衍射光栅校准重迭,第三和第四衍射光栅邻接第一和第二衍射光栅设置。
11、根据权利要求10的方法,其特征在于,在第一和第二衍射光栅之间存在第一符号的重迭偏移,并且在第三和第四衍射光栅之间存在相反符号的重迭偏移。
12、根据权利要求11的方法,其特征在于,偏移量为最大所需重迭测量的数量级。
13、根据权利要求12的方法,其特征在于,偏移量为100nm数量级。
14、根据权利要求10~13中任何一项的方法,其特征在于,重迭校准利用在器件上其它位置的附加的衍射光栅,校准所获得的重迭测量。
15、根据权利要求10~13中任何一项的方法,其特征在于,除第一、第二、第三和第四衍射光栅之外,第五和第六衍射光栅分别设置在第一和第二层中,具有不同偏移的第五和第六衍射光栅用于提高重迭测量的校准精度。
16、根据权利要求10~13中任何一项的方法,其特征在于,一个附加的衍射光栅在第一层或第二层中邻接其它衍射光栅设置,该方法还包括测量所述附加的衍射光栅的位移以确定所测量的位移的传感器误差。
17、根据权利要求7~13中任何一项的方法,其特征在于,第一和第二衍射光栅设置有子结构,其中一个衍射光栅的子结构包括相位跃变,这样,衍射光产生的不对称作为子结构的相对位置的函数,所测量的不对称表示第一和第二层的重迭。
18、根据权利要求17的方法,其特征在于,子结构的特征大小为用于将衍射光栅投射到器件上的光刻投射装置的极限分辨率的数量级。
19、根据权利要求17的方法,其特征在于,子结构的特征大小相对于被引导到衍射光栅的光的波长要足够大,使得来自子结构的衍射发生并且在第一层和第二层之间传播,但是,子结构的特征大小要足够小,使得来自子结构的衍射在测量中不被检测。
20、根据权利要求17的方法,其特征在于,第一和第二衍射光栅设置为具有第一符号的重迭偏移,并且具有相同子结构的第三和第四衍射光栅设置为具有相反符号的重迭偏移,所述偏移用于校准重迭测量。
21、根据权利要求20的方法,其特征在于,一个附加衍射光栅在第一层或第二层中邻接其它衍射光栅设置,该方法还包括测量所述附加衍射光栅的位移以确定所测量的位移的传感器误差。
22、根据权利要求17的方法,其特征在于,子结构的测量用于重建子结构的形状,从而把测量位移与重迭联系起来。
23、根据权利要求1的方法,其特征在于,第一衍射光栅设置在器件第一层中,以及第二衍射光栅设置在器件第二层中,第一衍射光栅和第二衍射光栅具有不同的周期,选择各自的周期以在不同衍射级或波长引起衍射,标记的不对称依赖于第一和第二层的重迭,测量包括通过测量一个衍射级或波长来测量第一衍射光栅的位置,以及通过测量另一个衍射级或波长来测量第二衍射光栅的位置,所测量的位置之间的位移表示标记的不对称和第一与第二层的重迭。
24、根据权利要求23的方法,其特征在于,选择第一和第二衍射光栅的周期使得被两个衍射光栅衍射的光不会产生具有与所测量的衍射级频率相同的合成信号。
25、根据权利要求23或24的方法,其特征在于,第一和第二衍射光栅一个覆盖另一个。
26、根据权利要求23或24的方法,其特征在于,第一和第二衍射光栅被空间地分离。
27、根据权利要求26的方法,其特征在于,第一衍射光栅位于第二衍射光栅旁边,如同一个衍射光栅对。
28、根据权利要求27的方法,其特征在于,通过提供第二衍射光栅对避免旋转误差,第二衍射光栅对包括具有与第二衍射光栅相同周期的第三衍射光栅和具有与第一衍射光栅相同周期的第四衍射光栅,第二衍射光栅对相对于第一衍射光栅对沿横向于衍射光栅栅线的方向侧向放置。
29、根据权利要求28的方法,其特征在于,一个衍射光栅被分成位于其它衍射光栅两边的两行,沿横向于衍射光栅栅线方向的轴分割。
30、根据权利要求29的方法,其特征在于,第一衍射光栅和第二衍射光栅都被分成两个或更多个交互的行。
31、根据权利要求30的方法,其特征在于,第一衍射光栅和第二衍射光栅具有共同的对称轴,该对称轴位于横向于衍射光栅栅线的方向。
32、根据权利要求30的方法,其特征在于,行被设置为形成具有由行间隔限定的周期的衍射光栅。
33、根据权利要求32的方法,其特征在于,该方法还包括由第一衍射光栅的衍射光与第二衍射光栅的衍射光之间的耦合引起的拍频的强度监视,以提供在平行于由行间隔限定的周期。
34、根据权利要求33的方法,其特征在于,选择行间隔使得由于孔径误差产生的重迭误差将引起耦合方向重迭。
35、根据权利要求29的方法,其特征在于,偏移被相对于其它衍射光栅引入衍射光栅的其中一个,选择偏移的大小以使第一衍射光栅的衍射光与第二衍射光栅的衍射光之间的耦合最小。
36、根据权利要求23~25中任何一项的方法,其特征在于,该方法还包括通过在第一器件层中设置与第二衍射光栅周期相同的第三衍射光栅和在第二器件层中设置与第一衍射光栅周期相同的第四衍射光栅来确定传感器误差,该传感器误差通过比较所测量的第一和第二衍射光栅以及第三和第四衍射光栅的位移来消除。
37、根据权利要求1的方法,其特征在于,第一衍射光栅设置为测量光刻投射装置的聚焦精度的一个衍射光栅,第二衍射光栅设置为在光刻投射装置的掩模上的一个具有子结构的衍射光栅,该子结构包括一个光程梯度,该梯度具有相邻衍射光栅栅线相反的符号,选择梯度使得在用光刻投射装置将衍射光栅投射到器件上的过程中,焦距误差将使所投射的衍射光栅被移动,所投射的衍射光栅的相邻栅线沿相反方向移动,引起通过位移来测量的不对称。
38、根据权利要求38的方法,其特征在于,光程梯度引入基本上为四分之一波长的相位差,用于将衍射光栅投射到器件上。
39、根据权利要求37或38的方法,其特征在于,衍射光栅相邻栅线的相对宽度选择为不同的,这样,所投射的衍射光栅的不对称足够大以被通过位移测量。
40、根据权利要求1的方法,其特征在于,第一衍射光栅设置为测量光刻投射装置的临界尺寸的衍射光栅,第二衍射光栅设置为在器件上的一个具有子结构的衍射光栅,该子结构的周期或者其数量级为光刻投射装置的极限分辨率,子结构设置成衍射光栅栅线的延伸,从而补偿衍射光栅的不对称,临界尺寸的变化改善了子结构的有效反射率,从而改善了衍射光栅的不对称,改善的不对称通过位移测量。
41、根据权利要求1的方法,其特征在于,第一衍射光栅邻接第二衍射光栅,第一衍射光栅和第二衍射光栅具有不同的周期,选择各自的周期以在不同衍射级引起衍射,这样,通过测量一个衍射级提供第一衍射光栅的位置测量并且通过测量其它衍射级提供第二衍射光栅的位置测量,该方法包括加工第一和第二衍射光栅使得包括不对称的标记从加工中产生,并且测量第一和第二位置之间的位移以确定在标记上加工的效果。
42、根据权利要求41的方法,其特征在于,通过将该位移与先前对于已知的加工不对称所测量的位移的比较来量化加工效果。
43、根据权利要求41或42的方法,其特征在于,在第一和第二衍射光栅的位置测量之前,从第二衍射光栅清除加工。
44、根据权利要求43的方法,其特征在于,标记还包括具有分别相应于第一和第二衍射光栅的周期的第三和第四衍射光栅,该方法还包括加工第三和第四衍射光栅并且从第三和第四衍射光栅清除加工,测量第三和第四衍射光栅的位置以确定所测量的位置之间的位移。并且使用所确定的位移在第一和第二衍射光栅的位移测量中校正误差。
45、根据权利要求23或24的方法,其特征在于,在重迭测量之前,第一衍射光栅用于确定用于将包括第二衍射光栅的图象投射到器件上的对准位置。
46、根据权利要求45的方法,其中在重迭测量之后,第二衍射光栅用于确定用于将后续图象投射到器件上的对准位置。
47、根据权利要求46的方法,其特征在于,所投射的图象包括具有与第二衍射光栅不同周期的一个附加衍射光栅,该方法还包括使用附加衍射光栅来确定用于将后续图象投射到器件上的对准位置。
48、根据权利要求1-4、7-13、23-24、37-38、40-42中任何一项的方法,其特征在于,该检测方法在器件上曝光标记之后直接实施。
49、根据权利要求1-4、7-13、23-24、37-38、40-42中任何一项的方法,其特征在于,该检测方法在器件上曝光及曝光后烘烤标记之后实施。
50、根据权利要求1-4、7-13、23-24、37-38、40-42中任何一项的方法,其特征在于,该检测方法在器件上曝光及硬烘烤标记之后实施。
51、根据权利要求1-4、7-13、23-24、37-38、40-42中任何~项的方法,其特征在于,该检测方法在器件上曝光及加工标记之后实施。
52、根据权利要求1-4、7-13、23-24、37-38、40-42中任何一项的方法,其特征在于,该检测方法在将抗蚀剂层涂敷到器件之后,并且在曝光所述抗蚀剂之前实施,标记在一个或多个器件加工层上。
53、根据权利要求1-4、7-13、23-24、37-38、40-42中任何一项的方法,其特征在于,该方法用于位于光刻投射装置内部的器件,标记的位置用于为光刻投射装置提供对准信息以及提供器件的检验。
54、一种器件检验装置,该装置包括:
一个设置为引导光至器件上的一个不对称标记的光源,不对称标记包括第一和第二衍射光栅,
一个设置为检测以一个波长或衍射角从标记衍射的光从而提供标记的位置测量的第一检测器,
一个设置为检测以一个不同波长或衍射角从标记衍射的光从而提供标记的第二位置测量的第二检测器,和
设置为比较所测量的位置以确定表示标记不对称程度的位移的比较装置。
55、根据权利要求54的器件检验装置,其特征在于,该装置位于光刻投射装置内部。
56、根据权利要求54的器件检验装置,其特征在于,该装置位于连接到光刻投射装置的轨道内。
57、根据权利要求54的器件检验装置,其特征在于,该装置位于一个分离于光刻投射装置的箱中。
58、根据权利要求54~57中任何一项的器件检验装置,设置为进行根据权利要求1-4、7-13、23-24、37-38、40-42中任何一项的方法。
CNB03164841XA 2002-09-20 2003-09-19 器件检验 Expired - Fee Related CN100337089C (zh)

Applications Claiming Priority (8)

Application Number Priority Date Filing Date Title
US41186102P 2002-09-20 2002-09-20
US60/411861 2002-09-20
US41360102P 2002-09-26 2002-09-26
US60/413601 2002-09-26
EP03075954.2 2003-04-01
EP03075954 2003-04-01
EP03076422A EP1477860A1 (en) 2003-05-12 2003-05-12 Lithographic marker structure compliant with microelectronic device processing
EP03076422.9 2003-05-12

Publications (2)

Publication Number Publication Date
CN1534271A CN1534271A (zh) 2004-10-06
CN100337089C true CN100337089C (zh) 2007-09-12

Family

ID=33303964

Family Applications (4)

Application Number Title Priority Date Filing Date
CNB03164841XA Expired - Fee Related CN100337089C (zh) 2002-09-20 2003-09-19 器件检验
CNB031648401A Expired - Fee Related CN100476599C (zh) 2002-09-20 2003-09-19 光刻标记结构、包含该光刻标记结构的光刻投射装置和利用该光刻标记结构进行基片对准的方法
CN031648592A Expired - Lifetime CN1506768B (zh) 2002-09-20 2003-09-19 用于光刻系统的对准系统和方法
CN031648584A Expired - Lifetime CN1495540B (zh) 2002-09-20 2003-09-19 利用至少两个波长的光刻系统的对准系统和方法

Family Applications After (3)

Application Number Title Priority Date Filing Date
CNB031648401A Expired - Fee Related CN100476599C (zh) 2002-09-20 2003-09-19 光刻标记结构、包含该光刻标记结构的光刻投射装置和利用该光刻标记结构进行基片对准的方法
CN031648592A Expired - Lifetime CN1506768B (zh) 2002-09-20 2003-09-19 用于光刻系统的对准系统和方法
CN031648584A Expired - Lifetime CN1495540B (zh) 2002-09-20 2003-09-19 利用至少两个波长的光刻系统的对准系统和方法

Country Status (6)

Country Link
US (12) US7332732B2 (zh)
JP (10) JP4222927B2 (zh)
KR (5) KR100543536B1 (zh)
CN (4) CN100337089C (zh)
SG (4) SG125923A1 (zh)
TW (4) TWI229243B (zh)

Families Citing this family (264)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7317531B2 (en) * 2002-12-05 2008-01-08 Kla-Tencor Technologies Corporation Apparatus and methods for detecting overlay errors using scatterometry
US7541201B2 (en) 2000-08-30 2009-06-02 Kla-Tencor Technologies Corporation Apparatus and methods for determining overlay of structures having rotational or mirror symmetry
CN100337089C (zh) * 2002-09-20 2007-09-12 Asml荷兰有限公司 器件检验
JP4095391B2 (ja) 2002-09-24 2008-06-04 キヤノン株式会社 位置検出方法
SG120958A1 (en) * 2002-11-01 2006-04-26 Asml Netherlands Bv Inspection method and device manufacturing method
CN100510962C (zh) * 2002-12-16 2009-07-08 Asml荷兰有限公司 具有对准子系统的光刻装置和使用对准的器件制造方法
CN100470375C (zh) * 2002-12-16 2009-03-18 Asml荷兰有限公司 光刻装置和器件制造方法
JP4101076B2 (ja) * 2003-02-06 2008-06-11 キヤノン株式会社 位置検出方法及び装置
US7025498B2 (en) * 2003-05-30 2006-04-11 Asml Holding N.V. System and method of measuring thermal expansion
US7565219B2 (en) * 2003-12-09 2009-07-21 Asml Netherlands B.V. Lithographic apparatus, method of determining a model parameter, device manufacturing method, and device manufactured thereby
KR101026935B1 (ko) * 2003-12-10 2011-04-04 엘지디스플레이 주식회사 디스펜서 정렬장치 및 그 방법
JP2005233828A (ja) * 2004-02-20 2005-09-02 Canon Inc Euv光スペクトル測定装置およびeuv光のパワー算出方法
US7265366B2 (en) * 2004-03-31 2007-09-04 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US7259828B2 (en) * 2004-05-14 2007-08-21 Asml Netherlands B.V. Alignment system and method and device manufactured thereby
US7271073B2 (en) * 2004-06-30 2007-09-18 Asml Nertherlands B.V. Marker for alignment of non-transparent gate layer, method for manufacturing such a marker, and use of such a marker in a lithographic apparatus
US7791727B2 (en) * 2004-08-16 2010-09-07 Asml Netherlands B.V. Method and apparatus for angular-resolved spectroscopic lithography characterization
US20080144036A1 (en) * 2006-12-19 2008-06-19 Asml Netherlands B.V. Method of measurement, an inspection apparatus and a lithographic apparatus
US7259106B2 (en) * 2004-09-10 2007-08-21 Versatilis Llc Method of making a microelectronic and/or optoelectronic circuitry sheet
US7308368B2 (en) * 2004-09-15 2007-12-11 Asml Netherlands B.V. Method and apparatus for vibration detection, method and apparatus for vibration analysis, lithographic apparatus, device manufacturing method, and computer program
EP1645893A1 (de) * 2004-10-08 2006-04-12 Fraunhofer-Gesellschaft zur Förderung der angewandten Forschung e.V. Beugungsgitter für elektromagnetische Strahlung sowie Verfahren zur Herstellung
US7629697B2 (en) 2004-11-12 2009-12-08 Asml Netherlands B.V. Marker structure and method for controlling alignment of layers of a multi-layered substrate
JP4656334B2 (ja) * 2004-11-30 2011-03-23 株式会社安川電機 アライメント装置
US7271907B2 (en) * 2004-12-23 2007-09-18 Asml Netherlands B.V. Lithographic apparatus with two-dimensional alignment measurement arrangement and two-dimensional alignment measurement method
US20060138681A1 (en) * 2004-12-27 2006-06-29 Asml Netherlands B.V. Substrate and lithography process using the same
US7626701B2 (en) * 2004-12-27 2009-12-01 Asml Netherlands B.V. Lithographic apparatus with multiple alignment arrangements and alignment measuring method
KR100636492B1 (ko) 2005-01-05 2006-10-18 삼성에스디아이 주식회사 기판과 마스크의 정렬장치 및 정렬방법
US7720631B2 (en) * 2005-01-20 2010-05-18 Revera, Incorporated Semiconductor substrate processing method and apparatus
US7274465B2 (en) * 2005-02-17 2007-09-25 Timbre Technologies, Inc. Optical metrology of a structure formed on a semiconductor wafer using optical pulses
US7528953B2 (en) * 2005-03-01 2009-05-05 Kla-Tencor Technologies Corp. Target acquisition and overlay metrology based on two diffracted orders imaging
US7408624B2 (en) * 2005-06-30 2008-08-05 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
JP4509974B2 (ja) * 2005-06-30 2010-07-21 エーエスエムエル ネザーランズ ビー.ブイ. レチクル予備位置合わせセンサ用一体照明システムがあるエンドエフェクタ
US20070002336A1 (en) * 2005-06-30 2007-01-04 Asml Netherlands B.V. Metrology apparatus, lithographic apparatus, process apparatus, metrology method and device manufacturing method
US7414722B2 (en) * 2005-08-16 2008-08-19 Asml Netherlands B.V. Alignment measurement arrangement and alignment measurement method
US7687925B2 (en) * 2005-09-07 2010-03-30 Infineon Technologies Ag Alignment marks for polarized light lithography and method for use thereof
TWI540399B (zh) * 2005-09-16 2016-07-01 瑪波微影Ip公司 微影系統及投射方法
DE102005046973B4 (de) * 2005-09-30 2014-01-30 Globalfoundries Inc. Struktur und Verfahren zum gleichzeitigen Bestimmen einer Überlagerungsgenauigkeit und eines Musteranordnungsfehlers
US7863763B2 (en) * 2005-11-22 2011-01-04 Asml Netherlands B.V. Binary sinusoidal sub-wavelength gratings as alignment marks
US20070146708A1 (en) * 2005-11-24 2007-06-28 Nikon Corporation Mark structure, mark measurement apparatus, pattern forming apparatus and detection apparatus, and detection method and device manufacturing method
US7557903B2 (en) * 2005-12-08 2009-07-07 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
JP2007184342A (ja) * 2006-01-05 2007-07-19 Nikon Corp 露光システム、露光方法、及びデバイス製造方法
US7897058B2 (en) * 2006-02-13 2011-03-01 Asml Netherlands B.V. Device manufacturing method and computer program product
KR101356270B1 (ko) * 2006-02-21 2014-01-28 가부시키가이샤 니콘 패턴 형성 장치, 마크 검출 장치, 노광 장치, 패턴 형성 방법, 노광 방법 및 디바이스 제조 방법
TWI297920B (en) * 2006-02-22 2008-06-11 Advanced Semiconductor Eng Compact camera module and its substrate
US20080013062A1 (en) * 2006-03-23 2008-01-17 Nikon Corporation Exposure apparatus, exposure method, and device manufacturing method
US20080013090A1 (en) * 2006-03-29 2008-01-17 Nikon Corporation Measurement method, measurement unit, processing unit, pattern forming method , and device manufacturing method
US7616313B2 (en) * 2006-03-31 2009-11-10 Kla-Tencor Technologies Corporation Apparatus and methods for detecting overlay errors using scatterometry
JP4839127B2 (ja) * 2006-05-10 2011-12-21 株式会社日立ハイテクノロジーズ 校正用標準部材及びこれを用いた校正方法および電子ビーム装置
US7898662B2 (en) 2006-06-20 2011-03-01 Asml Netherlands B.V. Method and apparatus for angular-resolved spectroscopic lithography characterization
US20090134496A1 (en) * 2006-07-06 2009-05-28 Freescale Semiconductor, Inc. Wafer and method of forming alignment markers
US7564555B2 (en) * 2006-08-15 2009-07-21 Asml Netherlands B.V. Method and apparatus for angular-resolved spectroscopic lithography characterization
KR101711323B1 (ko) * 2006-08-31 2017-02-28 가부시키가이샤 니콘 이동체 구동 방법 및 이동체 구동 시스템, 패턴 형성 방법 및 장치, 노광 방법 및 장치, 그리고 디바이스 제조 방법
CN100456142C (zh) * 2006-10-18 2009-01-28 上海微电子装备有限公司 一种对准标记及其制造方法
US7545520B2 (en) * 2006-11-15 2009-06-09 Asml Netherlands B.V. System and method for CD determination using an alignment sensor of a lithographic apparatus
US7923265B2 (en) * 2006-11-28 2011-04-12 Taiwan Semiconductor Manufacturing Company, Ltd. Method and system for improving critical dimension proximity control of patterns on a mask or wafer
JP5425363B2 (ja) * 2006-11-28 2014-02-26 ルネサスエレクトロニクス株式会社 半導体装置、及び表示装置
US8010307B2 (en) * 2006-12-07 2011-08-30 Hermes-Microvision, Inc. In-line overlay measurement using charged particle beam system
KR100823302B1 (ko) * 2006-12-08 2008-04-17 주식회사 테스 플라즈마 처리 장치
US20080277064A1 (en) * 2006-12-08 2008-11-13 Tes Co., Ltd. Plasma processing apparatus
KR100978754B1 (ko) * 2008-04-03 2010-08-30 주식회사 테스 플라즈마 처리 장치
US8722179B2 (en) * 2006-12-12 2014-05-13 Asml Netherlands B.V. Substrate comprising a mark
US8609441B2 (en) * 2006-12-12 2013-12-17 Asml Netherlands B.V. Substrate comprising a mark
JP4858146B2 (ja) * 2006-12-14 2012-01-18 大日本印刷株式会社 フォトマスクおよび転写方法
KR100795665B1 (ko) 2006-12-28 2008-01-21 동부일렉트로닉스 주식회사 반도체 장치 검사 방법
US7696057B2 (en) * 2007-01-02 2010-04-13 International Business Machines Corporation Method for co-alignment of mixed optical and electron beam lithographic fabrication levels
US20080171422A1 (en) * 2007-01-11 2008-07-17 Tokie Jeffrey H Apparatus and methods for fabrication of thin film electronic devices and circuits
US20080175468A1 (en) * 2007-01-24 2008-07-24 Hermes Microvision, Inc. Method and system for creating knowledge and selecting features in a semiconductor device
US7684011B2 (en) 2007-03-02 2010-03-23 Asml Netherlands B.V. Calibration method for a lithographic apparatus
US7599064B2 (en) * 2007-03-07 2009-10-06 Asml Netherlands B.V. Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device manufacturing method, substrate for use in the methods
US7858404B2 (en) * 2007-03-14 2010-12-28 Taiwan Semiconductor Manufacturing Company, Ltd. Measurement of overlay offset in semiconductor processing
US7999912B2 (en) * 2007-05-08 2011-08-16 Asml Netherlands B.V. Lithographic apparatus and sensor calibration method
US20090246896A1 (en) * 2007-07-19 2009-10-01 Melissa Kreger Method and apparatus for improved printed cathodes for organic electronic devices
EP2078221A1 (en) * 2007-08-06 2009-07-15 Stephen Hastings Method for reactive optical correction of galvano motor scanning heads
US7847938B2 (en) * 2007-10-01 2010-12-07 Maskless Lithography, Inc. Alignment system for optical lithography
US8482732B2 (en) * 2007-10-01 2013-07-09 Maskless Lithography, Inc. Alignment system for various materials and material flows
JP2009097871A (ja) * 2007-10-12 2009-05-07 Sony Corp 部材所定位置の検出装置
JP2009099873A (ja) * 2007-10-18 2009-05-07 Canon Inc 露光装置およびデバイス製造方法
NL1036179A1 (nl) * 2007-11-20 2009-05-25 Asml Netherlands Bv Lithographic apparatus and method.
NL1036191A1 (nl) * 2007-12-05 2009-06-08 Asml Netherlands Bv Marker structure and method of forming the same.
SG153747A1 (en) * 2007-12-13 2009-07-29 Asml Netherlands Bv Alignment method, alignment system and product with alignment mark
NL1036336A1 (nl) * 2007-12-27 2009-06-30 Asml Netherlands Bv Method of creating an alignment mark on a substrate and substrate.
JP5006889B2 (ja) 2008-02-21 2012-08-22 エーエスエムエル ネザーランズ ビー.ブイ. 粗ウェーハ位置合わせ用マーク構造及びこのようなマーク構造の製造方法
NL1036468A1 (nl) * 2008-02-27 2009-08-31 Asml Netherlands Bv Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device manufacturing method.
KR20100115372A (ko) * 2008-03-17 2010-10-27 도쿄엘렉트론가부시키가이샤 제어 장치 및 제어 방법
NL1036702A1 (nl) * 2008-04-15 2009-10-19 Asml Holding Nv Diffraction elements for alignment targets.
US8401691B2 (en) * 2008-04-28 2013-03-19 University Of North Carolina At Charlotte Dynamic metrology methods and systems
KR100975832B1 (ko) * 2008-05-21 2010-08-13 윈텍 주식회사 압흔 검사장치 및 방법
TWI436313B (zh) * 2008-05-22 2014-05-01 Creator Technology Bv 具有彎曲基板的堆疊顯示器,電子設備及其製造方法
US20090296075A1 (en) * 2008-05-29 2009-12-03 Nanometrics Incorporated Imaging Diffraction Based Overlay
EP2131244A3 (en) * 2008-06-02 2012-04-11 ASML Netherlands BV Lithographic apparatus and method for measuring a pattern property
WO2010009930A1 (en) * 2008-06-02 2010-01-28 Asml Netherlands B.V. Sub-wavelength segmentation in measurement targets on substrates
US8665417B2 (en) * 2008-06-11 2014-03-04 Asml Netherlands B.V. Apparatus and method for inspecting a substrate
US9229338B2 (en) * 2008-09-08 2016-01-05 Asml Netherlands B.V. Substrate, a method of measuring a property, an inspection apparatus and a lithographic apparatus
TW201015230A (en) 2008-10-03 2010-04-16 Univ Nat Chiao Tung Immersion inclined lithography apparatus and tank thereof
US7897481B2 (en) * 2008-12-05 2011-03-01 Taiwan Semiconductor Manufacturing Company, Ltd. High throughput die-to-wafer bonding using pre-alignment
DE102009060277A1 (de) * 2008-12-24 2010-09-02 X-Fab Semiconductor Foundries Ag Verfahren zur Herstellung von Justiermarken für licht-undurchlässige bzw. licht-absorbierende Schichten (Light-Shield-Resistmasken)
CN101526750B (zh) * 2009-01-13 2011-06-29 上海微电子装备有限公司 用于光刻设备的对准系统及应用其的光刻设备
NL2004094A (en) * 2009-02-11 2010-08-12 Asml Netherlands Bv Inspection apparatus, lithographic apparatus, lithographic processing cell and inspection method.
US8039366B2 (en) * 2009-02-19 2011-10-18 International Business Machines Corporation Method for providing rotationally symmetric alignment marks for an alignment system that requires asymmetric geometric layout
CN101487992B (zh) * 2009-03-04 2010-10-20 上海微电子装备有限公司 一种硅片标记捕获系统与方法
NL2004216A (en) * 2009-03-26 2010-09-28 Asml Netherlands Bv Alignment measurement arrangement, alignment measurement method, device manufacturing method and lithographic apparatus.
NL2004542A (en) * 2009-05-11 2010-11-15 Asml Netherlands Bv Method of determining overlay error and a device manufacturing method.
JP5326811B2 (ja) * 2009-05-22 2013-10-30 住友電気工業株式会社 半導体光素子を作製する方法
US8164753B2 (en) 2009-06-05 2012-04-24 Nanya Technology Corp. Alignment mark arrangement and alignment mark structure
US8313877B2 (en) * 2009-06-12 2012-11-20 Micron Technology, Inc. Photolithography monitoring mark, photolithography mask comprising an exposure monitoring mark, and phase shift mask comprising an exposure monitoring mark
KR101395733B1 (ko) * 2009-06-17 2014-05-15 에이에스엠엘 네델란즈 비.브이. 오버레이 측정 방법, 리소그래피 장치, 검사 장치, 처리 장치, 및 리소그래피 처리 셀
CN101943865B (zh) * 2009-07-09 2012-10-03 上海微电子装备有限公司 一种用于光刻设备的对准标记和对准方法
KR101116321B1 (ko) * 2009-08-21 2012-03-09 에이피시스템 주식회사 기판 정렬 방법
CN101634815B (zh) * 2009-08-31 2011-06-29 上海微电子装备有限公司 一种基于多个不同波长的对准方法
US8804137B2 (en) * 2009-08-31 2014-08-12 Kla-Tencor Corporation Unique mark and method to determine critical dimension uniformity and registration of reticles combined with wafer overlay capability
CN102024689B (zh) * 2009-09-11 2012-09-19 中芯国际集成电路制造(上海)有限公司 提高多晶硅栅制作工艺中对准性能的方法
WO2011045125A1 (en) * 2009-10-13 2011-04-21 Asml Netherlands B.V. Inspection method and apparatus
US8502324B2 (en) * 2009-10-19 2013-08-06 Freescale Semiconductor, Inc. Semiconductor wafer having scribe lane alignment marks for reducing crack propagation
JP5781523B2 (ja) 2009-10-26 2015-09-24 マッパー・リソグラフィー・アイピー・ビー.ブイ. 荷電粒子マルチビームレットリソグラフィシステム、変調デバイスおよびその製造方法
JP5527074B2 (ja) * 2009-11-16 2014-06-18 セイコーエプソン株式会社 偏光素子及びプロジェクター
JP5800456B2 (ja) * 2009-12-16 2015-10-28 キヤノン株式会社 検出器、インプリント装置及び物品の製造方法
TWI408331B (zh) * 2009-12-17 2013-09-11 Ind Tech Res Inst 雙面光學膜片量測裝置與方法
US8400634B2 (en) * 2010-02-08 2013-03-19 Micron Technology, Inc. Semiconductor wafer alignment markers, and associated systems and methods
SG183108A1 (en) * 2010-02-19 2012-09-27 Asml Netherlands Bv Lithographic apparatus and device manufacturing method
JP5463947B2 (ja) * 2010-02-19 2014-04-09 セイコーエプソン株式会社 偏光素子及びプロジェクター
JP5526851B2 (ja) * 2010-02-19 2014-06-18 セイコーエプソン株式会社 偏光素子及びプロジェクター
NL2006004A (en) * 2010-03-25 2011-09-27 Asml Netherlands Bv Imprint lithography.
CN102253602A (zh) * 2010-05-18 2011-11-23 上海微电子装备有限公司 一种光刻系统中实时控制照明剂量的装置
CN102253603B (zh) * 2010-05-21 2013-05-22 上海微电子装备有限公司 一种用于光刻设备的对准探测装置
KR20120000846A (ko) * 2010-06-28 2012-01-04 삼성전자주식회사 웨이퍼의 정렬 방법 및 공정 모니터링 방법
CN102314091B (zh) * 2010-07-01 2013-07-17 上海微电子装备有限公司 一种可调节对准系统照明光斑尺寸的光刻机
US9927718B2 (en) * 2010-08-03 2018-03-27 Kla-Tencor Corporation Multi-layer overlay metrology target and complimentary overlay metrology measurement systems
NL2007177A (en) 2010-09-13 2012-03-14 Asml Netherlands Bv Alignment measurement system, lithographic apparatus, and a method to determine alignment of in a lithographic apparatus.
CN102402140B (zh) * 2010-09-17 2014-02-19 上海微电子装备有限公司 一种对准系统
US8669507B2 (en) 2010-10-22 2014-03-11 Industrial Technology Research Institute Laser scanning device
WO2012062858A1 (en) 2010-11-12 2012-05-18 Asml Netherlands B.V. Metrology method and apparatus, lithographic system and device manufacturing method
NL2007425A (en) 2010-11-12 2012-05-15 Asml Netherlands Bv Metrology method and apparatus, and device manufacturing method.
EP2458441B1 (en) 2010-11-30 2022-01-19 ASML Netherlands BV Measuring method, apparatus and substrate
JP5589815B2 (ja) 2010-12-14 2014-09-17 ソニー株式会社 撮像レンズ及び撮像装置
JP5830853B2 (ja) 2010-12-14 2015-12-09 ソニー株式会社 撮像レンズ及び撮像装置
CN102540743B (zh) * 2010-12-22 2015-03-25 上海微电子装备有限公司 用于光刻设备的参考光栅装调装置及方法
CN102566337B (zh) * 2010-12-28 2014-05-21 上海微电子装备有限公司 一种标记期望位置确定方法
CN102566338B (zh) * 2010-12-28 2013-11-13 上海微电子装备有限公司 光刻对准系统中对对准位置进行修正的方法
KR20120086073A (ko) 2011-01-25 2012-08-02 삼성전자주식회사 오버레이 계측 방법 및 그 장치
NL2008197A (en) * 2011-02-11 2012-08-14 Asml Netherlands Bv Inspection apparatus and method, lithographic apparatus, lithographic processing cell and device manufacturing method.
NL2008111A (en) * 2011-02-18 2012-08-21 Asml Netherlands Bv Optical apparatus, method of scanning, lithographic apparatus and device manufacturing method.
CN102692827B (zh) * 2011-03-21 2015-07-22 上海微电子装备有限公司 一种用于光刻设备的对准装置
NL2008317A (en) * 2011-03-24 2012-09-25 Asml Netherlands Bv Substrate and patterning device for use in metrology, metrology method and device manufacturing method.
CN103582848B (zh) * 2011-04-22 2018-05-08 迈普尔平版印刷Ip有限公司 在使用有部分反射位置标记的基底的光刻系统中的位置确定
EP3073323B1 (en) 2011-04-22 2021-03-03 ASML Netherlands B.V. Alignment sensor, lithography system for processing a target, such as a wafer, and method for operating a lithography system for processing a target, such as a wafer
JP5932023B2 (ja) 2011-05-13 2016-06-08 マッパー・リソグラフィー・アイピー・ビー.ブイ. ターゲットの少なくとも一部を処理するためのリソグラフィシステム
CN102890433B (zh) * 2011-07-20 2015-03-25 上海微电子装备有限公司 一种用于光刻设备的对准装置和对准方法
US8582114B2 (en) 2011-08-15 2013-11-12 Kla-Tencor Corporation Overlay metrology by pupil phase analysis
CN103019052B (zh) * 2011-09-23 2015-10-21 中芯国际集成电路制造(北京)有限公司 光刻对准标记以及包含其的掩模板和半导体晶片
CN103092011B (zh) * 2011-11-01 2015-08-26 上海微电子装备有限公司 用于光刻系统的对准装置
NL2009719A (en) * 2011-12-02 2013-06-05 Asml Netherlands Bv Alignment mark deformation estimating method, substrate position predicting method, alignment system and lithographic apparatus.
CN103135371B (zh) * 2011-12-02 2015-02-11 上海微电子装备有限公司 基于分束偏折结构的小光斑离轴对准系统
KR20130064486A (ko) * 2011-12-08 2013-06-18 삼성디스플레이 주식회사 광투과율 제어가 가능한 표시장치
CN103197418B (zh) * 2012-01-10 2015-06-17 上海微电子装备有限公司 一种对准4f光学系统
US9360778B2 (en) 2012-03-02 2016-06-07 Taiwan Semiconductor Manufacturing Company, Ltd. System and method for lithography patterning
JP5665784B2 (ja) 2012-03-16 2015-02-04 株式会社東芝 フォトマスクおよびパターン形成方法
DE102012204674B4 (de) * 2012-03-23 2014-11-27 Carl Zeiss Smt Gmbh Strahlregelungsvorrichtung für einen EUV-Beleuchtungsstrahl
CN103365105B (zh) * 2012-04-11 2015-05-13 上海微电子装备有限公司 一种对准过程中光强采样点筛选修正的方法
JP6353831B2 (ja) 2012-06-26 2018-07-04 ケーエルエー−テンカー コーポレイション 角度分解反射率測定における走査および回折の光計測からのアルゴリズム的除去
DE102012211549B3 (de) * 2012-07-03 2013-07-04 Polytec Gmbh Vorrichtung und Verfahren zur interferometrischen Vermessung eines Objekts
KR102015934B1 (ko) * 2012-07-05 2019-08-29 에이에스엠엘 네델란즈 비.브이. 리소그래피를 위한 계측법
JP5936479B2 (ja) * 2012-08-03 2016-06-22 キヤノン株式会社 計測装置、リソグラフィー装置、および物品の製造方法
JP5936478B2 (ja) * 2012-08-03 2016-06-22 キヤノン株式会社 計測装置、リソグラフィー装置、および物品の製造方法
US9243896B2 (en) * 2012-08-15 2016-01-26 Nikon Corporation Two axis encoder head assembly
WO2014026819A2 (en) 2012-08-16 2014-02-20 Asml Netherlands B.V. Method and apparatus for measuring asymmetry of a microstructure, position measuring method, position measuring apparatus, lithographic apparatus and device manufacturing method
US9093458B2 (en) * 2012-09-06 2015-07-28 Kla-Tencor Corporation Device correlated metrology (DCM) for OVL with embedded SEM structure overlay targets
US9690026B2 (en) 2012-10-15 2017-06-27 North Carolina State University Direct write lithography for the fabrication of geometric phase holograms
WO2014062972A1 (en) * 2012-10-18 2014-04-24 Kla-Tencor Corporation Symmetric target design in scatterometry overlay metrology
KR102215545B1 (ko) * 2012-10-26 2021-02-16 에이에스엠엘 네델란즈 비.브이. 리소그래피에서 기판의 위치 결정
US9939742B2 (en) 2012-11-05 2018-04-10 Asml Netherlands B.V. Method and apparatus for measuring asymmetry of a microstructure, position measuring method, position measuring apparatus, lithographic apparatus and device manufacturing method
KR102312241B1 (ko) * 2012-11-21 2021-10-13 케이엘에이 코포레이션 프로세스 호환 세그먼팅된 타겟들 및 설계 방법들
CN103972119B (zh) * 2013-01-25 2016-08-03 北大方正集团有限公司 一种测试装置和使用该测试装置测量对准偏差的方法
JP6185724B2 (ja) * 2013-02-20 2017-08-23 キヤノン株式会社 露光装置および物品の製造方法
US9030661B1 (en) 2013-03-15 2015-05-12 Kla-Tencor Corporation Alignment measurement system
JP2014225428A (ja) * 2013-04-24 2014-12-04 キヤノン株式会社 荷電粒子線照射装置、荷電粒子線の照射方法及び物品の製造方法
JP6193611B2 (ja) 2013-04-30 2017-09-06 キヤノン株式会社 描画装置、及び物品の製造方法
JP6465540B2 (ja) * 2013-07-09 2019-02-06 キヤノン株式会社 形成方法及び製造方法
CN103411538B (zh) * 2013-07-20 2016-03-02 中国科学技术大学 一种数字式波长编码光学绝对位移传感器
CN104345571B (zh) * 2013-07-24 2016-08-10 中芯国际集成电路制造(上海)有限公司 对准标记的成像和测量装置、光刻装置
US9257351B2 (en) * 2013-08-15 2016-02-09 Globalfoundries Inc. Metrology marks for bidirectional grating superposition patterning processes
TW201520702A (zh) * 2013-11-19 2015-06-01 Huang Tian Xing 對準誤差補償方法、系統,及圖案化方法
US10082417B2 (en) * 2013-12-30 2018-09-25 Nordson Corporation Calibration methods for a viscous fluid dispensing system
CN104898376B (zh) * 2014-03-03 2017-12-29 上海微电子装备(集团)股份有限公司 投影光刻机的离轴对准装置用于离轴对准的方法
JP6616818B2 (ja) 2014-03-21 2019-12-04 カルペ ディエム テクノロジーズ,インク. 可撓性基板上に微細構造体を製造するシステムおよび方法
CN106462078B (zh) * 2014-05-13 2018-10-02 Asml荷兰有限公司 衬底和量测用图案形成装置、量测方法及器件制造方法
JP6341883B2 (ja) * 2014-06-27 2018-06-13 キヤノン株式会社 位置検出装置、位置検出方法、インプリント装置及び物品の製造方法
WO2016040306A1 (en) 2014-09-08 2016-03-17 The Research Foundation Of State University Of New York Metallic gratings and measurement methods thereof
CN105511238B (zh) 2014-09-26 2017-11-03 中芯国际集成电路制造(上海)有限公司 光刻对准标记结构及形成方法、半导体结构的形成方法
US10210606B2 (en) * 2014-10-14 2019-02-19 Kla-Tencor Corporation Signal response metrology for image based and scatterometry overlay measurements
CN105807573B (zh) 2014-12-31 2017-12-29 上海微电子装备(集团)股份有限公司 用于套刻误差检测的装置和方法
JP6160850B2 (ja) * 2015-01-28 2017-07-12 株式会社東京精密 レーザーダイシング装置
US9779202B2 (en) * 2015-06-22 2017-10-03 Kla-Tencor Corporation Process-induced asymmetry detection, quantification, and control using patterned wafer geometry measurements
NL2017120A (en) * 2015-07-16 2017-01-17 Asml Netherlands Bv Lithographic apparatus and device manufacturing method
CN106569386B (zh) * 2015-10-08 2019-12-10 无锡华润上华科技有限公司 光罩及利用所述光罩进行多芯片同时制备的方法
NL2017739A (en) * 2015-11-27 2017-06-07 Asml Netherlands Bv Metrology target, method and apparatus, computer program and lithographic system
US10451412B2 (en) 2016-04-22 2019-10-22 Kla-Tencor Corporation Apparatus and methods for detecting overlay errors using scatterometry
US10115621B2 (en) 2016-05-13 2018-10-30 Globalfoundries Inc. Method for in-die overlay control using FEOL dummy fill layer
JPWO2018038071A1 (ja) * 2016-08-24 2019-07-18 株式会社ニコン 計測システム及び基板処理システム、並びにデバイス製造方法
CN107976869B (zh) * 2016-10-24 2023-06-30 上海微电子装备(集团)股份有限公司 一种工件台非正交校正方法及校正装置
US10352967B2 (en) * 2016-11-11 2019-07-16 Fluke Corporation Non-contact electrical parameter measurement systems
KR102536386B1 (ko) * 2016-12-14 2023-05-23 에이에스엠엘 네델란즈 비.브이. 광 디바이스 및 연관된 시스템
JP6971567B2 (ja) * 2016-12-16 2021-11-24 キヤノン株式会社 位置合わせ装置、位置合わせ方法、リソグラフィ装置、および物品製造方法
KR102260942B1 (ko) 2016-12-28 2021-06-04 에이에스엠엘 홀딩 엔.브이. 계측 툴 및 계측 툴 사용 방법
US10692227B2 (en) 2017-01-05 2020-06-23 Kla-Tencor Corporation Determination of sampling maps for alignment measurements based on reduction of out of specification points
WO2018137925A1 (en) * 2017-01-25 2018-08-02 Stichting Vu Method and apparatus for measuring a structure on a substrate
US20200232786A1 (en) * 2017-02-23 2020-07-23 Nikon Corporation Measurement of a change in a geometrical characteristic and/or position of a workpiece
JP2018185452A (ja) * 2017-04-27 2018-11-22 ルネサスエレクトロニクス株式会社 半導体装置およびその製造方法
NL2018856B1 (en) * 2017-05-05 2018-11-14 Suss Microtec Lithography Gmbh Method and device for aligning a first substrate with a second substrate
CN110603492B (zh) 2017-05-08 2022-07-08 Asml荷兰有限公司 量测传感器、光刻装置以及用于制造器件的方法
CN110612481A (zh) * 2017-05-08 2019-12-24 Asml荷兰有限公司 测量结构的方法、检查设备、光刻系统和器件制造方法
US11073487B2 (en) * 2017-05-11 2021-07-27 Kla-Tencor Corporation Methods and systems for characterization of an x-ray beam with high spatial resolution
NL2020769A (en) 2017-05-15 2018-11-20 Asml Netherlands Bv Metrology sensor, lithographic apparatus and method for manufacturing devices
JP7152877B2 (ja) * 2017-06-15 2022-10-13 キヤノン株式会社 検出装置、リソグラフィー装置および物品製造方法
DE102017113419A1 (de) 2017-06-19 2018-12-20 Keba Ag Vorrichtung und Verfahren zum Bestimmen eines Winkels zwischen zwei Werkstückflächen
EP3422103A1 (en) * 2017-06-26 2019-01-02 ASML Netherlands B.V. Method of determining a performance parameter of a process
US11079564B2 (en) * 2017-07-20 2021-08-03 Cymer, Llc Methods and apparatuses for aligning and diagnosing a laser beam
US10508971B2 (en) * 2017-09-07 2019-12-17 Taiwan Semiconductor Manufacturing Co., Ltd. Optical test system and method for determining size of gap between two substrates of optical element
EP3467588A1 (en) * 2017-10-03 2019-04-10 ASML Netherlands B.V. Method and apparatus for determining alignment properties of a beam of radiation
US10461037B2 (en) * 2017-10-30 2019-10-29 Taiwan Semiconductor Manufacturing Co., Ltd. Method for forming semiconductor device structure with overlay grating
CN111417844A (zh) * 2017-11-29 2020-07-14 Asml荷兰有限公司 激光束监控系统
US10650111B2 (en) 2017-11-30 2020-05-12 International Business Machines Corporation Electrical mask validation
US10429743B2 (en) * 2017-11-30 2019-10-01 International Business Machines Corporation Optical mask validation
US10705435B2 (en) * 2018-01-12 2020-07-07 Globalfoundries Inc. Self-referencing and self-calibrating interference pattern overlay measurement
TWI794416B (zh) * 2018-02-28 2023-03-01 美商賽格股份有限公司 多層堆疊結構之計量方法及干涉儀系統
JP7002383B2 (ja) * 2018-03-22 2022-02-04 キオクシア株式会社 位置計測用光源の品質管理方法および半導体製造装置
US11422292B1 (en) * 2018-06-10 2022-08-23 Apple Inc. Super-blazed diffractive optical elements with sub-wavelength structures
WO2019241149A1 (en) * 2018-06-13 2019-12-19 Magic Leap, Inc. System and method for qualifying a multi-layered optical stack for an optical projection system
JP7118148B2 (ja) * 2018-06-27 2022-08-15 東京エレクトロン株式会社 膜厚測定装置及び補正方法
CN110657743B (zh) * 2018-06-28 2021-08-31 上海微电子装备(集团)股份有限公司 一种栅格误差的测量方法、测量装置以及光学设备
US10772141B2 (en) 2018-06-28 2020-09-08 The Chinese University Of Hong Kong System and method for peer-to-peer wireless communication
JP7101268B2 (ja) * 2018-07-06 2022-07-14 エーエスエムエル ネザーランズ ビー.ブイ. 位置センサ
EP3629086A1 (en) * 2018-09-25 2020-04-01 ASML Netherlands B.V. Method and apparatus for determining a radiation beam intensity profile
US10481379B1 (en) * 2018-10-19 2019-11-19 Nanotronics Imaging, Inc. Method and system for automatically mapping fluid objects on a substrate
KR20200072311A (ko) 2018-12-12 2020-06-22 삼성전자주식회사 반도체 소자 제조 방법
CN109786228B (zh) * 2019-01-22 2021-04-16 上海华虹宏力半导体制造有限公司 形成对准标记的方法
CN113330534B (zh) * 2019-01-28 2023-01-13 科磊股份有限公司 莫尔标靶及其在测量半导体装置的偏移时的使用方法
WO2020169357A1 (en) * 2019-02-21 2020-08-27 Asml Holding N.V. Wafer alignment using form birefringence of targets or product
TWI716821B (zh) * 2019-02-22 2021-01-21 世界先進積體電路股份有限公司 晶圓結構
US10818606B1 (en) 2019-04-02 2020-10-27 Vanguard International Semiconductor Corporation Alignment mark patterns and wafer structures comprising the same
CN110001221B (zh) * 2019-04-11 2021-04-02 淮安信息职业技术学院 喷码位置偏移的检测方法及装置
JP6842680B2 (ja) 2019-07-19 2021-03-17 株式会社安川電機 エンコーダ、サーボモータ、サーボシステム
KR20210012772A (ko) 2019-07-26 2021-02-03 에스케이하이닉스 주식회사 수직형 반도체 장치 및 그 제조 방법
CN110379941A (zh) * 2019-07-31 2019-10-25 昆山梦显电子科技有限公司 高分辨率Micro-OLED的制备方法以及显示模组
KR102273278B1 (ko) * 2019-09-10 2021-07-07 (주)오로스 테크놀로지 오버레이 측정장치
CN110568701A (zh) * 2019-09-25 2019-12-13 上海创波光电科技有限公司 一种组合式二次成像视觉光源
US11270950B2 (en) 2019-09-27 2022-03-08 Taiwan Semiconductor Manufacturing Company, Ltd. Apparatus and method for forming alignment marks
KR102421290B1 (ko) * 2019-09-27 2022-07-15 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 정렬 마크를 형성하기 위한 장치 및 방법
JP2022552195A (ja) * 2019-10-11 2022-12-15 アプライド マテリアルズ インコーポレイテッド ダイシステム及び位置合わせベクトルを比較する方法
CN114585972A (zh) 2019-10-21 2022-06-03 Asml控股股份有限公司 感测对准标记的设备和方法
WO2021083649A1 (en) * 2019-10-29 2021-05-06 Asml Holding N.V. Variable diffraction grating
US11610297B2 (en) 2019-12-02 2023-03-21 Kla Corporation Tomography based semiconductor measurements using simplified models
US11520321B2 (en) 2019-12-02 2022-12-06 Kla Corporation Measurement recipe optimization based on probabilistic domain knowledge and physical realization
CN113093475A (zh) * 2020-01-08 2021-07-09 中芯国际集成电路制造(上海)有限公司 套刻精度检测方法及套刻偏差补偿方法
US11513085B2 (en) 2020-02-20 2022-11-29 Kla Corporation Measurement and control of wafer tilt for x-ray based metrology
US11754767B1 (en) 2020-03-05 2023-09-12 Apple Inc. Display with overlaid waveguide
CN113448192B (zh) * 2020-03-26 2022-08-30 上海微电子装备(集团)股份有限公司 一种对准系统及光刻机
TWI730798B (zh) * 2020-06-04 2021-06-11 力晶積成電子製造股份有限公司 對準標記結構及影像感測器的製造方法
US11530913B2 (en) 2020-09-24 2022-12-20 Kla Corporation Methods and systems for determining quality of semiconductor measurements
WO2022106182A1 (en) * 2020-11-17 2022-05-27 Asml Netherlands B.V. Metrology system and lithographic system
CN113065373B (zh) * 2021-03-16 2024-02-09 环鸿电子(昆山)有限公司 光学瞄准设备的自动化瞄准校正系统及方法
CN113725196A (zh) * 2021-08-31 2021-11-30 长江存储科技有限责任公司 半导体结构及其形成方法
US11815823B2 (en) 2021-10-15 2023-11-14 Applied Materials, Inc. Alignment mark for front to back side alignment and lithography for optical device fabrication
CN114061452A (zh) * 2021-11-04 2022-02-18 中国科学院微电子研究所 超精密位置探测光电信号解算结果有效性评价方法及系统
EP4202550A1 (en) * 2021-12-22 2023-06-28 ASML Netherlands B.V. Substrate comprising a target arrangement, associated patterning device, lithographic method and metrology method
CN116819917B (zh) * 2023-08-31 2023-11-17 光科芯图(北京)科技有限公司 一种掩模板、曝光设备及掩模板对准方法

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5808910A (en) * 1993-04-06 1998-09-15 Nikon Corporation Alignment method
US5910847A (en) * 1996-02-15 1999-06-08 U.S. Philips Corporation Method of determining the radiation dose in a lithographic apparatus
US6233494B1 (en) * 1996-08-23 2001-05-15 Nec Corporation Method of measuring overlay offset
US6297876B1 (en) * 1997-03-07 2001-10-02 Asm Lithography B.V. Lithographic projection apparatus with an alignment system for aligning substrate on mask

Family Cites Families (141)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE262510C (zh)
US4037969A (en) * 1976-04-02 1977-07-26 Bell Telephone Laboratories, Incorporated Zone plate alignment marks
NL7606548A (nl) 1976-06-17 1977-12-20 Philips Nv Werkwijze en inrichting voor het uitrichten van een i.c.-patroon ten opzichte van een halfgelei- dend substraat.
US4200395A (en) * 1977-05-03 1980-04-29 Massachusetts Institute Of Technology Alignment of diffraction gratings
DE2820133A1 (de) * 1978-05-09 1979-11-15 Walter Ing Grad Fries Vorrichtung fuer die trockenentwicklung von lichtpausmaterial
US4332473A (en) * 1979-01-31 1982-06-01 Tokyo Shibaura Denki Kabushiki Kaisha Apparatus for detecting a mutual positional relationship of two sample members
NL186353C (nl) * 1979-06-12 1990-11-01 Philips Nv Inrichting voor het afbeelden van een maskerpatroon op een substraat voorzien van een opto-elektronisch detektiestelsel voor het bepalen van een afwijking tussen het beeldvlak van een projektielenzenstelsel en het substraatvlak.
US4326805A (en) 1980-04-11 1982-04-27 Bell Telephone Laboratories, Incorporated Method and apparatus for aligning mask and wafer members
US4355892A (en) * 1980-12-18 1982-10-26 Censor Patent- Und Versuchs-Anstalt Method for the projection printing
US4398824A (en) 1981-04-15 1983-08-16 Bell Telephone Laboratories, Incorporated Wafer tilt compensation in zone plate alignment system
US4408884A (en) * 1981-06-29 1983-10-11 Rca Corporation Optical measurements of fine line parameters in integrated circuit processes
DE3318980C2 (de) * 1982-07-09 1986-09-18 Perkin-Elmer Censor Anstalt, Vaduz Vorrichtung zum Justieren beim Projektionskopieren von Masken
JPS5979527A (ja) * 1982-10-29 1984-05-08 Hitachi Ltd パタ−ン検出装置
US4514858A (en) * 1983-03-15 1985-04-30 Micronix Partners Lithography system
JPH0732109B2 (ja) * 1983-10-07 1995-04-10 株式会社日立製作所 光露光方法
US4596467A (en) * 1984-03-16 1986-06-24 Hughes Aircraft Company Dissimilar superimposed grating precision alignment and gap measurement systems
NL8401710A (nl) 1984-05-29 1985-12-16 Philips Nv Inrichting voor het afbeelden van een maskerpatroon op een substraat.
DD262510A1 (de) 1984-10-01 1988-11-30 Zeiss Jena Veb Carl Anordnung zur ausrichtung flaechenhafter gegenstaende
US4828392A (en) * 1985-03-13 1989-05-09 Matsushita Electric Industrial Co., Ltd. Exposure apparatus
JPS61208220A (ja) 1985-03-13 1986-09-16 Matsushita Electric Ind Co Ltd 露光装置及び位置合わせ方法
US4710026A (en) 1985-03-22 1987-12-01 Nippon Kogaku K. K. Position detection apparatus
US4861162A (en) 1985-05-16 1989-08-29 Canon Kabushiki Kaisha Alignment of an object
CH667373A5 (de) * 1985-05-22 1988-10-14 Bucher Guyer Ag Masch Verfahren zur klaerung von fluessigkeiten und anlage zur durchfuehrung desselben.
US4704033A (en) * 1986-03-06 1987-11-03 Micronix Corporation Multiple wavelength linear zone plate alignment apparatus and method
NL8600639A (nl) * 1986-03-12 1987-10-01 Asm Lithography Bv Werkwijze voor het ten opzichte van elkaar uitrichten van een masker en een substraat en inrichting voor het uitvoeren van de werkwijze.
US4748333A (en) 1986-03-31 1988-05-31 Nippon Kogaku K. K. Surface displacement sensor with opening angle control
US4814829A (en) * 1986-06-12 1989-03-21 Canon Kabushiki Kaisha Projection exposure apparatus
JPS6340316A (ja) * 1986-08-05 1988-02-20 Mitsubishi Electric Corp 半導体製造装置
US4757207A (en) * 1987-03-03 1988-07-12 International Business Machines Corporation Measurement of registration of overlaid test patterns by the use of reflected light
JPS63220521A (ja) * 1987-03-10 1988-09-13 Canon Inc 焦点合せ装置
DE3707711A1 (de) * 1987-03-11 1988-09-22 Hoechst Ag Oel-in-wasser-emulsionen, verfahren zu deren herstellung und deren verwendung
US4890529A (en) * 1987-06-15 1990-01-02 Grant Bruce M Luminescently outlined string instrument
JPS6414918A (en) * 1987-07-08 1989-01-19 Nikon Corp Stepper
JPS6414918U (zh) 1987-07-18 1989-01-25
US4857744A (en) * 1987-07-29 1989-08-15 Hitachi, Ltd. Optical projection printing apparatus wherein wafer mark has a grating pitch in the sagittal plane of the first optical system
JPH0642448B2 (ja) * 1987-09-30 1994-06-01 株式会社東芝 位置合わせ方法
US5523193A (en) 1988-05-31 1996-06-04 Texas Instruments Incorporated Method and apparatus for patterning and imaging member
JPH02170005A (ja) * 1988-12-23 1990-06-29 Matsushita Electric Ind Co Ltd 位置合わせ装置
US5489986A (en) * 1989-02-28 1996-02-06 Nikon Corporation Position detecting apparatus
EP0392085B1 (de) * 1989-04-12 1992-04-15 Landis & Gyr Betriebs AG Anordnung zur Messung einer Spurabweichung einer bewegbaren Folienbahn
NL8900991A (nl) 1989-04-20 1990-11-16 Asm Lithography Bv Apparaat voor het afbeelden van een maskerpatroon op een substraat.
US5543921A (en) * 1989-05-08 1996-08-06 Canon Kabushiki Kaisha Aligning method utilizing reliability weighting coefficients
JPH032504A (ja) 1989-05-30 1991-01-08 Nikon Corp 位置合わせ装置
JPH0335107A (ja) * 1989-06-30 1991-02-15 Toshiba Corp 相対位置検出用回折格子
JP2539047B2 (ja) 1989-08-02 1996-10-02 株式会社東芝 位置合せ方法
US5114236A (en) * 1989-08-04 1992-05-19 Canon Kabushiki Kaisha Position detection method and apparatus
US5151754A (en) * 1989-10-06 1992-09-29 Kabushiki Kaisha Toshiba Method and an apparatus for measuring a displacement between two objects and a method and an apparatus for measuring a gap distance between two objects
JP2885439B2 (ja) 1989-10-06 1999-04-26 株式会社東芝 第1及び第2の物体の位置合せ方法及び装置
JP3077149B2 (ja) 1990-01-22 2000-08-14 株式会社ニコン 測定装置、測定方法、及び露光装置、露光方法、及び回路パターンチップ
NL9000503A (nl) * 1990-03-05 1991-10-01 Asm Lithography Bv Apparaat en werkwijze voor het afbeelden van een maskerpatroon op een substraat.
JPH03257303A (ja) 1990-03-08 1991-11-15 Nec Corp 重ね合せ精度測定方法
JPH03262901A (ja) 1990-03-13 1991-11-22 Toshiba Corp 位置合わせ方法
JP2893823B2 (ja) * 1990-03-20 1999-05-24 株式会社ニコン 位置合わせ方法及び装置
DE59105735D1 (de) 1990-05-02 1995-07-20 Fraunhofer Ges Forschung Belichtungsvorrichtung.
NL9001611A (nl) 1990-07-16 1992-02-17 Asm Lithography Bv Apparaat voor het afbeelden van een maskerpatroon op een substraat.
US5418613A (en) * 1990-11-20 1995-05-23 Canon Kabushiki Kaisha Method and apparatus for detecting the position of a substrate having first and second patterns of different sizes
US5243195A (en) * 1991-04-25 1993-09-07 Nikon Corporation Projection exposure apparatus having an off-axis alignment system and method of alignment therefor
US5276337A (en) * 1991-10-31 1994-01-04 International Business Machines Corporation Accuracy of alignment and O/L measurement systems by means of tunable source and handling of signal
US5229872A (en) 1992-01-21 1993-07-20 Hughes Aircraft Company Exposure device including an electrically aligned electronic mask for micropatterning
JP3275273B2 (ja) 1993-01-28 2002-04-15 株式会社ニコン アライメント装置及び露光装置
BE1006067A3 (nl) * 1992-07-01 1994-05-03 Imec Inter Uni Micro Electr Optisch systeem voor het afbeelden van een maskerpatroon in een fotogevoelige laag.
US5488230A (en) * 1992-07-15 1996-01-30 Nikon Corporation Double-beam light source apparatus, position detecting apparatus and aligning apparatus
JPH06267824A (ja) * 1993-03-15 1994-09-22 Nikon Corp 露光方法
US5446587A (en) * 1992-09-03 1995-08-29 Samsung Electronics Co., Ltd. Projection method and projection system and mask therefor
JPH06177012A (ja) * 1992-12-03 1994-06-24 Nikon Corp アライメント装置
US5596204A (en) 1993-04-06 1997-01-21 Nikon Corporation Method for aligning processing areas on a substrate with a predetermined position in a static coordinate system
US5424548A (en) * 1993-09-21 1995-06-13 International Business Machines Corp. Pattern specific calibration for E-beam lithography
EP1278104B1 (en) * 1994-01-24 2007-06-13 ASML Holding N.V. Grating-grating interferometric alignment system
US5614432A (en) * 1994-04-23 1997-03-25 Nec Corporation Method for manufacturing LDD type MIS device
US5601957A (en) 1994-06-16 1997-02-11 Nikon Corporation Micro devices manufacturing method comprising the use of a second pattern overlying an alignment mark to reduce flattening
JP3451603B2 (ja) * 1994-06-16 2003-09-29 株式会社ニコン 露光方法及び該露光方法に使用されるマスク
WO1996004592A1 (en) 1994-08-02 1996-02-15 Philips Electronics N.V. Method of repetitively imaging a mask pattern on a substrate
US5477057A (en) * 1994-08-17 1995-12-19 Svg Lithography Systems, Inc. Off axis alignment system for scanning photolithography
JP3257303B2 (ja) 1994-11-24 2002-02-18 株式会社デンソー アンチスキッド制御装置
JPH08167559A (ja) * 1994-12-15 1996-06-25 Nikon Corp アライメント方法及び装置
JP3622249B2 (ja) 1995-02-01 2005-02-23 株式会社ニコン 位置検出方法及び装置
US6034378A (en) * 1995-02-01 2000-03-07 Nikon Corporation Method of detecting position of mark on substrate, position detection apparatus using this method, and exposure apparatus using this position detection apparatus
US5920378A (en) * 1995-03-14 1999-07-06 Nikon Corporation Projection exposure apparatus
JP3590940B2 (ja) * 1995-04-27 2004-11-17 株式会社ニコン アライメント装置及び露光装置
KR970002483A (ko) * 1995-06-01 1997-01-24 오노 시게오 노광 장치
US5910647A (en) * 1995-06-12 1999-06-08 Circuits And Systems, Inc. Electronic weighing apparatus utilizing surface acoustic waves
KR0186068B1 (ko) * 1995-12-27 1999-04-01 문정환 리소그라피 장치의 위치 정렬 시스템
US5801390A (en) * 1996-02-09 1998-09-01 Nikon Corporation Position-detection method and apparatus with a grating mark
EP0824722B1 (en) 1996-03-06 2001-07-25 Asm Lithography B.V. Differential interferometer system and lithographic step-and-scan apparatus provided with such a system
DE69704998T2 (de) * 1996-03-15 2001-09-27 Asm Lithography Bv Ausrichtungsvorrichtung und lithographischer apparat mit einer solchen vorrichtung
JPH09320933A (ja) * 1996-05-28 1997-12-12 Nikon Corp 走査型露光装置
US6023338A (en) * 1996-07-12 2000-02-08 Bareket; Noah Overlay alignment measurement of wafers
JPH1055946A (ja) * 1996-08-08 1998-02-24 Nikon Corp 露光条件測定方法
US5920376A (en) * 1996-08-30 1999-07-06 Lucent Technologies, Inc. Method and system for panoramic viewing with curved surface mirrors
JP2787303B2 (ja) * 1996-11-05 1998-08-13 株式会社ニコン 位置合わせ装置、露光装置及び露光方法
JP2000505958A (ja) 1996-12-24 2000-05-16 コーニンクレッカ フィリップス エレクトロニクス エヌ ヴィ 2個の物品ホルダを有する二次元バランス位置決め装置及びこの位置決め装置を有するリソグラフ装置
JP2947196B2 (ja) * 1997-01-23 1999-09-13 日本電気株式会社 半導体基板および半導体装置の製造方法
KR100459813B1 (ko) 1997-01-29 2004-12-04 마이크로닉 레이저 시스템즈 에이비 집속된 레이저 광선에 의해 감광 물질로 코팅된 기판상에 구조체를 형성시키는 방법 및 장치
US5969600A (en) * 1997-02-19 1999-10-19 Ranco Inc. Of Delware Dangerous condition warning device incorporating a time-limited hush mode of operation to defeat an audible low battery warning signal
SE509062C2 (sv) 1997-02-28 1998-11-30 Micronic Laser Systems Ab Dataomvandlingsmetod för en laserskrivare med flera strålar för mycket komplexa mikrokolitografiska mönster
USRE40043E1 (en) 1997-03-10 2008-02-05 Asml Netherlands B.V. Positioning device having two object holders
JPH10270346A (ja) 1997-03-24 1998-10-09 Nikon Corp 位置検出方法及びその装置、並びに露光装置
JP3466893B2 (ja) * 1997-11-10 2003-11-17 キヤノン株式会社 位置合わせ装置及びそれを用いた投影露光装置
US5952135A (en) * 1997-11-19 1999-09-14 Vlsi Technology Method for alignment using multiple wavelengths of light
AU1174599A (en) * 1997-11-20 1999-06-15 Nikon Corporation Mark detection method and mark position sensor
US6417922B1 (en) * 1997-12-29 2002-07-09 Asml Netherlands B.V. Alignment device and lithographic apparatus comprising such a device
US6160622A (en) * 1997-12-29 2000-12-12 Asm Lithography, B.V. Alignment device and lithographic apparatus comprising such a device
JP3067732B2 (ja) * 1998-04-02 2000-07-24 日本電気株式会社 半導体装置のアライメント装置及びアライメント方法
US5933744A (en) * 1998-04-02 1999-08-03 Taiwan Semiconductor Manufacturing Co., Ltd. Alignment method for used in chemical mechanical polishing process
JP3159168B2 (ja) 1998-05-15 2001-04-23 日本電気株式会社 半導体装置とその製造方法
US6087733A (en) * 1998-06-12 2000-07-11 Intel Corporation Sacrificial erosion control features for chemical-mechanical polishing process
US6037671A (en) * 1998-11-03 2000-03-14 Advanced Micro Devices, Inc. Stepper alignment mark structure for maintaining alignment integrity
TW569083B (en) * 1999-02-04 2004-01-01 Asml Netherlands Bv Lithographic projection apparatus
IL130874A (en) 1999-07-09 2002-12-01 Nova Measuring Instr Ltd System and method for measuring pattern structures
JP3615430B2 (ja) 1999-08-20 2005-02-02 松下電器産業株式会社 認識マーク
JP3949853B2 (ja) * 1999-09-28 2007-07-25 株式会社東芝 露光装置の制御方法及び半導体製造装置の制御方法
US6420791B1 (en) * 1999-11-23 2002-07-16 United Microelectronics Corp. Alignment mark design
JP2001185474A (ja) 1999-12-27 2001-07-06 Nikon Corp アライメント方法、アライメント装置、基板、マスク、及び露光装置
US6542243B2 (en) * 2000-01-27 2003-04-01 Lambda Physik Ag Resonator optics monitoring method
JP2001267211A (ja) * 2000-03-16 2001-09-28 Nikon Corp 位置検出方法及び装置、並びに前記位置検出方法を用いた露光方法及び装置
JP3844940B2 (ja) * 2000-03-27 2006-11-15 株式会社東芝 マーク位置検出装置およびマーク位置検出方法
JP2002198303A (ja) * 2000-12-27 2002-07-12 Nikon Corp 露光装置、光学特性計測方法、及びデバイス製造方法
US6462818B1 (en) 2000-06-22 2002-10-08 Kla-Tencor Corporation Overlay alignment mark design
JP3297423B2 (ja) * 2000-08-09 2002-07-02 株式会社東芝 フォーカステストマスク、並びにそれを用いたフォーカス及び収差の測定方法
US7068833B1 (en) * 2000-08-30 2006-06-27 Kla-Tencor Corporation Overlay marks, methods of overlay mark design and methods of overlay measurements
EP1314198B1 (en) 2000-08-30 2017-03-08 KLA-Tencor Corporation Overlay marks, methods of overlay mark design and methods of overlay measurements
US6429930B1 (en) * 2000-09-06 2002-08-06 Accent Optical Technologies, Inc. Determination of center of focus by diffraction signature analysis
IL139368A (en) * 2000-10-30 2006-12-10 Nova Measuring Instr Ltd Process control for microlithography
TW556296B (en) * 2000-12-27 2003-10-01 Koninkl Philips Electronics Nv Method of measuring alignment of a substrate with respect to a reference alignment mark
TW526573B (en) * 2000-12-27 2003-04-01 Koninkl Philips Electronics Nv Method of measuring overlay
KR100500469B1 (ko) * 2001-01-12 2005-07-12 삼성전자주식회사 정렬마크와 이를 이용하는 노광정렬시스템 및 그 정렬방법
US6819426B2 (en) * 2001-02-12 2004-11-16 Therma-Wave, Inc. Overlay alignment metrology using diffraction gratings
JP3914451B2 (ja) * 2001-02-26 2007-05-16 エーエスエムエル ネザーランズ ビー.ブイ. 測定された位置合わせマークの修正位置を決定するためのコンピュータプログラムと、デバイス製造方法と、該製造方法により製造されるデバイス
US20030002043A1 (en) * 2001-04-10 2003-01-02 Kla-Tencor Corporation Periodic patterns and technique to control misalignment
EP1256843A1 (en) * 2001-05-08 2002-11-13 ASML Netherlands B.V. Method of calibrating a lithographic apparatus
EP1256849A1 (en) 2001-05-08 2002-11-13 ASML Netherlands B.V. Method of calibrating a lithographic apparatus
TWI253682B (en) * 2001-05-23 2006-04-21 Asml Netherlands Bv Substrate provided with an alignment mark, method of designing a mask, computer program, mask for exposing said mark, device manufacturing method, and device manufactured thereby
US7061615B1 (en) * 2001-09-20 2006-06-13 Nanometrics Incorporated Spectroscopically measured overlay target
JP2003224057A (ja) * 2002-01-30 2003-08-08 Hitachi Ltd 半導体装置の製造方法
US20030160163A1 (en) * 2002-02-25 2003-08-28 Alan Wong Optical metrology target design for simultaneous measurement of multiple periodic structures
DE10224164B4 (de) * 2002-05-31 2007-05-10 Advanced Micro Devices, Inc., Sunnyvale Eine zweidimensionale Struktur zum Bestimmen einer Überlagerungsgenauigkeit mittels Streuungsmessung
JP2004079585A (ja) * 2002-08-09 2004-03-11 Nikon Corp 結像特性計測方法及び露光方法
CN100337089C (zh) * 2002-09-20 2007-09-12 Asml荷兰有限公司 器件检验
US6864956B1 (en) * 2003-03-19 2005-03-08 Silterra Malaysia Sdn. Bhd. Dual phase grating alignment marks
US7494635B2 (en) * 2003-08-21 2009-02-24 Saint-Gobain Ceramics & Plastics, Inc. Boron nitride agglomerated powder
US20050204144A1 (en) 2004-03-10 2005-09-15 Kabushiki Kaisha Toshiba Image processing apparatus and personal information management program

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5808910A (en) * 1993-04-06 1998-09-15 Nikon Corporation Alignment method
US5910847A (en) * 1996-02-15 1999-06-08 U.S. Philips Corporation Method of determining the radiation dose in a lithographic apparatus
US6233494B1 (en) * 1996-08-23 2001-05-15 Nec Corporation Method of measuring overlay offset
US6297876B1 (en) * 1997-03-07 2001-10-02 Asm Lithography B.V. Lithographic projection apparatus with an alignment system for aligning substrate on mask

Also Published As

Publication number Publication date
US7329888B2 (en) 2008-02-12
CN1534271A (zh) 2004-10-06
JP2004279405A (ja) 2004-10-07
JP4972628B2 (ja) 2012-07-11
KR100632889B1 (ko) 2006-10-13
US20060086910A1 (en) 2006-04-27
US20040130690A1 (en) 2004-07-08
JP2007214560A (ja) 2007-08-23
JP2004282017A (ja) 2004-10-07
KR20040025868A (ko) 2004-03-26
JP2008034878A (ja) 2008-02-14
JP4222927B2 (ja) 2009-02-12
JP2009069163A (ja) 2009-04-02
US7880880B2 (en) 2011-02-01
JP2004282019A (ja) 2004-10-07
US6844918B2 (en) 2005-01-18
US7330261B2 (en) 2008-02-12
TWI227814B (en) 2005-02-11
JP2004282018A (ja) 2004-10-07
KR20040025865A (ko) 2004-03-26
CN1534387A (zh) 2004-10-06
US7619738B2 (en) 2009-11-17
TW200421039A (en) 2004-10-16
KR100552455B1 (ko) 2006-02-20
KR20040025867A (ko) 2004-03-26
SG125923A1 (en) 2006-10-30
TWI251722B (en) 2006-03-21
US20060081790A1 (en) 2006-04-20
US20050189502A1 (en) 2005-09-01
SG125922A1 (en) 2006-10-30
US20060081792A1 (en) 2006-04-20
SG120949A1 (en) 2006-04-26
KR100536632B1 (ko) 2005-12-14
JP2007335906A (ja) 2007-12-27
CN1495540A (zh) 2004-05-12
US20070176128A1 (en) 2007-08-02
JP4422774B2 (ja) 2010-02-24
CN1506768B (zh) 2011-01-26
SG152898A1 (en) 2009-06-29
US20040114143A1 (en) 2004-06-17
KR20040025866A (ko) 2004-03-26
CN100476599C (zh) 2009-04-08
TW200416500A (en) 2004-09-01
US8139217B2 (en) 2012-03-20
US20110128520A1 (en) 2011-06-02
US20060081791A1 (en) 2006-04-20
US20040129900A1 (en) 2004-07-08
US20080180668A1 (en) 2008-07-31
TWI229243B (en) 2005-03-11
JP4362347B2 (ja) 2009-11-11
JP4222926B2 (ja) 2009-02-12
CN1495540B (zh) 2010-08-11
TW200411337A (en) 2004-07-01
KR100597041B1 (ko) 2006-07-04
KR20050102057A (ko) 2005-10-25
JP2012094915A (ja) 2012-05-17
TW200415449A (en) 2004-08-16
US7112813B2 (en) 2006-09-26
CN1506768A (zh) 2004-06-23
JP4166810B2 (ja) 2008-10-15
TWI277842B (en) 2007-04-01
US20060091330A1 (en) 2006-05-04
US7439531B2 (en) 2008-10-21
JP5508448B2 (ja) 2014-05-28
KR100543536B1 (ko) 2006-01-20
US7332732B2 (en) 2008-02-19
JP2008211247A (ja) 2008-09-11
US7297971B2 (en) 2007-11-20

Similar Documents

Publication Publication Date Title
CN100337089C (zh) 器件检验
US20220113638A1 (en) Method and apparatus for design of a metrology target
US11003099B2 (en) Method and apparatus for design of a metrology target
US10394137B2 (en) Inspection method, lithographic apparatus, mask and substrate
CN1916603A (zh) 用于角分解光谱光刻表征的方法与设备
CN109791367B (zh) 量测选配方案选择
US9704810B2 (en) Method and apparatus for determining an overlay error
US20050185174A1 (en) Method to determine the value of process parameters based on scatterometry data
CN1477448A (zh) 光刻装置和器件制造方法
CN1749862A (zh) 振动检测和振动分析方法与设备及具有该设备的光刻设备
US20070222979A1 (en) Method to Determine the Value of Process Parameters BAsed on Scatterometry Data
CN1879063A (zh) 微分临界尺寸和覆盖计量装置以及测量方法
WO2012010458A1 (en) Method and apparatus for determining an overlay error
KR20080069136A (ko) 측정 방법, 검사 장치 및 리소그래피 장치
JP2020525831A (ja) メトロロジパラメータ決定及びメトロロジレシピ選択
TWI672569B (zh) 監測來自度量衡裝置之照明特性的方法
US20110176123A1 (en) Inspection Method and Apparatus
CN114144732A (zh) 用于确定关于目标结构的信息的方法和系统
TWI724573B (zh) 測量微影設備之聚焦性能之方法及圖案化裝置及設備與裝置製造方法
JPH07226359A (ja) 位置合わせ方法
JP2007027263A (ja) 露光装置および方法、位置検出装置および方法、ならびにデバイス製造方法
JPH07226360A (ja) 位置合わせ方法

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20070912

Termination date: 20180919

CF01 Termination of patent right due to non-payment of annual fee