CN100358080C - 半导体衬底处理中所用的反应室气体分配系统及处理反应室中的衬底的方法 - Google Patents

半导体衬底处理中所用的反应室气体分配系统及处理反应室中的衬底的方法 Download PDF

Info

Publication number
CN100358080C
CN100358080C CNB018168841A CN01816884A CN100358080C CN 100358080 C CN100358080 C CN 100358080C CN B018168841 A CNB018168841 A CN B018168841A CN 01816884 A CN01816884 A CN 01816884A CN 100358080 C CN100358080 C CN 100358080C
Authority
CN
China
Prior art keywords
gas
flow
gas supply
supply line
control valve
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
CNB018168841A
Other languages
English (en)
Other versions
CN1468441A (zh
Inventor
布赖恩·K·迈克米林
罗伯特·诺普
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of CN1468441A publication Critical patent/CN1468441A/zh
Application granted granted Critical
Publication of CN100358080C publication Critical patent/CN100358080C/zh
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67253Process monitoring, e.g. flow or thickness monitoring
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23FNON-MECHANICAL REMOVAL OF METALLIC MATERIAL FROM SURFACE; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL; MULTI-STEP PROCESSES FOR SURFACE TREATMENT OF METALLIC MATERIAL INVOLVING AT LEAST ONE PROCESS PROVIDED FOR IN CLASS C23 AND AT LEAST ONE PROCESS COVERED BY SUBCLASS C21D OR C22F OR CLASS C25
    • C23F4/00Processes for removing metallic material from surfaces, not provided for in group C23F1/00 or C23F3/00
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05DSYSTEMS FOR CONTROLLING OR REGULATING NON-ELECTRIC VARIABLES
    • G05D11/00Control of flow ratio
    • G05D11/02Controlling ratio of two or more flows of fluid or fluent material
    • G05D11/13Controlling ratio of two or more flows of fluid or fluent material characterised by the use of electric means
    • G05D11/131Controlling ratio of two or more flows of fluid or fluent material characterised by the use of electric means by measuring the values related to the quantity of the individual components
    • G05D11/132Controlling ratio of two or more flows of fluid or fluent material characterised by the use of electric means by measuring the values related to the quantity of the individual components by controlling the flow of the individual components
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S438/00Semiconductor device manufacturing: process
    • Y10S438/935Gas flow control

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Automation & Control Theory (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • Feeding, Discharge, Calcimining, Fusing, And Gas-Generation Devices (AREA)
  • Physical Or Chemical Processes And Apparatus (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

用于处理半导体衬底的气体分配系统,包括:多个气体供给设备;在其中将来自多个气体供给设备的气体混合在一起的混合歧管;将混合气体输送给室中的各个区的多条气体供应线;以及控制阀。气体供应线包括将混合气体输送给室中的第一区的第一气体供应线以及将混合气体输送给室中的第二区的第二气体供应线。控制阀控制第一和/或第二供应线中混合气体的流率以便在第一和第二气体供应线中实现混合气体的流率的想要的比率。在使用该装置的方法中,将半导体衬底提供给反应室以及通过将混合气体提供给第一和第二区来处理衬底,调整控制阀以便在第一和/或第二气体供应线中的混合气体的流率提供第一和第二区中混合气体的流率的想要的比率。

Description

半导体衬底处理中所用的反应室气体分配系统及处理反应室中的衬底的方法
技术领域
本发明涉及用于处理半导体衬底,如集成电路晶片的反应室,具体地说,涉及用在这些反应室中的气体分配系统的改进。
背景技术
半导体处理包括淀积过程,如金属、电介质以及半导体材料的化学汽相淀积(CVD)、对这些层的蚀刻、光刻胶掩蔽层的灰化等等。这些半导体处理通常是在真空室中进行的,其中生产气体用来处理衬底如半导体晶片、平板显示器衬底等等。通过气体分配系统如喷射头(showerhead)、气体分配环、气体喷射器等等,能将生产气体供应到真空室内部。在U.S专利No.5,134,965、5,415,728、5,522,934、5,614,055、5,772,771、6,013,155和6,042,687中公开了具有多个气体分配系统的反应器。
在蚀刻的情况下,通常使用等离子体蚀刻来蚀刻金属、电介质和半导体材料。等离子体蚀刻反应器通常包括支撑底电极上的硅晶片的基座、将生产气体激励到等离子体状态的能源以及将生产气体提供给室的生产气体源。
在集成电路制作中通常要求在电介质材料中蚀刻开孔,如触点和通孔。电介质材料包括掺杂氧化硅,如氟化氧化硅(FSG),无掺杂氧化硅,如二氧化硅、硅酸盐玻璃,如硼磷硅玻璃(BPSG)和磷硅酸玻璃(PSG)、掺杂或无掺杂的热生长氧化硅(grown silicon oxide)、掺杂或无掺杂TEOS淀积氧化硅等等。电介质掺杂剂包括硼、磷和/或砷。电介质能叠加在导体或半导体层,如多晶硅、金属如铝、铜、钛、钨、钼或其合金,氮化物如氮化钛、金属硅化物如硅化钛、硅化钴、硅化钨、硅化钼等等上。在U.S.专利No.5,013,398中公开了一种等离子体蚀刻技术,其中平行板等离子体反应堆用于蚀刻氧化硅中的开孔。
U.S.专利No.5,736,457描述了单和双“波纹”金属化方法。在“单波纹”方法中,在单独的步骤中形成通孔和导体,其中将用于导体或通孔的金属化图形蚀刻在电介质层中,将金属层填充在电介质层的蚀刻槽或通孔中,通过化学机械平面化(CMP)或通过深腐蚀过程来去除过剩金属。在“双波纹”方法中,将用于通孔和导体的金属化图形蚀刻在电介质层中以及通过单金属填充和过剩金属去除过程,用金属填充蚀刻槽和通孔。
希望在晶片的表面均匀地分配等离子体以便在晶片的整个表面上获得均匀的蚀刻速率。当前的气体分配室设计包括多条供应线和为室中的单个区域馈送的多个质量流量控制器(MFCs)。然而,当前的气体分配设计要求许多元件,在设计上很复杂并且成本很高。因此,希望降低复杂性和成本来制造这些气体分配装置。
发明内容
本发明提供用于在半导体衬底处理中所用的反应室的气体分配系统,包括多个气体供给设备、将来自多个气体供给设备的气体混合在一起的混合歧管(mixing manifold)、将混合气体输送给室中的不同区的多条气体供应线,该气体供应线包括将混合气体输送给室中的第一区的第一气体供应线以及将混合气体输送给室中第二区的第二气体供应线、至少一个控制阀,用于控制在第一和/或第二气体供应线中的混合气体的流率以便在第一和第二气体供应线中实现想要的混合气体的流率的比率、至少一个测量第一和/或第二气体供应线中的混合气体的流率的流量测量设备以及响应由该至少一个流量测量设备测量的流率来操作该至少一个控制阀的控制器。
根据一优选实施例,控制器包括计算机或可编程逻辑设备,该控制器操作至少一个控制阀以便在处理室中的半导体衬底的过程中,被输送给多条气体供应线的至少一条的混合气体的比例由第一设定值改变为第二设定值。在一个实施例中,该至少一个控制阀包括第一和第二控制阀,以及该至少一个流量测量设备包括第一和第二流量测量设备,沿第一气体供应线设置第一控制阀以及第一测量设备,以及沿第二气体供应线设置第二控制阀和第二流量测量设备。在另一实施例中,该至少一个控制阀包括单个控制阀,该至少一个流量测量设备包括沿第一或第二气体供应线设置的单个流量测量设备。反应室可包括真空室,如等离子体蚀刻室或CVD室。
本发明还提供一种处理反应室中衬底的方法,该方法包括:将半导体衬底提供给反应室,用至少一个流量测量设备测量第一和/或第二气体供应线中混合气体的流率,以及通过将混合气体提供给第一和第二区来处理衬底,响应由至少一个流量测量设备测量的流率,由控制器调整该至少一个控制阀。在一个优选实施例中,控制器监视由气体供给设备提供给混合歧管的总的气体流量以及将总的气体流量和一条气体供应线中的测量的气体流量与用于第二气体供应线的目标流量进行比较,由控制器重复调整该至少一个控制阀来获得想要的第一和第二气体供应线中的流率的比率。半导体衬底可包括通过将材料层淀积在晶片上或通过等离子蚀刻晶片上的电介质、半导体或导体材料层来处理的硅晶片。
附图说明
本发明的目的和优点通过结合附图,阅读下述的详细说明将变得明白,其中:
图1描述根据本发明的第一实施例的气体分配装置;
图2是描述根据本发明的第一实施例控制反应室中气体分配的方法的流程图;
图3描述根据本发明的第二实施例的气体分配装置;
图4是根据本发明的第二实施例,控制反应室中气体分配的方法的流程图;
图5描述根据本发明的第三实施例的气体分配装置;
图6描述根据本发明的第四实施例的气体分配装置;
图7A描述根据本发明的第五实施例的气体分配装置;
图7B描述根据本发明的第六实施例的气体分配装置;
图8描述气体分配装置,其中固定的节流孔用来分流对等离子体反应室中各位置的气体供给。
具体实施方式
为更好地理解本发明,下述详细描述参考附图,其中举例说明和描述了本发明的优选的示范性的实施例。另外,在图中,用来标识相同元件的参考数字始终是相同的。
根据本发明,气体供给装置将所需比例的混合生产气体提供给处理室中的多个区。可在任何类型的半导体处理装置中使用气体供给装置,其中在半导体处理装置中,希望选择性地在半导体衬底上分配生产气体。这种装置包括CVD系统、灰化器(asher)、电容耦合等离子反应堆、电感耦合等离子反应堆、ECR反应器等等。
根据本发明的第一实施例,经气体分配系统将混合气体输送给半导体处理室,如反应等离子蚀刻室或其他真空处理反应器中的多个区,同时根据给定的设定值和至少一个流率读数反馈控制输送给每个区的生产气体部分。在蚀刻半导体晶片上的二氧化硅、铝或多晶硅膜时,通常希望以受控制的比例将混合生产气体提供给靠近晶片的中央和周边(边缘)的区域。气体供给的最佳空间分配有助于实现整个晶片上均匀的蚀刻结果,尽管所需的比例可能根据应用而定。例如,对给定的应用,将生产气体的75%供给边缘以及将生产气体的25%供给晶片的中央可能是有利的。在可能于相同的工具上执行的不同的蚀刻应用中,将生产气体的10%供给边缘以及将90%供给晶片的中央可能是有利的。另外,也可能希望在复杂的多步蚀刻方法中,一步一步地改变输送给两个区的生产气体的比例。在现有技术的装置中,根据利益和蚀刻均匀性要求的应用中的折衷,通常选择气体供给的固定空间分配(例如具有特定的孔图形的喷射头)。
本发明的气体分配系统能提供实时控制输送给处理室中的多个区的生产供给气体部分的能力,以便在处理室中处理中性气体合成物,从而帮助实现整个晶片上的均匀工艺(例如蚀刻)结果。对先进的半导体处理装置来说,这是特别有用的特性,其中较大直径的晶片正在集成电路制造中使用,同时设备上的部件尺寸却继续减小。
可在真空室中实现本发明的气体分配系统,通过供给气体供应线、压力调节器、质量流量控制器(MFCs)、各种截流阀和相关管件,以及混合歧管,为真空室提供生产气体。根据本发明,可物理地将气体供给装置设置在混合歧管和真空处理(蚀刻或CVD)室之间并用来将混合气体流量分裂成用于输送给室的多个区的多条线。最好由计算机控制从气体箱输送给室的流量,通常相同的计算机用来控制室的处理参数/操作。在操作中,用户能将气体分配系统设置成以特定的流率流动一种或多种气体以便将混合生产气体供给用于蚀刻晶片的反应器。例如,在铝蚀刻应用中,在主蚀刻步骤中,用户可能分别以100、200和4sccm的流率使Cl2、BCl3和N2的混合物流动。可由MFCs控制和监视生产气体组分的流率。
在一个实施例中,本发明可包括至少一个流量测量设备、一个流量控制设备以及用于控制输送给室中的至少两个区的混合气体流量的比例的反馈控制系统。该反馈控制系统可包括模拟电路和/或在可编程逻辑设备或计算机上执行的数字控制算法。
图1表示根据本发明的气体分配系统的第一实施例的示意图,其中经气体供应线12(能将生产气体提供给喷射头或在室的上部分中排列的其他气体供给装置)和气体供应线14(将生产气体提供给室的下面部分,如衬底支架周围的气体分配环或排列在衬底支座中的直通排气管)将生产气体提供给处理室10。然而,另一可替代的双气体供给装置能将气体提供给室的顶部中央和顶部周边。将生产气体从气体供给设备16、18、20提供给气体线12、14,来自供给设备16、18、20的生产气体分别提供给质量流量控制器22、24、26。在质量流量控制器22、24、26将生产气体提供给混合歧管28后,混合气体经过可选的流量计30,该流量计30随后将混合生产气体引导至流量线12、14。流量线12可包括可选的流量限制设备32,流量线14可包括流量测量设备34和反馈控制阀36。控制系统40监视流量测量设备34并有效地控制质量流量控制器22、24、26和反馈控制阀36。该反馈控制系统允许调整输送给处理室的两个区的混合气体的比例。可选的流量限制设备32可是固定的节流孔或针阀,等等。
在操作中,用户将选择用于气体箱中的每一供给气体的流量的设定值,以及将选择输送给处理室的每个区的混合流量的部分。例如,用户可能选择经线由12输送75%和经由线14输送25%的100Cl2/200BCl3/4sccm O2的流量。根据相对于其目标流量在线14中测量的实际流量,重复调整线14中的反馈控制阀来控制在各个输送线中的混合流量部分。通过将总流量与由室输送线12中的测量计测量的流量进行比较,控制器能调整线14中阀36的节流程度以便实现所需的流量分配,在这种情况下,可通过将气体箱中所有的质量流量控制器22、24、26的流量读数相加来测量总流量。或者,可将可选的总流量计正好安装在混合歧管28的下游以便测量混合气体的总流量,而不是通过将气体箱中MFCs22、24、26的读数相加来确定总流量。
在通过将气体箱MFC读数相加来确定总流量的情况下,可将这些测量的流率转换成基准气体,如氮气的每分钟等效的标准立方厘米(sccm),以便在不同处理中气体混合物可能不同的通常情况下提供精确和灵活的控制。因此,可执行计算来将混合气体流量转换成“氮当量流量”,并且可校准线14内的线内(in-line)流量测量设备以便测量“氮当量流量”,从而在相同的基础上执行所有流量测量。例如,在典型的基于热的质量流量测量计中,Cl2的100sccm相当于氮的116.5sccm、BCl3的200sccm相当于氮的444.4sccm以及O2的4sccm相当于氮的4.08sccm。因此,上述例子中的混合气体的“氮当量流量”为564.98sccm,并且经具有反馈控制阀的线输送25%,控制环路可调整阀门来实现用于该例子的氮的0.25*564.98=141.2sccm的流量读数。注意在稳态下,来自气体箱的混合气体的总流量将最终到达室,因为在该过程中不调整线12内的可选流量限制设备,并且压力将自然地在混合歧管中建立,直到总流量等于总输出流量。
图2表示概述使用图1的装置控制分流气体供给的方法的流程图。在运行给定过程期间能以假定为1-500Hz(例如50Hz)的速率重复执行该过程,以便在实时基础上适应于方法条件(recipe conditions)和流量的改变。如图2所示,流程图举例说明各步骤,其中S1是输入分流(flow splitting)设定值如提供给具体的处理室部分的流率百分比的步骤,S2是读取或确定提供给处理室的总的混合气体流率的步骤,S3图示说明了基于分流设定值和总流率,计算用于线14的目标流量的步骤,S4图示说明读取线14中的气体流率的步骤,S5图示说明计算线14中的流量误差的步骤,该误差定义为线14中的目标和实际流率间的差别,以及S6图示说明使用比例(P)、比例和积分(PI)或比例和积分以及微分(PID)补偿来调整阀门的控制设定值(例如,开度百分比),以便降低线14中的流量误差的步骤。
图3描述根据本发明的气体流量装置的第二和最优选的实施例,其中用流量计42和反馈控制节流阀44的组合来代替线12中的可选流量限制设备,即,实现用两个节流阀和两个流量计来分流生产供给气体的实施例。根据用户选择的分流和流量计读数的比较,可调整一个或两个节流阀的开孔。通常,使用常规的质量流量控制器来实现流量计和节流阀的组合(用虚线框圈起),其中控制系统将各个流量设定值控制发送给每个支线以便实现用户选择的分流。该实施方式提供比图1稍大的灵活性,因为有效地调整和控制每个气体输送线的传导性的能力。例如,这允许如有能力将在每条线中的分流假定从0调整到100%。相反,图1的实施方式可能不允许经线14输送100%流量而不对可选的流量限制设备32做一些(手动)调整。另外,图3中的实施方式提供在每个混合气体输送线中流量的实时测量,这允许实时故障检测(例如,检测给定线中的流量阻塞或气体箱MFC校准中的偏差),同时处理生产中的晶片。在操作中,根据用户选择的分流设定值和由在线12或14中的测量的比率确定的实际分流部分与总流量(通过将线12和14中的各个流量计读数相加测量)的比较,调整一个或两个节流阀的开孔。通常,使用常规的质量流量控制器来实现线12和14中的一个或两个流量计和节流阀的组合(用虚线框圈起),其中控制系统将单独流量设定值控制发送给线12和14中的每个MFC以实现用户选择的分流。
在将常规的MFCs用在线12和14的情况下,通常,最好命令一个MFC全开而另一个MFC由控制电路或在微处理器(或等效物)上执行的算法有效地控制,以便实现用户选择的分流。这确保总的混合流量等于总的混合流量输出,因此,在线12和14中的MFCs的上游没有会影响室中最终处理结果的不必要的或过剩气体存储。另外,这有效地消除了为了获得气体供给这些MFCs彼此竞争的可能性,这种MFCs间的彼此竞争会导致控制不稳定性。假定线12和14中的MFCs是相同的(例如,同样的流量校准和容量,同样的压降,等等),并且具有最大请求的设定值的线上的MFC通常被控制为全开状态,而在另一条线上的MFC将根据各设定值和各个流量读数有效地控制以实现该条线中的较低流量。下面将对此进行解释。如果MFCs相同并且均控制到全开状态,那么在每条线中的流量部分将是50%。为实现假定在线12中大于50%,必须降低线14的流量传导性(flowconductance)(通过部分关闭线14节流阀)。该动作将引起混合歧管压力的稍微增加,这将导致经12的流量的增加,因为给定线中的流量与那条线中的压降成比例。为描述目的,将控制到全开状态的MFC称为“主”MFC以及将有效控制的MFC称为“从”MFC。
实际上,由于制造公差,对两个MFCs来说,使通过它们的压降相同是难得的,即使流量控制和校准可能相同。事实上,在某些情况下,使用不同的MFC范围来实现想要的控制性能是有用的。例如,一种情况可能使用1000sccm和250sccm MFCs的组合,以便在具有250sccm MFC的线中在低流量(例如,低于100sccm)时提供好4倍的流量控制精确度。由于MFCs通常仅精确地控制低于整个标度范围的百分之几的流量,从而实现具有较低范围MFC的线中的改进的方案。(MFCs的内部PID调整将补偿这些差值)。因此,对给定的分流设定值来说,选择哪个MFC是主以及哪个MFC是从通常将根据校准试验来确定,该校准试验在与控制成全开状态的两个MFCs一起使用时测量具体的MFCs的固有的分流量。另外,该固有的分流量可是除正使用的MFCs的相对范围(例如,1000和250sccm MFCs对1000和1000MFCs)和流率外的正流动的气体的类型的函数,因此,可能有必要使用查找表来选择用于给定条件的适当的主和从MFC。另外,控制算法可包括控制环中的检验以便确定是否选择了正确的主(全开)MFC并根据用户选择的分流公差按需调整该主选择。
在图4中示出的流程图中概述了用于该实施方式的总的控制算法(减去(less)主/从检查特征),图4示出了用于单独地控制处理室的至少两个不同区域的流量而执行的基本功能的流程图,其中步骤S10是输入用于混合气体分流的总流量的设定值的步骤,S11是读取每条气体输送线(如正处理的晶片的中央和边缘)的实际流量并确定总流量的步骤,S12是根据分流设定值和总流率计算用于每条气体输送线的目标流量的步骤,S13是选择主和从(受控)流量控制器的步骤,S14是计算从流量控制器设定值以满足目标流量的步骤(通过计算当前分流误差和实现对新设定值的计算的PID补偿,该步骤可用来加快达到目标流量),S15是将设定值写入每条气体输送线流量控制器(如正处理的晶片的中央和边缘)的步骤。通过将PID补偿增加到计算出的从MFC的目标流量,可进一步提高分流供给控制的响应时间。例如,将比例补偿加到新的从设定值可通过由下述公式计算新设定值来实现:
新的从MFXC流量设定值=从MFC目标流量+比例增益×(从MFC目标流量-从MFC实际流量)
图5表示本发明的另一可能的实现,其中使用一个输入两个输出的节流阀46分流生产供给气体,根据预定的阀门校准,控制设定值选择从每条支线排出的流量部分。这种实现的一个限制是阀门分流部分的校准是气体合成的并与流量相关。没有流量计来监视每条输送通道中的相对流量,对于不同工艺条件,对给定气体混合物在给定流量下的分流的精确性可能改变。缺少线内流量计也阻碍了对例如能导致晶片处理结果的工艺偏差的流量阻塞或校准偏差的情况的故障检测。这种实现的另一缺点是与广泛可获得的商业质量流量计、流量控制阀和质量流量控制器相比,当前缺少商业上可获得的一个输入两个输出的节流阀。
图6示出通过在气体输送线中提供至少一个流量计42、34以允许分流器阀门的反馈控制和故障检测能力,从而增强图5所示的实现方式。如果在图6中仅使用一个流量计,则通过将气体箱中MFCs的流量读数相加来确定总的流量测量。如果实现两个流量计,那么可通过将由线12和14中的流量计测量的流量相加来确定总流量。
图7A示出了根据本发明的第五实施例的气体喷射装置,其中将来自气体歧管28的气体分流以提供给顶部气体(top gas)供应线12和周边气体供应线14,每条供应线包括流量计42、34和反馈控制节流阀44、36。在所示的实施例中,在U.S.专利No.4,948,458(其所公开的内容在此引入作为参考)所示的室的电介质窗口的中央提供顶部气体供给,并且将周边气体供给提供给窗口下的气体环。
图7B表示根据本发明的第六实施例的气体喷射系统,其中气体供给提供两个区喷射头,一个例子如共同拥有的U.S.申请No.09/343,690(P510)所述,其公开的内容在此引入作为参考。如图所示,该气体喷射系统将来自气体歧管28的气体经气体供应线50提供给中央压力通风系统并经气体供应线52提供给向外设置在中央压力通风系统50的环形外部压力通风系统。中央压力通风系统可具有各种结构,如圆形压力通风系统或直径小于外部压力通风系统的环形压力通风系统。
图8表示一种装置,其中混合歧管下游的一个或多个固定节流孔或者流量孔用来将气体供给分流到处理室的多个位置。图8的装置已经在平板显示蚀刻工具中实现,其中使用中央和边缘气体喷射。中央气体供应线具有插入供给单一中央气体供应喷射器的线中的固定节流孔,而边缘供应线没有固定的节流孔但提供多个边缘喷射器。中央喷射器的固定节流孔的目的是限制到室中央的气体供给。即,没有该固定的节流孔,提供到室中央的流量的比例将大于所需。
根据本发明的气体分配系统可用在高密度等离子反应堆中。这种等离子反应堆通常具有使用RF能源、微波能源、磁场等等的高能量源以便产生高密度等离子体。例如,可在变压器耦合等离子体(TCPTM)中产生高密度等离子,变压器耦合等离子体也可称为电感耦合等离子反应堆、电子回旋加速器共振(ECR)等离子反应堆、螺旋波等离子反应堆,等等。能提供高密度等离子体的高流量等离子反应堆的一个例子在共同拥有的U.S.专利No.5,820,723中公开,其公开的内容在此引入作为参考。
根据本发明的气体分配系统可用于等离子体蚀刻过程,其中可相对于彼此改变由上述实施例中的第一和第二气体供给设备提供的生产气体,举例来说,在槽的蚀刻过程中,例如,可提供Ar、氧和碳氟化合物(例如,CHF3和C4F8)的混合物,并且在通孔的蚀刻过程中,通过降低提供给中央区的混合气体的流量能降低到晶片的中央区的氧的流量。在蚀刻低k电介质层的情况下,生产气体可包括碳氢化合物,如C2H4,可以径向地改变晶片的中央和周界区域中碳氢化合物/氧气气体流率的比率以实现均匀蚀刻。因此,根据本发明,可调整提供给晶片的中央和边缘的混合气体量以补偿等离子体室中的边缘快速蚀刻和中央快速蚀刻情形。例如,在常规的等离子体蚀刻器中,可能发生边缘快速蚀刻情形直到侵蚀光刻胶,之后发生中央快速蚀刻情况。利用根据本发明的气体分配装置,当晶片具有光刻胶层时在中央能提供更多的氧气,而当侵蚀掉光刻胶层时,能降低到中央的氧气的流量。结果,通过补偿边缘快速和中央快速蚀刻情形,可以实现更均匀的蚀刻。
本发明已经参考优选实施例进行了描述。然而,对本领域的技术人员来说,显然可能以除上面描述过的特定实施例以外的其他实施例来具体化本发明而不脱离本发明的精神。优选实施例是描述性的而绝不应当认为是限制。本发明的范围由附后的权利要求而不是前述的说明限定,落在权利要求书范围内的所有改变和等效应认为包含在此之内。

Claims (28)

1、一种用于在半导体衬底处理中所用的反应室的气体分配系统,包括:
多个气体供给设备;
混合歧管,其中将来自多个气体供给设备的气体混合在一起;
多条气体供应线,用于将混合气体输送给该室中的多个不同的区,该气体供应线包括将混合气体输送给室中的第一区的第一气体供应线以及将混合气体输送给室中的第二区的第二气体供应线;
至少一个控制阀,用于控制第一和/或第二气体供应线中的混合气体的流率,以便在第一和第二气体供应线中实现混合气体的流率的想要的比率;
至少一个流量测量设备,用于测量第一和/或第二气体供应线中混合气体的流率;以及
控制器,用于响应由该至少一个流量测量设备所测量的流率来操作该至少一个控制阀。
2、如权利要求1所述的气体分配系统,其中控制器包括用于操作该至少一个控制阀的计算机或可编程逻辑设备,以便在室中处理半导体衬底期间,将输送给多条气体供应线中的至少一条的混合气体的比例由第一设定值改变为第二设定值。
3、如权利要求1所述的气体分配系统,其中控制阀和流量测量设备沿着第一气体供应线设置。
4、如权利要求1所述的气体分配系统,其中该至少一个控制阀包括第一和第二控制阀,该至少一个流量测量设备包括第一和第二流量测量设备,该第一控制阀和第一流量测量设备沿着第一气体供应线设置,以及该第二控制阀和第二流量测量设备沿着第二气体供应线设置。
5、如权利要求1所述的气体分配系统,其中该至少一个控制阀和至少一个流量测量设备包括沿着第一气体供应线设置的单个控制阀和单个流量测量设备,该系统进一步包括沿着第二气体供应线设置的流量限制设备。
6、如权利要求1所述的气体分配系统,其中控制阀包括可通过操作将第一部分的混合气体输送给第一供应线以及将第二部分的混合气体输送给第二气体供应线的可调分流阀。
7、如权利要求6所述的气体分配系统,其中该至少一个流量测量设备包括第一和第二流量测量设备,该第一流量测量设备测量第一气体供应线中混合气体的流率,该第二流量测量设备测量第二气体供应线中混合气体的流率,控制器响应由第一和第二流量测量设备测量的流率来操作该至少一个控制阀。
8、如权利要求1所述的气体分配系统,其中该至少一个控制阀包括沿着第一气体供应线设置的第一控制阀以及沿着第二气体供应线设置的第二控制阀,控制器操作该第一控制阀以使其处于全开状态,并且该控制器有效地操作该第二控制阀以便在第二气体供应线中提供的流率低于在第一气体供应线中提供的流率。
9、如权利要求1所述的气体分配系统,其中该至少一个控制阀包括沿着第一气体供应线设置的第一控制阀以及沿着第二气体供应线设置的第二控制阀,该控制器操作第一控制阀以使其处于全开状态,并且该控制器有效地操作该第二控制阀以迫使更多的流量通过第一气体供应线。
10、如权利要求1所述的气体分配系统,进一步包括位于气体供给设备和混合歧管之间的质量流量控制器,该质量流量控制器用于控制提供到混合歧管中的气体的流率。
11、如权利要求1所述的气体分配系统,其中所述室包括等离子体蚀刻室,其中RF能源用来将混合气体激励到等离子态。
12、一种用于处理反应室中的衬底的方法,其中一个气体分配系统包括:多个气体供给设备;在其中将来自多个气体供给设备的气体混合在一起的混合歧管;将混合气体输送给该室中的不同区的多条气体供应线,该气体供应线包括将混合气体输送给该室中的第一区的第一气体供应线以及将混合气体输送给该室中的第二区的第二气体供应线;至少一个控制阀,用于控制第一和/或第二气体供应线中混合气体的流率,以便在第一和第二气体供应线中实现混合气体的流率的想要的比率;至少一个流量测量设备,用于测量在第一和/或第二气体供应线中混合气体的流率;以及控制器,用于响应由该至少一个流量测量设备所测量的流率来操作该至少一个控制阀,该方法包括:
将半导体衬底提供给反应室;
用至少一个流量测量设备测量第一和/或第二气体供应线中混合气体的流率;以及
通过将混合气体提供给第一和第二区来处理衬底,响应由该至少一个流量测量设备测量的流率,由控制器调整该至少一个控制阀。
13、如权利要求12所述的方法,其中控制器操作该至少一个控制阀以便在处理衬底期间,将第一气体供应线中的混合气体的流率从第一设定值改变为第二设定值。
14、如权利要求12所述的方法,其中测量第一气体供应线中混合气体的流率,以及调整该至少一个控制阀来控制该第一气体供应线中混合气体的流率。
15、如权利要求12所述的方法,其中该至少一个控制阀包括在第一气体供应线中的第一控制阀以及在第二气体供应线中的第二控制阀,该方法包括测量第一和第二气体供应线中混合气体的流率并调整第一和/或第二控制阀,以便控制该第一和第二气体供应线中混合气体的流率。
16、如权利要求12所述的方法,进一步包括:测量第一气体供应线中混合气体的流率;调整该至少一个控制阀来控制第一气体供应线中混合气体的流率;以及引导混合气体通过第二气体供应线中的流量限制设备。
17、如权利要求12所述的方法,其中该至少一个控制阀包括可调分流阀,该方法包括操作该可调分流阀来将第一部分的混合气体输送给第一供应线以及将第二部分的混合气体输送给第二气体供应线。
18、如权利要求17所述的方法,其中该至少一个流量测量设备测量第一和第二气体供应线中混合气体的流率,以及响应测量的流率操作控制阀。
19、如权利要求12所述的方法,其中半导体衬底包括硅晶片,并且该方法包括干蚀刻晶片上的电介质、半导体或导体材料层。
20、如权利要求12所述的方法,其中该方法包括将一材料层淀积在半导体衬底上。
21、如权利要求12所述的方法,进一步包括操作在气体供给设备和混合歧管之间的质量流量控制器以便控制提供给混合歧管的气体的流率。
22、如权利要求12所述的方法,其中,该室包括等离子体蚀刻室,其中RF能源电感性地耦合到该室中,该方法包括用等离子体蚀刻衬底。
23、如权利要求12所述的方法,其中,该室包括等离子体蚀刻室,其中在该室中生成等离子体,该方法包括用等离子体蚀刻衬底上的二氧化硅、铝或多晶硅。
24、如权利要求12所述的方法,其中,该室包括等离子体蚀刻室,其中在该室中生成等离子体,该方法包括在混合歧管中,将从Cl2、BCl3和HBr中选择的至少一种卤素气体与O2、N2中的一种混合,或将O2与从CHF3和C4F8中选择的碳氟化合物混合,并将混合气体激励成等离子态,以及用该等离子体蚀刻衬底。
25、如权利要求12所述的方法,其中,该室包括等离子体蚀刻室,其中在该室中生成等离子体,该方法包括将O2与碳氟化合物或与C2H4混合,将混合气体激励成等离子态,以及用该等离子体蚀刻衬底。
26、如权利要求12所述的方法,其中控制器监视由气体供给设备提供给混合歧管的总气体流量并将该总气体流量和在一条气体供应线中的测量的气体流量进行比较以获得另一条气体供应线的实际气体流量,并将获得的该实际气体流量与用于另一条气体供应线的目标流量进行比较,由控制器重复调整该至少一个控制阀以实现第一和第二气体供应线中的流率的想要的比率。
27、如权利要求26所述的方法,其中使用第一和第二质量流量控制器,在全开状态下操作该第一质量流量控制器以及重复调整该第二质量流量控制器设定值。
28、如权利要求26所述的方法,其中使用第一和第二质量流量控制器,根据当前流量读数与当前和目标流量之间的差值的倍数之和,通过重复地将新设定值应用到受控质量流量控制器来降低分流气体流量稳定时间。
CNB018168841A 2000-10-06 2001-09-26 半导体衬底处理中所用的反应室气体分配系统及处理反应室中的衬底的方法 Expired - Fee Related CN100358080C (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US09/680,319 2000-10-06
US09/680,319 US6333272B1 (en) 2000-10-06 2000-10-06 Gas distribution apparatus for semiconductor processing

Publications (2)

Publication Number Publication Date
CN1468441A CN1468441A (zh) 2004-01-14
CN100358080C true CN100358080C (zh) 2007-12-26

Family

ID=24730618

Family Applications (1)

Application Number Title Priority Date Filing Date
CNB018168841A Expired - Fee Related CN100358080C (zh) 2000-10-06 2001-09-26 半导体衬底处理中所用的反应室气体分配系统及处理反应室中的衬底的方法

Country Status (8)

Country Link
US (2) US6333272B1 (zh)
EP (1) EP1323178A2 (zh)
JP (2) JP4838971B2 (zh)
KR (1) KR100725615B1 (zh)
CN (1) CN100358080C (zh)
AU (1) AU2001296338A1 (zh)
TW (1) TW522483B (zh)
WO (1) WO2002031858A2 (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI640854B (zh) * 2013-06-28 2018-11-11 美商應用材料股份有限公司 用於控制使用反饋的流量速率控制器的方法和系統

Families Citing this family (175)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5862223A (en) 1996-07-24 1999-01-19 Walker Asset Management Limited Partnership Method and apparatus for a cryptographically-assisted commercial network system designed to facilitate and support expert-based commerce
US5980686A (en) * 1998-04-15 1999-11-09 Applied Komatsu Technology, Inc. System and method for gas distribution in a dry etch process
US7010604B1 (en) 1998-10-30 2006-03-07 Science Applications International Corporation Agile network protocol for secure communications with assured system availability
US7418504B2 (en) 1998-10-30 2008-08-26 Virnetx, Inc. Agile network protocol for secure communications using secure domain names
US6839759B2 (en) 1998-10-30 2005-01-04 Science Applications International Corp. Method for establishing secure communication link between computers of virtual private network without user entering any cryptographic information
US6502135B1 (en) 1998-10-30 2002-12-31 Science Applications International Corporation Agile network protocol for secure communications with assured system availability
US10511573B2 (en) 1998-10-30 2019-12-17 Virnetx, Inc. Agile network protocol for secure communications using secure domain names
US6333272B1 (en) * 2000-10-06 2001-12-25 Lam Research Corporation Gas distribution apparatus for semiconductor processing
DE10057824A1 (de) * 2000-11-21 2002-06-06 Schwerionenforsch Gmbh Vorrichtung und Verfahren zur Anpassung einer Ionenstrahlfleckgröße in der Tumorbestrahlung
US6905547B1 (en) * 2000-12-21 2005-06-14 Genus, Inc. Method and apparatus for flexible atomic layer deposition
US7563328B2 (en) * 2001-01-19 2009-07-21 Tokyo Electron Limited Method and apparatus for gas injection system with minimum particulate contamination
US6418954B1 (en) * 2001-04-17 2002-07-16 Mks Instruments, Inc. System and method for dividing flow
JP4209688B2 (ja) 2001-05-24 2009-01-14 セレリティ・インコーポレーテッド 決定された比率のプロセス流体を供給する方法および装置
US20030013212A1 (en) * 2001-07-10 2003-01-16 Mitchell Bradley Dale System and method for removing deposited material from within a semiconductor fabrication device
JP3961262B2 (ja) * 2001-10-31 2007-08-22 ジーイー・メディカル・システムズ・グローバル・テクノロジー・カンパニー・エルエルシー X線発生装置
US6766260B2 (en) 2002-01-04 2004-07-20 Mks Instruments, Inc. Mass flow ratio system and method
KR100825103B1 (ko) * 2002-05-16 2008-04-25 삼성전자주식회사 액정 표시 장치 및 그 구동 방법
US6913652B2 (en) * 2002-06-17 2005-07-05 Applied Materials, Inc. Gas flow division in a wafer processing system having multiple chambers
US6712084B2 (en) 2002-06-24 2004-03-30 Mks Instruments, Inc. Apparatus and method for pressure fluctuation insensitive mass flow control
US6810308B2 (en) 2002-06-24 2004-10-26 Mks Instruments, Inc. Apparatus and method for mass flow controller with network access to diagnostics
US6868862B2 (en) * 2002-06-24 2005-03-22 Mks Instruments, Inc. Apparatus and method for mass flow controller with a plurality of closed loop control code sets
US7004191B2 (en) * 2002-06-24 2006-02-28 Mks Instruments, Inc. Apparatus and method for mass flow controller with embedded web server
US6948508B2 (en) 2002-06-24 2005-09-27 Mks Instruments, Inc. Apparatus and method for self-calibration of mass flow controller
US7136767B2 (en) * 2002-06-24 2006-11-14 Mks Instruments, Inc. Apparatus and method for calibration of mass flow controller
US7552015B2 (en) 2002-06-24 2009-06-23 Mks Instruments, Inc. Apparatus and method for displaying mass flow controller pressure
US7809473B2 (en) 2002-06-24 2010-10-05 Mks Instruments, Inc. Apparatus and method for pressure fluctuation insensitive mass flow control
US20040082251A1 (en) * 2002-10-29 2004-04-29 Applied Materials, Inc. Apparatus for adjustable gas distribution for semiconductor substrate processing
US20040112540A1 (en) 2002-12-13 2004-06-17 Lam Research Corporation Uniform etch system
US7534363B2 (en) * 2002-12-13 2009-05-19 Lam Research Corporation Method for providing uniform removal of organic material
US7169231B2 (en) * 2002-12-13 2007-01-30 Lam Research Corporation Gas distribution system with tuning gas
US6926775B2 (en) * 2003-02-11 2005-08-09 Micron Technology, Inc. Reactors with isolated gas connectors and methods for depositing materials onto micro-device workpieces
US20040168719A1 (en) * 2003-02-28 2004-09-02 Masahiro Nambu System for dividing gas flow
US6843139B2 (en) * 2003-03-12 2005-01-18 Rosemount Inc. Flow instrument with multisensors
JP4454964B2 (ja) * 2003-06-09 2010-04-21 東京エレクトロン株式会社 分圧制御システム及び流量制御システム
KR100541050B1 (ko) * 2003-07-22 2006-01-11 삼성전자주식회사 가스공급장치 및 이를 이용한 반도체소자 제조설비
EP1660697B1 (en) 2003-08-20 2012-04-18 Veeco Instruments Inc. Vertical flow rotating disk reactor and method using the same
US6829056B1 (en) 2003-08-21 2004-12-07 Michael Barnes Monitoring dimensions of features at different locations in the processing of substrates
US7422635B2 (en) * 2003-08-28 2008-09-09 Micron Technology, Inc. Methods and apparatus for processing microfeature workpieces, e.g., for depositing materials on microfeature workpieces
US7282239B2 (en) * 2003-09-18 2007-10-16 Micron Technology, Inc. Systems and methods for depositing material onto microfeature workpieces in reaction chambers
US20050075685A1 (en) * 2003-10-02 2005-04-07 Forsberg John W. Medical device programmer with infrared communication
US7258892B2 (en) 2003-12-10 2007-08-21 Micron Technology, Inc. Methods and systems for controlling temperature during microfeature workpiece processing, e.g., CVD deposition
US7072743B2 (en) * 2004-03-09 2006-07-04 Mks Instruments, Inc. Semiconductor manufacturing gas flow divider system and method
US20050217569A1 (en) * 2004-04-01 2005-10-06 Nirmal Ramaswamy Methods of depositing an elemental silicon-comprising material over a semiconductor substrate and methods of cleaning an internal wall of a chamber
US20050217585A1 (en) * 2004-04-01 2005-10-06 Blomiley Eric R Substrate susceptor for receiving a substrate to be deposited upon
US20050223985A1 (en) * 2004-04-08 2005-10-13 Blomiley Eric R Deposition apparatuses, methods of assessing the temperature of semiconductor wafer substrates within deposition apparatuses, and methods for deposition of epitaxial semiconductive material
US20050223993A1 (en) * 2004-04-08 2005-10-13 Blomiley Eric R Deposition apparatuses; methods for assessing alignments of substrates within deposition apparatuses; and methods for assessing thicknesses of deposited layers within deposition apparatuses
US8317968B2 (en) * 2004-04-30 2012-11-27 Lam Research Corporation Apparatus including gas distribution member supplying process gas and radio frequency (RF) power for plasma processing
US7712434B2 (en) * 2004-04-30 2010-05-11 Lam Research Corporation Apparatus including showerhead electrode and heater for plasma processing
US7708859B2 (en) * 2004-04-30 2010-05-04 Lam Research Corporation Gas distribution system having fast gas switching capabilities
US8133554B2 (en) 2004-05-06 2012-03-13 Micron Technology, Inc. Methods for depositing material onto microfeature workpieces in reaction chambers and systems for depositing materials onto microfeature workpieces
US7699932B2 (en) 2004-06-02 2010-04-20 Micron Technology, Inc. Reactors, systems and methods for depositing thin films onto microfeature workpieces
US7951262B2 (en) 2004-06-21 2011-05-31 Tokyo Electron Limited Plasma processing apparatus and method
US7988816B2 (en) 2004-06-21 2011-08-02 Tokyo Electron Limited Plasma processing apparatus and method
JP4550507B2 (ja) * 2004-07-26 2010-09-22 株式会社日立ハイテクノロジーズ プラズマ処理装置
WO2006020424A2 (en) * 2004-08-02 2006-02-23 Veeco Instruments Inc. Multi-gas distribution injector for chemical vapor deposition reactors
US20060065523A1 (en) * 2004-09-30 2006-03-30 Fangli Hao Corrosion resistant apparatus for control of a multi-zone nozzle in a plasma processing system
US20060124169A1 (en) 2004-12-09 2006-06-15 Tokyo Electron Limited Gas supply unit, substrate processing apparatus, and supply gas setting method
US7723236B2 (en) * 2005-01-18 2010-05-25 Tokyo Electron Limited Gas setting method, gas setting apparatus, etching apparatus and substrate processing system
US8088223B2 (en) * 2005-03-10 2012-01-03 Asm America, Inc. System for control of gas injectors
US7535688B2 (en) * 2005-03-25 2009-05-19 Tokyo Electron Limited Method for electrically discharging substrate, substrate processing apparatus and program
US7674393B2 (en) * 2005-03-25 2010-03-09 Tokyo Electron Limited Etching method and apparatus
US7621290B2 (en) * 2005-04-21 2009-11-24 Mks Instruments, Inc. Gas delivery method and system including a flow ratio controller using antisymmetric optimal control
US7673645B2 (en) * 2005-04-21 2010-03-09 Mks Instruments, Inc. Gas delivery method and system including a flow ratio controller using a multiple antisymmetric optimal control arrangement
TWI402098B (zh) * 2005-06-22 2013-07-21 Advanced Tech Materials 整合式氣體混合用之裝置及方法
US20070021935A1 (en) * 2005-07-12 2007-01-25 Larson Dean J Methods for verifying gas flow rates from a gas supply system into a plasma processing chamber
US20070032081A1 (en) 2005-08-08 2007-02-08 Jeremy Chang Edge ring assembly with dielectric spacer ring
SG2014011944A (en) 2005-08-30 2014-08-28 Advanced Tech Materials Boron ion implantation using alternative fluorinated boron precursors, and formation of large boron hydrides for implantation
KR100766132B1 (ko) * 2005-08-31 2007-10-12 코바렌트 마테리얼 가부시키가이샤 가스 분산판 및 그 제조방법
JP4911982B2 (ja) * 2006-02-06 2012-04-04 東京エレクトロン株式会社 ガス供給装置,基板処理装置,ガス供給方法及びガス供給制御方法
JP4911984B2 (ja) * 2006-02-08 2012-04-04 東京エレクトロン株式会社 ガス供給装置,基板処理装置,ガス供給方法及びシャワーヘッド
US20070239305A1 (en) * 2006-03-28 2007-10-11 Haoren Zhuang Process control systems and methods
US8635971B2 (en) 2006-03-31 2014-01-28 Lam Research Corporation Tunable uniformity in a plasma processing system
US8997791B2 (en) * 2006-04-14 2015-04-07 Mks Instruments, Inc. Multiple-channel flow ratio controller
US20070264443A1 (en) * 2006-05-09 2007-11-15 Applied Materials, Inc. Apparatus and method for avoidance of parasitic plasma in plasma source gas supply conduits
US7837826B2 (en) * 2006-07-18 2010-11-23 Lam Research Corporation Hybrid RF capacitively and inductively coupled plasma source using multifrequency RF powers and methods of use thereof
US7743670B2 (en) * 2006-08-14 2010-06-29 Applied Materials, Inc. Method and apparatus for gas flow measurement
JP5179739B2 (ja) * 2006-09-27 2013-04-10 東京エレクトロン株式会社 蒸着装置、蒸着装置の制御装置、蒸着装置の制御方法および蒸着装置の使用方法
US7706925B2 (en) * 2007-01-10 2010-04-27 Mks Instruments, Inc. Integrated pressure and flow ratio control system
US8074677B2 (en) * 2007-02-26 2011-12-13 Applied Materials, Inc. Method and apparatus for controlling gas flow to a processing chamber
US7775236B2 (en) * 2007-02-26 2010-08-17 Applied Materials, Inc. Method and apparatus for controlling gas flow to a processing chamber
US7846497B2 (en) * 2007-02-26 2010-12-07 Applied Materials, Inc. Method and apparatus for controlling gas flow to a processing chamber
KR100872312B1 (ko) * 2007-05-04 2008-12-05 주식회사 디엠에스 에칭가스 제어시스템
US8202393B2 (en) * 2007-08-29 2012-06-19 Lam Research Corporation Alternate gas delivery and evacuation system for plasma processing apparatuses
KR20090022557A (ko) * 2007-08-31 2009-03-04 삼성전자주식회사 고밀도 플라즈마 화학 기상 증착 장치 및 그를 이용한절연막 형성 방법
JP5459895B2 (ja) * 2007-10-15 2014-04-02 Ckd株式会社 ガス分流供給ユニット
US8067061B2 (en) 2007-10-25 2011-11-29 Asm America, Inc. Reaction apparatus having multiple adjustable exhaust ports
US20090137192A1 (en) * 2007-11-28 2009-05-28 Mks Instruments, Inc. Multi-zone pressure control system
US8628616B2 (en) * 2007-12-11 2014-01-14 Sumitomo Electric Industries, Ltd. Vapor-phase process apparatus, vapor-phase process method, and substrate
US8794267B2 (en) * 2007-12-27 2014-08-05 Lam Research Corporation Gas transport delay resolution for short etch recipes
US20090178714A1 (en) * 2008-01-14 2009-07-16 Tokyo Electron Limited Flow control system and method for multizone gas distribution
KR101822779B1 (ko) 2008-02-11 2018-01-26 엔테그리스, 아이엔씨. 반도체 가공 시스템에서의 이온 공급원 세정법
US20090206056A1 (en) * 2008-02-14 2009-08-20 Songlin Xu Method and Apparatus for Plasma Process Performance Matching in Multiple Wafer Chambers
US20100084023A1 (en) * 2008-10-07 2010-04-08 Chris Melcer Flow control module for a fluid delivery system
JP5743895B2 (ja) * 2008-10-31 2015-07-01 ラム リサーチ コーポレーションLam Research Corporation プラズマ処理チャンバの下側電極アセンブリ
US8486191B2 (en) 2009-04-07 2013-07-16 Asm America, Inc. Substrate reactor with adjustable injectors for mixing gases within reaction chamber
US20110021011A1 (en) 2009-07-23 2011-01-27 Advanced Technology Materials, Inc. Carbon materials for carbon implantation
US8771537B2 (en) * 2009-08-20 2014-07-08 Tokyo Electron Limited Plasma treatment device and plasma treatment method
WO2011031321A2 (en) 2009-09-10 2011-03-17 Lam Research Corporation Replaceable upper chamber parts of plasma processing apparatus
US8598022B2 (en) 2009-10-27 2013-12-03 Advanced Technology Materials, Inc. Isotopically-enriched boron-containing compounds, and methods of making and using same
SG10201407637TA (en) * 2009-11-30 2015-01-29 Lam Res Corp An electrostatic chuck with an angled sidewall
DE202010015933U1 (de) * 2009-12-01 2011-03-31 Lam Research Corp.(N.D.Ges.D.Staates Delaware), Fremont Eine Randringanordnung für Plasmaätzkammern
WO2011085064A2 (en) * 2010-01-08 2011-07-14 Applied Materials, Inc. N-channel flow ratio controller calibration
JP5562712B2 (ja) * 2010-04-30 2014-07-30 東京エレクトロン株式会社 半導体製造装置用のガス供給装置
US20110265883A1 (en) * 2010-04-30 2011-11-03 Applied Materials, Inc. Methods and apparatus for reducing flow splitting errors using orifice ratio conductance control
WO2012002232A1 (ja) * 2010-06-28 2012-01-05 東京エレクトロン株式会社 プラズマ処理装置及び方法
US9171702B2 (en) 2010-06-30 2015-10-27 Lam Research Corporation Consumable isolation ring for movable substrate support assembly of a plasma processing chamber
US8485128B2 (en) 2010-06-30 2013-07-16 Lam Research Corporation Movable ground ring for a plasma processing chamber
US8826855B2 (en) 2010-06-30 2014-09-09 Lam Research Corporation C-shaped confinement ring for a plasma processing chamber
US9728429B2 (en) 2010-07-27 2017-08-08 Lam Research Corporation Parasitic plasma prevention in plasma processing chambers
CN102091544A (zh) * 2010-12-09 2011-06-15 中国人民解放军军事医学科学院 一种气体调配装置
US9303319B2 (en) 2010-12-17 2016-04-05 Veeco Instruments Inc. Gas injection system for chemical vapor deposition using sequenced valves
US8728239B2 (en) * 2011-07-29 2014-05-20 Asm America, Inc. Methods and apparatus for a gas panel with constant gas flow
US8849466B2 (en) 2011-10-04 2014-09-30 Mks Instruments, Inc. Method of and apparatus for multiple channel flow ratio controller system
US10002747B2 (en) 2012-03-27 2018-06-19 Lam Research Corporation Methods and apparatus for supplying process gas in a plasma processing system
US20130255784A1 (en) * 2012-03-30 2013-10-03 Applied Materials, Inc. Gas delivery systems and methods of use thereof
JP6017170B2 (ja) * 2012-04-18 2016-10-26 東京エレクトロン株式会社 堆積物除去方法及びガス処理装置
US9388494B2 (en) 2012-06-25 2016-07-12 Novellus Systems, Inc. Suppression of parasitic deposition in a substrate processing system by suppressing precursor flow and plasma outside of substrate region
US8925588B2 (en) 2012-08-17 2015-01-06 Novellus Systems, Inc. Flow balancing in gas distribution networks
CN103591458B (zh) * 2012-08-17 2017-04-12 诺发系统公司 气体分配网络中的流量平衡
US9004107B2 (en) 2012-08-21 2015-04-14 Applied Materials, Inc. Methods and apparatus for enhanced gas flow rate control
US9997381B2 (en) 2013-02-18 2018-06-12 Lam Research Corporation Hybrid edge ring for plasma wafer processing
CN106304597B (zh) 2013-03-12 2019-05-10 应用材料公司 具有方位角与径向分布控制的多区域气体注入组件
JP6107327B2 (ja) * 2013-03-29 2017-04-05 東京エレクトロン株式会社 成膜装置及びガス供給装置並びに成膜方法
US10108205B2 (en) * 2013-06-28 2018-10-23 Applied Materials, Inc. Method and system for controlling a flow ratio controller using feed-forward adjustment
JP6193679B2 (ja) * 2013-08-30 2017-09-06 株式会社フジキン ガス分流供給装置及びガス分流供給方法
US9632516B2 (en) * 2013-12-19 2017-04-25 Tawan Semiconductor Manufacturing Co., Ltd Gas-supply system and method
US10161060B2 (en) 2013-12-19 2018-12-25 Taiwan Semiconductor Manufacturing Co., Ltd. Gas-supply system and method
US10804081B2 (en) 2013-12-20 2020-10-13 Lam Research Corporation Edge ring dimensioned to extend lifetime of elastomer seal in a plasma processing chamber
US9393666B2 (en) 2013-12-20 2016-07-19 Lam Research Corporation Adapter plate for polishing and cleaning electrodes
US20150361547A1 (en) * 2014-06-13 2015-12-17 Taiwan Semiconductor Manufacturing Co., Ltd Method and apparatus for cleaning chemical vapor deposition chamber
KR102292661B1 (ko) * 2014-06-20 2021-08-25 세메스 주식회사 기판 처리 장치 및 기판 처리 방법
US10249511B2 (en) 2014-06-27 2019-04-02 Lam Research Corporation Ceramic showerhead including central gas injector for tunable convective-diffusive gas flow in semiconductor substrate processing apparatus
JP6499835B2 (ja) * 2014-07-24 2019-04-10 株式会社日立ハイテクノロジーズ プラズマ処理装置およびプラズマ処理方法
JP6370630B2 (ja) * 2014-07-31 2018-08-08 株式会社ニューフレアテクノロジー 気相成長装置および気相成長方法
JP6789932B2 (ja) 2014-10-17 2020-11-25 ラム リサーチ コーポレーションLam Research Corporation 調整可能ガスフロー制御のためのガス分離器を含むガス供給配送配置
JP2016122346A (ja) * 2014-12-25 2016-07-07 株式会社東芝 空気供給システム
DE102015100762A1 (de) * 2015-01-20 2016-07-21 Infineon Technologies Ag Behälterschalteinrichtung und Verfahren zum Überwachen einer Fluidrate
KR101652469B1 (ko) 2015-02-27 2016-08-30 주식회사 유진테크 다중 가스 제공 방법 및 다중 가스 제공 장치
US10957561B2 (en) * 2015-07-30 2021-03-23 Lam Research Corporation Gas delivery system
US9620376B2 (en) * 2015-08-19 2017-04-11 Lam Research Corporation Self limiting lateral atomic layer etch
US10192751B2 (en) 2015-10-15 2019-01-29 Lam Research Corporation Systems and methods for ultrahigh selective nitride etch
US10825659B2 (en) 2016-01-07 2020-11-03 Lam Research Corporation Substrate processing chamber including multiple gas injection points and dual injector
US10256075B2 (en) * 2016-01-22 2019-04-09 Applied Materials, Inc. Gas splitting by time average injection into different zones by fast gas valves
US10147588B2 (en) 2016-02-12 2018-12-04 Lam Research Corporation System and method for increasing electron density levels in a plasma of a substrate processing system
US10699878B2 (en) 2016-02-12 2020-06-30 Lam Research Corporation Chamber member of a plasma source and pedestal with radially outward positioned lift pins for translation of a substrate c-ring
US10651015B2 (en) 2016-02-12 2020-05-12 Lam Research Corporation Variable depth edge ring for etch uniformity control
US10438833B2 (en) 2016-02-16 2019-10-08 Lam Research Corporation Wafer lift ring system for wafer transfer
WO2017146558A1 (es) * 2016-02-23 2017-08-31 Electro Controles Del Noroeste S.A. De C.V. Sistema modular dosificador de fluidos y su proceso
US10269600B2 (en) 2016-03-15 2019-04-23 Applied Materials, Inc. Methods and assemblies for gas flow ratio control
US10453721B2 (en) * 2016-03-15 2019-10-22 Applied Materials, Inc. Methods and assemblies for gas flow ratio control
US9738977B1 (en) * 2016-06-17 2017-08-22 Lam Research Corporation Showerhead curtain gas method and system for film profile modulation
JP6543228B2 (ja) * 2016-08-11 2019-07-10 Ckd株式会社 ガス分流制御システム
US20180046206A1 (en) * 2016-08-13 2018-02-15 Applied Materials, Inc. Method and apparatus for controlling gas flow to a process chamber
US10410832B2 (en) 2016-08-19 2019-09-10 Lam Research Corporation Control of on-wafer CD uniformity with movable edge ring and gas injection adjustment
EP4235743A3 (en) * 2017-09-26 2023-11-08 LAM Research Corporation Systems and methods for pulse width modulated dose control
KR102066776B1 (ko) * 2017-12-11 2020-01-15 임용일 통합 분석 제어기에 의한 질량 유량 제어기 최적화 통합 시스템
EP3521816A1 (fr) * 2018-02-06 2019-08-07 L'air Liquide Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Méthode de contrôle sur site de la qualité des gaz livrés sur un site industriel consommateur utilisant la technique de la conductivité thermique
US10591934B2 (en) * 2018-03-09 2020-03-17 Lam Research Corporation Mass flow controller for substrate processing
US10698426B2 (en) * 2018-05-07 2020-06-30 Mks Instruments, Inc. Methods and apparatus for multiple channel mass flow and ratio control systems
US10801109B2 (en) * 2018-08-29 2020-10-13 Lam Research Corporation Method and apparatus for providing station to station uniformity
CN111341689B (zh) * 2018-12-18 2023-03-10 中微半导体设备(上海)股份有限公司 气体流量控制装置和控制方法及应用该装置的半导体设备
JP7024740B2 (ja) * 2019-01-16 2022-02-24 株式会社デンソー 半導体製造装置
KR20210113406A (ko) * 2019-02-05 2021-09-15 어플라이드 머티어리얼스, 인코포레이티드 다중 채널 분할기 스풀
KR20210018762A (ko) * 2019-08-09 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 온도 제어된 화학물질 전달 시스템 및 이를 포함하는 반응기 시스템
KR20210037318A (ko) 2019-09-27 2021-04-06 삼성전자주식회사 기판 처리 장치와 방법, 그 처리 방법을 이용한 반도체 소자 제조방법
WO2021098982A1 (en) * 2019-11-19 2021-05-27 Linde Gmbh Smart gas mixer
CN111162004B (zh) * 2019-12-27 2022-08-19 北京半导体专用设备研究所(中国电子科技集团公司第四十五研究所) 半导体设备内部环境的调整方法、装置和电子设备
SG10202101459XA (en) * 2020-02-25 2021-09-29 Kc Co Ltd Gas mixing supply device, mixing system, and gas mixing supply method
KR20210123128A (ko) * 2020-04-02 2021-10-13 삼성전자주식회사 반도체 장치의 제조에 사용되는 장치
JP2021179739A (ja) * 2020-05-12 2021-11-18 株式会社堀場エステック 流量比率制御システム、成膜システム、異常診断方法、及び異常診断プログラム
CN113205995B (zh) * 2021-05-08 2022-04-08 长鑫存储技术有限公司 气体分配装置、等离子体处理装置、方法及半导体结构
WO2023043526A1 (en) * 2021-09-17 2023-03-23 Applied Materials, Inc. Energy efficiency improvement with continuous flow modulation in cluster tool
WO2023132916A1 (en) * 2022-01-07 2023-07-13 Lam Research Corporation Composition mixture control of efem environment
CN115193277A (zh) * 2022-06-17 2022-10-18 深圳市德明利光电有限公司 一种用于氧化制程的气体混合装置及处理设备
US11940819B1 (en) * 2023-01-20 2024-03-26 Applied Materials, Inc. Mass flow controller based fast gas exchange

Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4369031A (en) * 1981-09-15 1983-01-18 Thermco Products Corporation Gas control system for chemical vapor deposition system
US5102523A (en) * 1990-08-10 1992-04-07 Leybold Aktiengesellschaft Arrangement for the production of a plasma
US5134965A (en) * 1989-06-16 1992-08-04 Hitachi, Ltd. Processing apparatus and method for plasma processing
US5522934A (en) * 1994-04-26 1996-06-04 Tokyo Electron Limited Plasma processing apparatus using vertical gas inlets one on top of another
US5575883A (en) * 1993-07-09 1996-11-19 Fujitsu Limited Apparatus and process for fabricating semiconductor devices
JPH1167737A (ja) * 1997-08-12 1999-03-09 Tokyo Electron Yamanashi Kk プラズマ処理装置
CN1236186A (zh) * 1998-05-20 1999-11-24 株式会社日立制作所 半导体集成电路器件的制造工艺和半导体集成电路器件
CN1267905A (zh) * 1999-03-11 2000-09-27 株式会社东芝 干式腐蚀方法

Family Cites Families (30)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS61100935A (ja) * 1984-10-23 1986-05-19 Fujitsu Ltd ドライエツチング装置
JPH06101423B2 (ja) * 1986-07-25 1994-12-12 東京エレクトロン株式会社 半導体ウエハ処理装置
JP2668687B2 (ja) * 1987-11-27 1997-10-27 富士通株式会社 C v d 装 置
US4949670A (en) * 1988-11-04 1990-08-21 Tegal Corporation Method and apparatus for low pressure plasma
US5013398A (en) 1990-05-29 1991-05-07 Micron Technology, Inc. Anisotropic etch method for a sandwich structure
DE69227575T2 (de) * 1991-12-30 1999-06-02 Texas Instruments Inc Programmierbarer Multizonen-Gasinjektor für eine Anlage zur Behandlung von einzelnen Halbleiterscheiben
JP2894658B2 (ja) 1992-01-17 1999-05-24 株式会社東芝 ドライエッチング方法およびその装置
JPH05315290A (ja) * 1992-05-12 1993-11-26 Fujitsu Ltd ガス流量制御装置
JPH0628040A (ja) * 1992-07-10 1994-02-04 Sony Corp マスフローコントローラー
US5997950A (en) * 1992-12-22 1999-12-07 Applied Materials, Inc. Substrate having uniform tungsten silicide film and method of manufacture
US5453124A (en) * 1992-12-30 1995-09-26 Texas Instruments Incorporated Programmable multizone gas injector for single-wafer semiconductor processing equipment
US5916369A (en) * 1995-06-07 1999-06-29 Applied Materials, Inc. Gas inlets for wafer processing chamber
US5647945A (en) * 1993-08-25 1997-07-15 Tokyo Electron Limited Vacuum processing apparatus
US5614055A (en) * 1993-08-27 1997-03-25 Applied Materials, Inc. High density plasma CVD and etching reactor
JPH08111381A (ja) * 1994-10-06 1996-04-30 Sony Corp 半導体処理装置
US5736457A (en) 1994-12-09 1998-04-07 Sematech Method of making a damascene metallization
US5702530A (en) * 1995-06-23 1997-12-30 Applied Materials, Inc. Distributed microwave plasma reactor for semiconductor processing
US5772771A (en) 1995-12-13 1998-06-30 Applied Materials, Inc. Deposition chamber for improved deposition thickness uniformity
US6013155A (en) 1996-06-28 2000-01-11 Lam Research Corporation Gas injection system for plasma processing
US6083569A (en) * 1996-10-25 2000-07-04 Applied Materials, Inc. Discharging a wafer after a plasma process for dielectric deposition
US5911834A (en) * 1996-11-18 1999-06-15 Applied Materials, Inc. Gas delivery system
US6294026B1 (en) * 1996-11-26 2001-09-25 Siemens Aktiengesellschaft Distribution plate for a reaction chamber with multiple gas inlets and separate mass flow control loops
US6190233B1 (en) * 1997-02-20 2001-02-20 Applied Materials, Inc. Method and apparatus for improving gap-fill capability using chemical and physical etchbacks
US5843239A (en) * 1997-03-03 1998-12-01 Applied Materials, Inc. Two-step process for cleaning a substrate processing chamber
US6125859A (en) * 1997-03-05 2000-10-03 Applied Materials, Inc. Method for improved cleaning of substrate processing systems
US6042687A (en) 1997-06-30 2000-03-28 Lam Research Corporation Method and apparatus for improving etch and deposition uniformity in plasma semiconductor processing
US6058729A (en) * 1998-07-02 2000-05-09 Carrier Corporation Method of optimizing cooling capacity, energy efficiency and reliability of a refrigeration system during temperature pull down
US6217937B1 (en) * 1998-07-15 2001-04-17 Cornell Research Foundation, Inc. High throughput OMVPE apparatus
JP2000306884A (ja) * 1999-04-22 2000-11-02 Mitsubishi Electric Corp プラズマ処理装置およびプラズマ処理方法
US6333272B1 (en) * 2000-10-06 2001-12-25 Lam Research Corporation Gas distribution apparatus for semiconductor processing

Patent Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4369031A (en) * 1981-09-15 1983-01-18 Thermco Products Corporation Gas control system for chemical vapor deposition system
US5134965A (en) * 1989-06-16 1992-08-04 Hitachi, Ltd. Processing apparatus and method for plasma processing
US5102523A (en) * 1990-08-10 1992-04-07 Leybold Aktiengesellschaft Arrangement for the production of a plasma
US5575883A (en) * 1993-07-09 1996-11-19 Fujitsu Limited Apparatus and process for fabricating semiconductor devices
US5522934A (en) * 1994-04-26 1996-06-04 Tokyo Electron Limited Plasma processing apparatus using vertical gas inlets one on top of another
JPH1167737A (ja) * 1997-08-12 1999-03-09 Tokyo Electron Yamanashi Kk プラズマ処理装置
CN1236186A (zh) * 1998-05-20 1999-11-24 株式会社日立制作所 半导体集成电路器件的制造工艺和半导体集成电路器件
CN1267905A (zh) * 1999-03-11 2000-09-27 株式会社东芝 干式腐蚀方法

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI640854B (zh) * 2013-06-28 2018-11-11 美商應用材料股份有限公司 用於控制使用反饋的流量速率控制器的方法和系統

Also Published As

Publication number Publication date
EP1323178A2 (en) 2003-07-02
JP4838971B2 (ja) 2011-12-14
WO2002031858A3 (en) 2002-09-06
AU2001296338A1 (en) 2002-04-22
KR20040004391A (ko) 2004-01-13
WO2002031858A2 (en) 2002-04-18
US6508913B2 (en) 2003-01-21
US6333272B1 (en) 2001-12-25
US20020042205A1 (en) 2002-04-11
TW522483B (en) 2003-03-01
CN1468441A (zh) 2004-01-14
JP2004511905A (ja) 2004-04-15
KR100725615B1 (ko) 2007-06-07
JP2011233905A (ja) 2011-11-17

Similar Documents

Publication Publication Date Title
CN100358080C (zh) 半导体衬底处理中所用的反应室气体分配系统及处理反应室中的衬底的方法
US7169231B2 (en) Gas distribution system with tuning gas
US10431431B2 (en) Gas supply delivery arrangement including a gas splitter for tunable gas flow control
US20040112540A1 (en) Uniform etch system
CN110945622B (zh) 射频匹配网络中用于频率调谐辅助双电平脉冲的辅助电路
US5453124A (en) Programmable multizone gas injector for single-wafer semiconductor processing equipment
US8313611B2 (en) Gas switching section including valves having different flow coefficients for gas distribution system
EP0550058B1 (en) A programmable multizone gas injector for single-wafer semiconductor processing equipment
US6591850B2 (en) Method and apparatus for fluid flow control
CN101414537B (zh) 可调谐的多区气体喷射系统
CN107452590A (zh) 用于在下游反应器中边缘蚀刻速率控制的可调侧气室
CN101133682B (zh) 用于蚀刻具有受控制的制程结果分配的方法
US20130052365A1 (en) Dielectric film defect reduction
TW201945587A (zh) 具有低壓應力、高膜穩定性及低收縮率之高沉積率厚四乙基正矽酸鹽膜的沉積方法

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20071226

Termination date: 20180926

CF01 Termination of patent right due to non-payment of annual fee