CN100362618C - 一种半导体器件和制作方法 - Google Patents

一种半导体器件和制作方法 Download PDF

Info

Publication number
CN100362618C
CN100362618C CNB2004100923060A CN200410092306A CN100362618C CN 100362618 C CN100362618 C CN 100362618C CN B2004100923060 A CNB2004100923060 A CN B2004100923060A CN 200410092306 A CN200410092306 A CN 200410092306A CN 100362618 C CN100362618 C CN 100362618C
Authority
CN
China
Prior art keywords
layer
substrate
space
raceway groove
silicon
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
CNB2004100923060A
Other languages
English (en)
Other versions
CN1630025A (zh
Inventor
程慷果
杜雷塞蒂·奇达姆巴拉奥
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Core Usa Second LLC
GlobalFoundries Inc
Original Assignee
International Business Machines Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by International Business Machines Corp filed Critical International Business Machines Corp
Publication of CN1630025A publication Critical patent/CN1630025A/zh
Application granted granted Critical
Publication of CN100362618C publication Critical patent/CN100362618C/zh
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78684Thin film transistors, i.e. transistors with a channel being at least partly a thin film having a semiconductor body comprising semiconductor materials of Group IV not being silicon, or alloys including an element of the group IV, e.g. Ge, SiN alloys, SiC alloys
    • H01L29/78687Thin film transistors, i.e. transistors with a channel being at least partly a thin film having a semiconductor body comprising semiconductor materials of Group IV not being silicon, or alloys including an element of the group IV, e.g. Ge, SiN alloys, SiC alloys with a multilayer structure or superlattice structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02367Substrates
    • H01L21/0237Materials
    • H01L21/02373Group 14 semiconducting materials
    • H01L21/02381Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02532Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02656Special treatments
    • H01L21/02658Pretreatments
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/20Deposition of semiconductor materials on a substrate, e.g. epitaxial growth solid phase epitaxy
    • H01L21/2003Deposition of semiconductor materials on a substrate, e.g. epitaxial growth solid phase epitaxy characterised by the substrate
    • H01L21/2007Bonding of semiconductor wafers to insulating substrates or to semiconducting substrates using an intermediate insulating layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/7624Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using semiconductor on insulator [SOI] technology
    • H01L21/76251Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using semiconductor on insulator [SOI] technology using bonding techniques
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/10Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode not carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/1025Channel region of field-effect devices
    • H01L29/1029Channel region of field-effect devices of field-effect transistors
    • H01L29/1033Channel region of field-effect devices of field-effect transistors with insulated gate, e.g. characterised by the length, the width, the geometric contour or the doping structure
    • H01L29/1054Channel region of field-effect devices of field-effect transistors with insulated gate, e.g. characterised by the length, the width, the geometric contour or the doping structure with a variation of the composition, e.g. channel with strained layer for increasing the mobility
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66742Thin film unipolar transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78603Thin film transistors, i.e. transistors with a channel being at least partly a thin film characterised by the insulating substrate or support
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S438/00Semiconductor device manufacturing: process
    • Y10S438/933Germanium or silicon or Ge-Si on III-V

Abstract

一种半导体器件,含有一个底切的的弛豫SiGe层,该SiGe层下含有空隙。空隙可以填充电介质例如SiO2。在弛豫的SiGe层上可以外延生长一个应变Si层,以结合了一个无缺陷应变Si表面和一个绝缘层上的硅衬底的优点。弛豫的SiGe层可以相对较薄,厚度小于临界厚度。这样,该结构能够容纳浅结,显示出降低的结电容。

Description

一种半导体器件和制作方法
技术领域
本发明总体上涉及一种半导体器件和制作方法,以及尤其涉及一种在绝缘层上的硅衬底上,在SiGe上包含应变硅的半导体器件。
背景技术
已经涌现出各种技术来改进半导体技术发展的性能。一种方法包括引进应变。由于增强的输运性能,应变硅显示出改进的半导体性能。应变硅中晶格的双轴扭曲提高了电子和空穴的迁移率。
其它增强性能技术包括提供一个半导体层,通过一个绝缘层将衬底分开。众所周知的绝缘层上硅(SOI),这种结构显示出降低的寄生电容,从而允许半导体以很高的速度工作,并降低电学损耗。该结果明显地提高性能并降低功率损耗。
通过结合应变硅和SOI,两种技术的基本优点可以同时实现。然而,不幸的是,目前在SOI衬底上形成应变硅的方法具有缺点。一种方法,SIMOX方法需要以高能量注入非常高剂量的氧离子。通过退火,氧在半导体表面下面形成一层氧化层。SIMOX的一个问题是它是一个相对较昂贵的过程。另一个问题是高的SIMOX退火温度(13500℃)限制了在绝缘层上的SiGe中锗的浓度。对于另一个方法的晶片键合,存在一些技术上的障碍,包括化学机械抛光的优化,键合条件和降低位错密度。
本发明重点关注在克服上面列出的一个或更多问题。
发明内容
本发明解决了现有技术的问题和/或克服了缺点及不利,通过提供一个底切(undercutting)的弛豫SiGe层。SiGe层下面的空隙填充电介质。在弛豫的SiGe层上形成一个应变Si层。得到的半导体结构这样结合了一个无缺陷应变Si表面和一个绝缘层上的硅衬底的优点。
本发明的第一个方面,提供了一个制作半导体结构的方法。该方法需要在一个衬底上形成一个Si1-xGex层。然后在Si1-xGex层和衬底中形成多个沟道。然后,去掉Si1-xGex层下面的部分衬底以在衬底中形成一个空隙。空隙上的SiGe层则为弛豫的。然后空隙和沟道填充一种电介质材料。随之可以在弛豫的SiGe层上形成一层应变硅层。
本发明的第二个方面,该方法包括在含有第一硅层、第二SiO2层和衬底的绝缘层上的硅上形成一个Si1-xGex层。然后形成第一沟道和第二沟道。每个沟道通过该Si1-xGex层延伸到衬底的第一硅层底。第一沟道和第二沟道基本上平行。然后,底切该Si1-xGex层,以在衬底的第一硅层中,从第一沟道到第二沟道形成一个空隙。接着,第一第二沟道以及空隙填充一种电介质材料。然后在Si1-xGex层上形成一层应变硅层。
本发明的第三个方面,在一个衬底上形成一个中间半导体结构。该结构包括一个半导体衬底以及在半导体衬底上的一个弛豫的Si1-xGex部分。该弛豫的Si1-xGex部分包括一个或更多的沟道或沟槽区。该结构在Si1-xGex部分和衬底之间至少包括一个空隙。在Si1-xGex层下面的空隙可以通过底切形成。空隙接着可以填充电介质材料。
本发明的第四个方面,该半导体结构包括第一层,包括一个应变半导体。在第一层下面提供包括Si1-xGex的第二层。在第二Si1-xGex层下面提供包括一个硅部分和一个电介质部分的第三层。在第三层下面提供包括一个绝缘层的第四层。在第四层下面提供包括一个衬底的第五层。
附图说明
图1示出了根据本发明原理使用的一个绝缘层上的硅晶片;
图2示出了根据本发明原理的半导体结构,含有一个SiGe顶层;
图3示出了根据本发明原理含有SiGe层的半导体结构,覆盖有一层电介质层和沟槽;
图4示出了根据本发明原理含有SiGe层的半导体结构,覆盖有一层电介质层和含有沟槽;
图5示出了根据本发明原理含有SiGe层的半导体结构,覆盖有一层电介质层,沟槽,和底切的SiGe岛;
图6示出了根据本发明原理的一个结构的切去部分的顶视图,覆盖有一层电介质层,沟槽,和底切的SiGe岛;
图7示出了根据本发明原理的半导体结构,覆盖有一层电介质层以及含有一层SiGe底层,沟槽,以及填充电介质的底切区;
图8示出了含有一层SiGe层,沟槽,以及填充电介质的底切区的半导体结构,电介质从SiGe层上垂直延伸至以前包括一层电介质盖帽层的一层;
图9示出了根据本发明原理的半导体结构,一顶层应变半导体层选择地形成在SiGe上;
图10示出了根据本发明原理的半导体结构,一顶层应变硅层无选择地形成在整个表面上;
图11示出了在根据本发明原理的半导体结构上形成的一个场效应晶体管;以及
图12示出了根据本发明原理在一个绝缘层上的SiGe衬底上制作一层应变硅层的方法流程图。
具体实施方式
本发明使得能够在一个绝缘层上的SiGe衬底上制作一层应变硅层。根据本发明的一个示例的方法需要对一层SiGe层底切以形成一个弛豫的SiGe岛,使用一种电介质填充空隙,以及在弛豫的SiGe上外延生长Si。这样形成的应变硅可以免于位错和错配。得到的结构还可以使用传统工艺设备和材料来节省成本地制作。另外,该结构显示了薄的SiGe层,使得能够形成浅结以及加强器件性能。
现在参考图1,示出了一个绝缘层上的硅(SOI)。这种晶片是市场上可以得到的用于各种分立和集成电路(IC)半导体器件应用的起始衬底。该晶片包括一个埋层二氧化硅(BOX)层120,在整个晶片上延伸,在器件质量的单晶硅薄表面层130(例如5-200nm)的下面。BOX层120提供了对衬底110坚固的垂直隔离。衬底110可以为硅,锗,硅锗,氧化铝,或是任何合适的半导体或绝缘层。提供一个SOI晶片是如图12的流程图所示的示例过程的第一步1210。
SOI晶片可以使用该领域中已知的各种技术制作。作为实例而不是局限,SOI晶片可以使用SIMOX工艺(注氧隔离)制作,它使用高剂量氧离子注入以及高温退火在体晶片中形成BOX层。如另一个实例,SOI晶片可以通过将一器件质量的硅晶片键合在另一个表面含有一层氧化物层的晶片(衬底层)上。然后将这一对晶片分开,使用一种工艺在衬底层上的氧化物层顶部(现在已变成BOX)留下一薄层(相对于起始晶片厚度)、器件质量的单晶硅层。SOI晶片还可以使用其它工艺形成。对于本发明制作SOI晶片的方法并不苛刻。
然后,如图2所示,一薄的器件质量的硅锗层(SiGe或Si1-xGex)210形成在器件质量的单晶硅层130上。Si1-xGex层210可以使用传统技术沉积或生长在Si层上,例如化学气相沉积方法。例如可以以传统方式使用超高真空化学气相沉积(UHCVD)生长器件质量的Si1-xGex层。其它传统方法包括快速热化学气相沉积(RTCVD),低压化学气相沉积(LPCVD),限制反应处理CVD(LRPCVD)和分子束外延(MBE)。生长Si1-xGex层是如图12所示的根据本发明原理的示例过程流程图的第二步1220。
在一个示例的实现中,Si1-xGex层210的厚度低于临界厚度,如本领域所知的。临界厚度依赖于例如生长速率,生长温度,锗浓度和下面硅层厚参数,超出此厚度就形成缺陷,例如位错和错配。作为实例,对许多制作来说厚度大约5-100nm将小于临界厚度。
参考图3,一层盖帽层310可以形成在Si1-xGex层210上。盖帽层310保护了Si1-xGex层210的顶表面免于如下面更完整描述的刻蚀。盖帽层310可以包括一种介电材料,例如氮化硅(Si3N4)或在氧化物(SiO2)上的Si3N4。Si3N4层可以约20nm-100nm厚,如果盖帽层210包括一个SiO2层,该SiO2层可以约3nm-20nm厚。SiO2和Si3N4层可以通过在Si1-xGex层210上热生长形成,或者通过传统沉积技术例如低压CVD,等离子体辅助CVD,高密度等离子CVD或其它合适技术。为了清楚,盖帽层310以单层显示于图3。盖帽层形成是如图12所示的根据本发明原理的示例过程流程图的第三步1230。
现在参考图4,沟道或沟槽410和420在盖帽层310,Si1-xGex210层和硅层130上形成,在BOX层120上停止,使用传统干法或湿法刻蚀工艺。在Si1-xGex210上形成盖帽层310之后,一种传统的光致抗蚀剂掩膜(未示出),结合一个可选的硬掩膜(未示出)例如SiO2可以形成在盖帽层310上。光致抗蚀剂掩膜可以使用传统光刻包括光致抗蚀剂曝光和显影来图形化。沟槽410和420使用图形化的光致抗蚀剂和传统刻蚀,如干法刻蚀工艺等,反应离子刻蚀(RIE),离子束刻蚀,等离子体刻蚀或它们的任意组合。光致抗蚀剂可以在刻蚀硬掩膜后,刻蚀盖帽层后,或者刻蚀整个沟槽后剥离,如果有剩余的SiO2硬掩膜的话,可以在形成沟槽后剥离掉。测量沟槽410和420的尺寸及间隔使得能够容纳器件的有源区。沟槽之间的间隔可以为例如大约100-200nm。沟槽或沟道的形成是如图12所示的根据本发明原理的示例过程流程图的第四步1240。
现在参考图5,去除Si1-xGex210层下的部分SOI,形成Si1-xGex层210下面的空隙520。空隙520上面和沟槽410和420之间的Si1-xGex层210的部分510在去掉底层SOI时变成了弛豫的。SOI可以使用传统的选择同步刻蚀工艺去除,例如氨水,基于氨水的蚀刻剂(例如,氢氧化四甲基铵(TMAH)),或者硝酸和氢氟酸混合液)。刻蚀时间通过刻蚀速率和沟槽之间的间隔来预定。刻蚀速率严重依赖于各种因素,包括浓度,温度和定向结晶,可能在大约0.01-1.5um/分钟之间变化。Si1-xGex和纯硅之间的刻蚀速率差异归因于添加锗后能带结构的变化。可以设计Si1-xGex层210的组成,使得Si1-xGex层210的底表面比剩余部分更抵抗得住刻蚀剂。例如,底表面可以(或不必)含有更高的锗浓度。盖帽层310保护Si1-xGex层210的顶表面在去除SOI层过程中免于被刻蚀。同步刻蚀进行足够时间从Si1-xGex层210下面去除足够的SOI,以形成一个弛豫的Si1-xGex部分510,该部分足够大能够定义或包括有源区。去除SOI,也指底切,是如图12所示的根据本发明原理的示例过程流程图的第五步1250。
现在参考图6,示出了盖帽层310在Si1-xGex层210上的结构的一部分顶视图。沟道410和420平行或基本上互相平行地延伸。虚线边界610概念地定义了弛豫的Si1-xGex岛510下面的一部分底切。层130未刻蚀的SOI在虚线边界610外保留,这样在Si1-xGex层210下面提供了结构上的支撑。在虚线边界601内,从层130通过如上描述的刻蚀去除SOI。尽管虚线边界610显示了如图6所示的正方形或矩形,本领域的技术人员将会理解,刻蚀会在各个方向进行,可能依赖于刻蚀剂,刻蚀参数,定向结晶和刻蚀的材料以不均匀的速率进行。这样,本发明并不局限于任何特殊形状的刻蚀边界。
可选地,底切后,Si1-xGex层210可以在约600-9000℃的温度下热退火,以确保它被弛豫。退火可以是一个炉内退火,需要几分钟,或者是快速热退火(RTA),需要1-100秒。
然后,沟槽和底切区域填充一种电介质,例如图7中所示的SiO2710。电解质可以使用传统方法填充,例如使用常压CVD过程,低压CVD过程,和高密度等离子体CVD过程,或者其它任何合适方法。由于SiO2具有好的各向同性性质,甚至Si1-xGex岛510下面的空隙520也可以被填充。然后表面可以平整化以去除过量的SiO2,并留下SiO2的垂直沟道部分720和730,它们基本上与盖帽层310齐平。平整化可以使用化学机械抛光(CMP)或者其它合适的平整化方法。填充沟槽和底切空隙是如图12所示的根据本发明原理的示例过程流程图的第六步1260。
现在参考图8,去除盖帽层310露出弛豫的Si1-xGex层210。刻蚀使用传统的湿法或干法刻蚀去除盖帽层,留下SiO2的垂直沟道部分720和730。如果盖帽层包括多个不同材料的层,可以使用多个湿法或于法刻蚀去除材料。例如,盖帽层中的Si3N4可以使用氢氟酸和乙二醇(HF/EG)的混合液刻蚀,或者热磷酸(H3PO4)。如果先前在盖帽层中形成SiO2,可以使用缓冲的氢氟酸(BHF)或稀释的氢氟酸(DHF)刻蚀。做为选择,盖帽层中的SiO2可以通过一步HF/EG刻蚀和Si3N4一起剥掉。在Si1-xGex层210上面延伸的垂直沟道部分720和730可以(或者不必)去除,这依赖于刻蚀过程。去除盖帽层是如图12所示的根据本发明原理的示例过程流程图的第七步1270。
现在参考图9,一个应变的Si层910形成在弛豫的Si1-xGex层210上。应变的Si层可以使用传统技术在弛豫的Si1-xGex层210上外延形成。例如,可以使用超高真空化学气相沉积(UHVCVD)以传统方式生长器件质量的Si1-xGex层。其它合适技术包括快速热化学气相沉积(RTCVD),低压化学气相沉积(LPCVD),限制反应工艺CVD(LRPCVD)和分子束外延(MBE)。形成应变的Si层是如图12所示的根据本发明原理的示例过程流程图的第八步1280。
如果希望一个厚的底Si1-xGex层,可以在去除盖帽层310后和形成应变的Si层910之前进行外延生长或沉积额外的Si1-xGex。然后在厚Si1-xGex层上形成应变的Si层910。
因为Si比Ge具有较小的晶格常数(即原子间距),当在Si1-xGex层210上生长Si时,Si以张力应变。由于增强的电子和空穴迁移率,应变Si层提供了一个有吸引力的平台,用于制作高性能的集成电路。例如,nFET迁移率基本上随应变增加,从开始增强到在高应变下(例如,大于1.3%)饱和。另一方面,pFET迁移率在低量的张应变下最初表现出轻微降低,但是随着高应变线性增加。
图9示出了使用例如分子束外延工艺在Si1-xGex层210上,而没有在SiO2的垂直沟道部分720和730上选择生长应变的Si层910的一个实施例。图10示出了以非选择方式在整个表面上形成应变的Si层910的一个可选的实施例。在这种情况下,Si层910还包括在SiO2的垂直沟道部分720和730上形成的部分1010。形成应变的Si层910后,如果需要,表面可以通过化学机械抛光(CMP)或任何其它合适工艺进行平整化。
在Si生长形成掺碳的硅(Si1-yCy)中,可以选择加入少量的碳,其中应变进一步增加。Si1-yCy中y的值作为实例大约0.001-0.02。为了简单,层710指的是并示为应变的Si层或下文中的Si层。
应变的Si层910合适的厚度是小于临界尺寸,即应变Si能够在Si1-xGex层210上生长不在晶体结构中形成缺陷(例如位错)的最大厚度。作为实施但是不局限于此,应变的Si层910可以大约5-100nm厚。外延生长Si层910是如图12所示的根据本发明原理的示例过程流程图的第八步1280。
如图4-10所示形成的结构是根据本发明原理能够供给形成半导体器件如pFET和nFET的中间结构。一个中间结构显示了如图5所示,在Si1-xGex层的一个部分(例如岛)510下面的一个空隙520底切,以弛豫底切的Si1-xGex层210。另一个中间结构,作为实例,如图9所示,显示了在Si1-xGex层210上外延生长的一个薄应变半导体层(例如一层Si层)910。这样中间结构结合了薄应变半导体层的优点和SOI的优点。此外,底切步骤排除了需要的昂贵以及潜在的有问题工艺步骤,例如SIMOX或晶片键合在SiO2上制造弛豫的Si1-xGex层。另外,在弛豫的Si1-xGex层210上形成薄应变的Si层910,比传统工艺中更不容易导致应变的Si层910中缺陷的形成。
接着,可以进行标准的CMOS工艺形成器件,例如如图11所示的在结构上的场效应晶体管。该器件包括由位于Si1-xGex层1170上的应变的Si沟道1160隔开的源1110和漏1120区。栅氧化物1150提供在应变的Si沟道1160上,一个栅导体1180提供在栅氧化物1150上。还提供了衬垫1130和1140。这些组成部分在典型的场效应晶体管中能够发现,对本领域技术人员不必进一步的解释便很容易理解FET器件的制作过程。有源器件的形成是如图12所示的根据本发明原理的示例过程流程图的最后一步1290。
本领域的技术人员将理解,根据本发明的原理的过程可以包括上面所描述以及图12的流程图显示以外的步骤。本领域的技术人员还将理解,根据本发明的原理形成的在Si1-xGex结构上形成应变Si或Si1-yCy可以用于支持各种集成电路器件,包括除了如图11所示的场效应管的器件。
本发明方便地提供了含有底切的弛豫SiGe层的半导体器件。SiGe层下面的空隙可以填充电介质。一应变的Si层可以沉积在弛豫的SiGe层上。得到的半导体结构结合了无缺陷应变Si表面和绝缘层上的硅衬底的优点。该结构可以使用传统工艺设备和材料节省成本地制作。另外,由于弛豫的SiGe层可以相对地较薄,该结构能够容纳浅结,可以降低结电容。
尽管本发明已经根据示例的实施例描述,本领域的技术人员将认识到本发明可以根据修改以及在附件权利要求的精神和范围内实施。

Claims (30)

1.一种制造半导体结构的方法,包括步骤:
在衬底上形成一个Si1-xGex层;
在Si1-xGex层和衬底中形成多个沟道;
去掉Si1-xGex层下面的一部分衬底以在衬底中形成空隙;以及
以一种电介质材料填充所述沟道和空隙。
2.根据权利要求1的方法,包括:
衬底包括第一硅层、第二绝缘层和第三衬底层;
多个沟道至少包括第一沟道和第二沟道,延伸通过Si1-xGex层到衬底的第一硅层底部;以及
空隙形成于Si1-xGex层下的衬底的第一硅层中,至少从第一沟道到第二沟道延伸。
3.根据权利要求1的方法,其中去除Si1-xGex层下面的衬底一部分的步骤包括选自下列组中的一个步骤:
刻蚀Si1-xGex层下面的衬底的部分;
进行同步刻蚀Si1-xGex层下面的衬底的部分;
使用对衬底比对Si1-xGex更高的刻蚀速率的刻蚀剂进行同步刻蚀Si1-xGex层下面的衬底的部分;以及
使用选自氨水、氢氧化四甲基铵、硝酸和氢氟酸的刻蚀剂,进行同步刻蚀Si1-xGex层下面的衬底的部分。
4.根据权利要求3的方法,其中Si1-xGex层含有一个底表面和一个顶表面,底表面比顶表面更抗刻蚀。
5.根据权利要求4的方法,其中Si1-xGex层的底表面比顶表面含有更高的锗浓度。
6.根据权利要求2的方法,其中去除Si1-xGex层下面的衬底的一部分以在衬底的第一硅层从第一沟道到第二沟道形成一个空隙的步骤,在空隙之上产生了一个Si1-xGex层的弛豫的部分。
7.根据权利要求1的方法,进一步包括在第一硅层中形成空隙后对Si1-xGex层进行退火的步骤。
8.根据权利要求1的方法,其中形成Si1-xGex层的步骤包括选自下列组中的一个步骤:
超高真空化学气相沉积;
快速热化学气相沉积;
低压化学气相沉积;
限制反应处理化学气相沉积;以及
分子束外延。
9.根据权利要求1的方法,进一步包括在Si1-xGex层顶上形成一盖帽层的步骤。
10.根据权利要求9的方法,进一步包括步骤:
去除盖帽层;以及
在Si1-xGex层上形成一应变半导体层。
11.根据权利要求1的方法,进一步包括通过在第一Si1-xGex层上形成第二Si1-xGex层来加厚Si1-xGex层的步骤。
12.根据权利要求1的方法,进一步包括在Si1-xGex层上形成一应变半导体层的步骤。
13.根据权利要求12的方法,其中形成一应变半导体层的步骤包括选自下列组中的一个步骤:
超高真空化学气相沉积;
快速热化学气相沉积;
低压化学气相沉积;
限制反应处理化学气相沉积;以及
分子束外延。
14.根据权利要求12的方法,其中应变半导体层由一种选自Si和Si1-yCy的半导体构成。
15.根据权利要求12的方法,进一步包括在半导体结构上、在填充了电介质材料的第一和第二沟道之间,以及填充了电介质材料的空隙的上面形成一个器件的步骤。
16.一种制造半导体结构的方法,包括步骤:
在含有第一硅层、第二SiO2层和第三衬底层的绝缘层上硅衬底上形成一个Si1-xGex层;
形成第一沟道和第二沟道,每个沟道延伸通过该Si1-xGex层到衬底的第一硅层底部,第一沟道和第二沟道基本上平行;
去除Si1-xGex层之下的一部分硅层,以在衬底的第一硅层中,从第一沟道到第二沟道形成空隙;
第一和第二沟道以及空隙填充一种电介质材料;以及
在Si1-xGex层上形成一应变半导体层。
17.根据权利要求16的方法,进一步包括在第一硅层中形成空隙之后以及在第一第二沟道和空隙填充电介质材料之前,对Si1-xGex层热退火的步骤。
18.根据权利要求16的方法,进一步包括在第一和第二沟道和空隙填充电介质材料之后的平整化步骤。
19.根据权利要求16的方法,其中形成Si1-xGex层的步骤包括选自下列组中的一个步骤:
超高真空化学气相沉积;
快速热化学气相沉积;
低压化学气相沉积;
限制反应处理化学气相沉积;以及
分子束外延。
20.根据权利要求16的方法,其中形成应变半导体层的步骤包括选自下列组中的一个步骤:
超高真空化学气相沉积;
快速热化学气相沉积;
低压化学气相沉积;
限制反应处理化学气相沉积;以及
分子束外延。
21.根据权利要求16的方法,进一步包括在Si1-xGex层顶上形成一盖帽层的步骤。
22.根据权利要求21的方法,进一步包括步骤:
去除盖帽层;以及
在Si1-xGex层上形成一应变半导体层。
23.根据权利要求16的方法,其中形成应变半导体层的步骤包括选自下列组中的一个步骤:
外延生长一应变硅层;以及
外延生长一应变Si1-yCy层。
24.根据权利要求23的方法,进一步包括通过在第一Si1-xGex层上形成第二Si1-xGex层来加厚Si1-xGex层的步骤。
25.一种中间半导体结构,包括:
衬底;
在衬底上的一个弛豫的Si1-xGex层,该弛豫的Si1-xGex层至少含有一个沟槽;以及
在弛豫的Si1-xGex层和衬底之间的至少一个空隙。
26.根据权利要求25的中间半导体结构,其中衬底包括绝缘层上硅晶片。
27.根据权利要求25的中间半导体结构,其中Si1-xGex层的厚度小于Si1-xGex层的临界厚度。
28.根据权利要求25的中间半导体结构,进一步包括在弛豫的Si1-xGex层上外延生长的应变半导体层。
29.根据权利要求28的中间半导体结构,其中应变半导体层由Si构成。
30.根据权利要求28的中间半导体结构,其中应变半导体层由Si1-yCy构成。
CNB2004100923060A 2003-11-13 2004-11-08 一种半导体器件和制作方法 Expired - Fee Related CN100362618C (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/706,061 2003-11-13
US10/706,061 US7029964B2 (en) 2003-11-13 2003-11-13 Method of manufacturing a strained silicon on a SiGe on SOI substrate

Publications (2)

Publication Number Publication Date
CN1630025A CN1630025A (zh) 2005-06-22
CN100362618C true CN100362618C (zh) 2008-01-16

Family

ID=34573385

Family Applications (1)

Application Number Title Priority Date Filing Date
CNB2004100923060A Expired - Fee Related CN100362618C (zh) 2003-11-13 2004-11-08 一种半导体器件和制作方法

Country Status (2)

Country Link
US (2) US7029964B2 (zh)
CN (1) CN100362618C (zh)

Families Citing this family (33)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7304336B2 (en) * 2003-02-13 2007-12-04 Massachusetts Institute Of Technology FinFET structure and method to make the same
US7586116B2 (en) * 2003-06-26 2009-09-08 Mears Technologies, Inc. Semiconductor device having a semiconductor-on-insulator configuration and a superlattice
FR2881273B1 (fr) * 2005-01-21 2007-05-04 St Microelectronics Sa Procede de formation d'un substrat semi-conducteur de circuit integre
KR100637692B1 (ko) * 2005-06-27 2006-10-25 주식회사 하이닉스반도체 반도체 소자 및 그 제조 방법
JP2007165677A (ja) * 2005-12-15 2007-06-28 Seiko Epson Corp 半導体基板の製造方法及び半導体装置
US7569434B2 (en) * 2006-01-19 2009-08-04 International Business Machines Corporation PFETs and methods of manufacturing the same
US7638398B2 (en) * 2006-03-31 2009-12-29 Hynix Semiconductor Inc. Semiconductor device with increased channel area and fabrication method thereof
JP2009536464A (ja) * 2006-05-05 2009-10-08 メアーズ テクノロジーズ, インコーポレイテッド 絶縁体上に半導体が存在する配置及び超格子を有する半導体素子並びに関連方法
US7863141B2 (en) * 2006-07-25 2011-01-04 Chartered Semiconductor Manufacturing, Ltd. Integration for buried epitaxial stressor
JP4625793B2 (ja) * 2006-09-08 2011-02-02 株式会社東芝 半導体デバイス
JP2009143756A (ja) * 2007-12-13 2009-07-02 Shin Etsu Chem Co Ltd GaN層含有積層基板及びその製造方法並びにデバイス
KR100937599B1 (ko) * 2007-12-17 2010-01-20 한국전자통신연구원 반도체 장치 및 그 형성 방법
FR2965975B1 (fr) * 2010-10-11 2012-12-21 Commissariat Energie Atomique Transistor a effet de champ sur ilot de matériau semi-conducteur auto-assemble
CN102790004B (zh) * 2011-05-16 2014-06-11 中国科学院上海微系统与信息技术研究所 一种全隔离混合晶向soi的制备方法
GB2501307A (en) * 2012-04-19 2013-10-23 Univ Warwick Suspended Ge or Si-Ge semiconductor structure on mono-crystal line Si substrate
US8617968B1 (en) 2012-06-18 2013-12-31 International Business Machines Corporation Strained silicon and strained silicon germanium on insulator metal oxide semiconductor field effect transistors (MOSFETs)
US8859348B2 (en) * 2012-07-09 2014-10-14 International Business Machines Corporation Strained silicon and strained silicon germanium on insulator
US9484423B2 (en) 2013-11-01 2016-11-01 Samsung Electronics Co., Ltd. Crystalline multiple-nanosheet III-V channel FETs
US9570609B2 (en) 2013-11-01 2017-02-14 Samsung Electronics Co., Ltd. Crystalline multiple-nanosheet strained channel FETs and methods of fabricating the same
US9647098B2 (en) 2014-07-21 2017-05-09 Samsung Electronics Co., Ltd. Thermionically-overdriven tunnel FETs and methods of fabricating the same
US10366883B2 (en) 2014-07-30 2019-07-30 Hewlett Packard Enterprise Development Lp Hybrid multilayer device
US9391198B2 (en) * 2014-09-11 2016-07-12 Globalfoundries Inc. Strained semiconductor trampoline
CN105702728B (zh) * 2014-11-28 2018-08-10 中国科学院微电子研究所 一种半导体器件及其制造方法
US9679899B2 (en) * 2015-08-24 2017-06-13 Stmicroelectronics, Inc. Co-integration of tensile silicon and compressive silicon germanium
US10658177B2 (en) 2015-09-03 2020-05-19 Hewlett Packard Enterprise Development Lp Defect-free heterogeneous substrates
US9530669B1 (en) * 2015-11-30 2016-12-27 International Business Machines Corporation Method of making a semiconductor device having a semiconductor material on a relaxed semiconductor including replacing a strained, selective etchable material, with a low density dielectric in a cavity
WO2017123245A1 (en) 2016-01-15 2017-07-20 Hewlett Packard Enterprise Development Lp Multilayer device
WO2017171737A1 (en) * 2016-03-30 2017-10-05 Hewlett Packard Enterprise Development Lp Devices having substrates with selective airgap regions
FR3064398B1 (fr) * 2017-03-21 2019-06-07 Soitec Structure de type semi-conducteur sur isolant, notamment pour un capteur d'image de type face avant, et procede de fabrication d'une telle structure
CN108063112B (zh) * 2017-11-15 2020-06-16 上海华虹宏力半导体制造有限公司 一种局部化soi区域制造方法
US10381801B1 (en) 2018-04-26 2019-08-13 Hewlett Packard Enterprise Development Lp Device including structure over airgap
US10573755B1 (en) 2018-09-12 2020-02-25 International Business Machines Corporation Nanosheet FET with box isolation on substrate
US11502106B2 (en) * 2020-02-11 2022-11-15 Globalfoundries U.S. Inc. Multi-layered substrates of semiconductor devices

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6165383A (en) * 1998-04-10 2000-12-26 Organic Display Technology Useful precursors for organic electroluminescent materials and devices made from such materials
US6274444B1 (en) * 1999-07-30 2001-08-14 United Microelectronics Corp. Method for forming mosfet
US6368931B1 (en) * 2000-03-27 2002-04-09 Intel Corporation Thin tensile layers in shallow trench isolation and method of making same

Family Cites Families (93)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3602841A (en) * 1970-06-18 1971-08-31 Ibm High frequency bulk semiconductor amplifiers and oscillators
US4853076A (en) * 1983-12-29 1989-08-01 Massachusetts Institute Of Technology Semiconductor thin films
US4665415A (en) * 1985-04-24 1987-05-12 International Business Machines Corporation Semiconductor device with hole conduction via strained lattice
EP0219641B1 (de) 1985-09-13 1991-01-09 Siemens Aktiengesellschaft Integrierte Bipolar- und komplementäre MOS-Transistoren auf einem gemeinsamen Substrat enthaltende Schaltung und Verfahren zu ihrer Herstellung
US4958213A (en) * 1987-12-07 1990-09-18 Texas Instruments Incorporated Method for forming a transistor base region under thick oxide
US5354695A (en) * 1992-04-08 1994-10-11 Leedy Glenn J Membrane dielectric isolation IC fabrication
US5459346A (en) * 1988-06-28 1995-10-17 Ricoh Co., Ltd. Semiconductor substrate with electrical contact in groove
US5006913A (en) 1988-11-05 1991-04-09 Mitsubishi Denki Kabushiki Kaisha Stacked type semiconductor device
US5108843A (en) * 1988-11-30 1992-04-28 Ricoh Company, Ltd. Thin film semiconductor and process for producing the same
US4952524A (en) * 1989-05-05 1990-08-28 At&T Bell Laboratories Semiconductor device manufacture including trench formation
US5310446A (en) * 1990-01-10 1994-05-10 Ricoh Company, Ltd. Method for producing semiconductor film
US5060030A (en) * 1990-07-18 1991-10-22 Raytheon Company Pseudomorphic HEMT having strained compensation layer
US5081513A (en) * 1991-02-28 1992-01-14 Xerox Corporation Electronic device with recovery layer proximate to active layer
US5371399A (en) * 1991-06-14 1994-12-06 International Business Machines Corporation Compound semiconductor having metallic inclusions and devices fabricated therefrom
US5134085A (en) * 1991-11-21 1992-07-28 Micron Technology, Inc. Reduced-mask, split-polysilicon CMOS process, incorporating stacked-capacitor cells, for fabricating multi-megabit dynamic random access memories
US5391510A (en) * 1992-02-28 1995-02-21 International Business Machines Corporation Formation of self-aligned metal gate FETs using a benignant removable gate material during high temperature steps
US6008126A (en) * 1992-04-08 1999-12-28 Elm Technology Corporation Membrane dielectric isolation IC fabrication
US5324683A (en) * 1993-06-02 1994-06-28 Motorola, Inc. Method of forming a semiconductor structure having an air region
US5561302A (en) * 1994-09-26 1996-10-01 Motorola, Inc. Enhanced mobility MOSFET device and method
US5670798A (en) * 1995-03-29 1997-09-23 North Carolina State University Integrated heterostructures of Group III-V nitride semiconductor materials including epitaxial ohmic contact non-nitride buffer layer and methods of fabricating same
US5679965A (en) * 1995-03-29 1997-10-21 North Carolina State University Integrated heterostructures of Group III-V nitride semiconductor materials including epitaxial ohmic contact, non-nitride buffer layer and methods of fabricating same
US5557122A (en) * 1995-05-12 1996-09-17 Alliance Semiconductors Corporation Semiconductor electrode having improved grain structure and oxide growth properties
US6403975B1 (en) * 1996-04-09 2002-06-11 Max-Planck Gesellschaft Zur Forderung Der Wissenschafteneev Semiconductor components, in particular photodetectors, light emitting diodes, optical modulators and waveguides with multilayer structures grown on silicon substrates
US5880040A (en) * 1996-04-15 1999-03-09 Macronix International Co., Ltd. Gate dielectric based on oxynitride grown in N2 O and annealed in NO
US6211039B1 (en) * 1996-11-12 2001-04-03 Micron Technology, Inc. Silicon-on-insulator islands and method for their formation
US5861651A (en) * 1997-02-28 1999-01-19 Lucent Technologies Inc. Field effect devices and capacitors with improved thin film dielectrics and method for making same
US5898978A (en) * 1997-03-03 1999-05-04 John D. Hollingsworth On Wheels, Inc. Metallic clothing for carding segments and flats
US5940736A (en) * 1997-03-11 1999-08-17 Lucent Technologies Inc. Method for forming a high quality ultrathin gate oxide layer
US6309975B1 (en) * 1997-03-14 2001-10-30 Micron Technology, Inc. Methods of making implanted structures
US6025280A (en) * 1997-04-28 2000-02-15 Lucent Technologies Inc. Use of SiD4 for deposition of ultra thin and controllable oxides
US5960297A (en) * 1997-07-02 1999-09-28 Kabushiki Kaisha Toshiba Shallow trench isolation structure and method of forming the same
JP3139426B2 (ja) * 1997-10-15 2001-02-26 日本電気株式会社 半導体装置
US6066545A (en) * 1997-12-09 2000-05-23 Texas Instruments Incorporated Birdsbeak encroachment using combination of wet and dry etch for isolation nitride
US6274421B1 (en) * 1998-01-09 2001-08-14 Sharp Laboratories Of America, Inc. Method of making metal gate sub-micron MOS transistor
KR100275908B1 (ko) * 1998-03-02 2000-12-15 윤종용 집적 회로에 트렌치 아이솔레이션을 형성하는방법
US6361885B1 (en) * 1998-04-10 2002-03-26 Organic Display Technology Organic electroluminescent materials and device made from such materials
US5989978A (en) 1998-07-16 1999-11-23 Chartered Semiconductor Manufacturing, Ltd. Shallow trench isolation of MOSFETS with reduced corner parasitic currents
JP4592837B2 (ja) * 1998-07-31 2010-12-08 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
US6521694B2 (en) * 1998-08-11 2003-02-18 Dsm N.V. Process for hydrogenation of carbon-carbon double bonds of an unsaturated polymer
US6319794B1 (en) * 1998-10-14 2001-11-20 International Business Machines Corporation Structure and method for producing low leakage isolation devices
US6235598B1 (en) * 1998-11-13 2001-05-22 Intel Corporation Method of using thick first spacers to improve salicide resistance on polysilicon gates
US6117722A (en) * 1999-02-18 2000-09-12 Taiwan Semiconductor Manufacturing Company SRAM layout for relaxing mechanical stress in shallow trench isolation technology and method of manufacture thereof
US6255169B1 (en) * 1999-02-22 2001-07-03 Advanced Micro Devices, Inc. Process for fabricating a high-endurance non-volatile memory device
US6284626B1 (en) * 1999-04-06 2001-09-04 Vantis Corporation Angled nitrogen ion implantation for minimizing mechanical stress on side walls of an isolation trench
US6656822B2 (en) * 1999-06-28 2003-12-02 Intel Corporation Method for reduced capacitance interconnect system using gaseous implants into the ILD
US6228694B1 (en) * 1999-06-28 2001-05-08 Intel Corporation Method of increasing the mobility of MOS transistors by use of localized stress regions
US6281532B1 (en) * 1999-06-28 2001-08-28 Intel Corporation Technique to obtain increased channel mobilities in NMOS transistors by gate electrode engineering
US6362082B1 (en) * 1999-06-28 2002-03-26 Intel Corporation Methodology for control of short channel effects in MOS transistors
KR100332108B1 (ko) * 1999-06-29 2002-04-10 박종섭 반도체 소자의 트랜지스터 및 그 제조 방법
US6483171B1 (en) 1999-08-13 2002-11-19 Micron Technology, Inc. Vertical sub-micron CMOS transistors on (110), (111), (311), (511), and higher order surfaces of bulk, SOI and thin film structures and method of forming same
US6284623B1 (en) * 1999-10-25 2001-09-04 Peng-Fei Zhang Method of fabricating semiconductor devices using shallow trench isolation with reduced narrow channel effect
US6476462B2 (en) * 1999-12-28 2002-11-05 Texas Instruments Incorporated MOS-type semiconductor device and method for making same
US6221735B1 (en) * 2000-02-15 2001-04-24 Philips Semiconductors, Inc. Method for eliminating stress induced dislocations in CMOS devices
US6531369B1 (en) * 2000-03-01 2003-03-11 Applied Micro Circuits Corporation Heterojunction bipolar transistor (HBT) fabrication using a selectively deposited silicon germanium (SiGe)
EP1152462A1 (de) * 2000-05-05 2001-11-07 Infineon Technologies AG Verfahren zur Herstellung eines Bipolartransistors
KR100340878B1 (ko) * 2000-06-28 2002-06-20 박종섭 에스오아이 소자의 제조방법
US6493497B1 (en) * 2000-09-26 2002-12-10 Motorola, Inc. Electro-optic structure and process for fabricating same
US6501121B1 (en) * 2000-11-15 2002-12-31 Motorola, Inc. Semiconductor structure
US7312485B2 (en) 2000-11-29 2007-12-25 Intel Corporation CMOS fabrication process utilizing special transistor orientation
US6563152B2 (en) * 2000-12-29 2003-05-13 Intel Corporation Technique to obtain high mobility channels in MOS transistors by forming a strain layer on an underside of a channel
US20020086497A1 (en) * 2000-12-30 2002-07-04 Kwok Siang Ping Beaker shape trench with nitride pull-back for STI
US6265317B1 (en) * 2001-01-09 2001-07-24 Taiwan Semiconductor Manufacturing Company Top corner rounding for shallow trench isolation
KR100414217B1 (ko) * 2001-04-12 2004-01-07 삼성전자주식회사 게이트 올 어라운드형 트랜지스터를 가진 반도체 장치 및그 형성 방법
US6403486B1 (en) * 2001-04-30 2002-06-11 Taiwan Semiconductor Manufacturing Company Method for forming a shallow trench isolation
TW550681B (en) * 2001-06-22 2003-09-01 Memc Electronic Materials Process for producing silicon on insulator structure having intrinsic gettering by ion implantation
JP2003031495A (ja) * 2001-07-12 2003-01-31 Hitachi Ltd 半導体装置用基板の製造方法および半導体装置の製造方法
US6531740B2 (en) * 2001-07-17 2003-03-11 Motorola, Inc. Integrated impedance matching and stability network
US6498358B1 (en) * 2001-07-20 2002-12-24 Motorola, Inc. Structure and method for fabricating an electro-optic system having an electrochromic diffraction grating
US6908810B2 (en) * 2001-08-08 2005-06-21 Taiwan Semiconductor Manufacturing Co., Ltd. Method of preventing threshold voltage of MOS transistor from being decreased by shallow trench isolation formation
JP2003060076A (ja) * 2001-08-21 2003-02-28 Nec Corp 半導体装置及びその製造方法
WO2003025984A2 (en) * 2001-09-21 2003-03-27 Amberwave Systems Corporation Semiconductor structures employing strained material layers with defined impurity gradients and methods for fabricating same
US20030057184A1 (en) * 2001-09-22 2003-03-27 Shiuh-Sheng Yu Method for pull back SiN to increase rounding effect in a shallow trench isolation process
US6656798B2 (en) 2001-09-28 2003-12-02 Infineon Technologies, Ag Gate processing method with reduced gate oxide corner and edge thinning
US6577131B2 (en) * 2001-10-05 2003-06-10 American Standard International Inc. Motor terminal fixture
US6461936B1 (en) * 2002-01-04 2002-10-08 Infineon Technologies Ag Double pullback method of filling an isolation trench
US6936869B2 (en) * 2002-07-09 2005-08-30 International Rectifier Corporation Heterojunction field effect transistors using silicon-germanium and silicon-carbon alloys
US6670798B1 (en) * 2002-09-25 2003-12-30 Pitney Bowes Inc. Auto power-on, hot-pluggable user interface controller for mailing machines
US6717216B1 (en) 2002-12-12 2004-04-06 International Business Machines Corporation SOI based field effect transistor having a compressive film in undercut area under the channel and a method of making the device
US6825529B2 (en) 2002-12-12 2004-11-30 International Business Machines Corporation Stress inducing spacers
US6974981B2 (en) 2002-12-12 2005-12-13 International Business Machines Corporation Isolation structures for imposing stress patterns
US7078298B2 (en) * 2003-05-20 2006-07-18 Sharp Laboratories Of America, Inc. Silicon-on-nothing fabrication process
US7662701B2 (en) * 2003-05-21 2010-02-16 Micron Technology, Inc. Gettering of silicon on insulator using relaxed silicon germanium epitaxial proximity layers
US6887798B2 (en) 2003-05-30 2005-05-03 International Business Machines Corporation STI stress modification by nitrogen plasma treatment for improving performance in small width devices
US7279746B2 (en) 2003-06-30 2007-10-09 International Business Machines Corporation High performance CMOS device structures and method of manufacture
FR2858876B1 (fr) * 2003-08-12 2006-03-03 St Microelectronics Sa Procede de formation sous une couche mince d'un premier materiau de portions d'un autre materiau et/ou de zones de vide
US7119403B2 (en) 2003-10-16 2006-10-10 International Business Machines Corporation High performance strained CMOS devices
US6977194B2 (en) 2003-10-30 2005-12-20 International Business Machines Corporation Structure and method to improve channel mobility by gate electrode stress modification
US8008724B2 (en) 2003-10-30 2011-08-30 International Business Machines Corporation Structure and method to enhance both nFET and pFET performance using different kinds of stressed layers
US7129126B2 (en) * 2003-11-05 2006-10-31 International Business Machines Corporation Method and structure for forming strained Si for CMOS devices
US7015082B2 (en) 2003-11-06 2006-03-21 International Business Machines Corporation High mobility CMOS circuits
US7122849B2 (en) 2003-11-14 2006-10-17 International Business Machines Corporation Stressed semiconductor device structures having granular semiconductor material
US7247912B2 (en) 2004-01-05 2007-07-24 International Business Machines Corporation Structures and methods for making strained MOSFETs
US7205206B2 (en) 2004-03-03 2007-04-17 International Business Machines Corporation Method of fabricating mobility enhanced CMOS devices

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6165383A (en) * 1998-04-10 2000-12-26 Organic Display Technology Useful precursors for organic electroluminescent materials and devices made from such materials
US6274444B1 (en) * 1999-07-30 2001-08-14 United Microelectronics Corp. Method for forming mosfet
US6368931B1 (en) * 2000-03-27 2002-04-09 Intel Corporation Thin tensile layers in shallow trench isolation and method of making same

Also Published As

Publication number Publication date
CN1630025A (zh) 2005-06-22
US7468538B2 (en) 2008-12-23
US7029964B2 (en) 2006-04-18
US20050142700A1 (en) 2005-06-30
US20050106790A1 (en) 2005-05-19

Similar Documents

Publication Publication Date Title
CN100362618C (zh) 一种半导体器件和制作方法
JP4034387B2 (ja) 集積cmos回路装置及びその製造方法
US6211039B1 (en) Silicon-on-insulator islands and method for their formation
US7435639B2 (en) Dual surface SOI by lateral epitaxial overgrowth
US7023055B2 (en) CMOS on hybrid substrate with different crystal orientations using silicon-to-silicon direct wafer bonding
US7439110B2 (en) Strained HOT (hybrid orientation technology) MOSFETs
US7790528B2 (en) Dual substrate orientation or bulk on SOI integrations using oxidation for silicon epitaxy spacer formation
US9324843B2 (en) High germanium content silicon germanium fins
US6787423B1 (en) Strained-silicon semiconductor device
CN103094089B (zh) 鳍式场效应晶体管栅极氧化物
US5321298A (en) Soi wafer fabrication by selective epitaxial growth
US20040018668A1 (en) Silicon-on-insulator device with strained device film and method for making the same with partial replacement of isolation oxide
US20110140230A1 (en) Manufacture of thin silicon-on-insulator (soi) structures
JP2007258485A (ja) 半導体装置及びその製造方法
TW201344758A (zh) 半導體裝置及其製造方法
JP2004039831A (ja) 半導体装置の製造方法
EP1993136A1 (en) Multi-gate MOSFET device and method of manufacturing same
US6642536B1 (en) Hybrid silicon on insulator/bulk strained silicon technology
US20060115935A1 (en) Method of manufacturing semiconductor substrate and method of manufacturing semiconductor device
US10438858B2 (en) Low-cost SOI FinFET technology
US9331073B2 (en) Epitaxially grown quantum well finFETs for enhanced pFET performance
JPH0697400A (ja) Soiウェーハ及びその製造方法
US9608068B2 (en) Substrate with strained and relaxed silicon regions
US20070296000A1 (en) Method for manufacturing a semiconductor device
US20080045023A1 (en) Method for manufacturing semiconductor device, and semiconductor device

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
TR01 Transfer of patent right
TR01 Transfer of patent right

Effective date of registration: 20171117

Address after: Grand Cayman, Cayman Islands

Patentee after: GLOBALFOUNDRIES INC.

Address before: American New York

Patentee before: Core USA second LLC

Effective date of registration: 20171117

Address after: American New York

Patentee after: Core USA second LLC

Address before: American New York

Patentee before: International Business Machines Corp.

CF01 Termination of patent right due to non-payment of annual fee
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20080116

Termination date: 20181108