CN100388429C - 去除光刻胶和蚀刻残留物的方法 - Google Patents

去除光刻胶和蚀刻残留物的方法 Download PDF

Info

Publication number
CN100388429C
CN100388429C CNB038112418A CN03811241A CN100388429C CN 100388429 C CN100388429 C CN 100388429C CN B038112418 A CNB038112418 A CN B038112418A CN 03811241 A CN03811241 A CN 03811241A CN 100388429 C CN100388429 C CN 100388429C
Authority
CN
China
Prior art keywords
gas
cineration step
cineration
light
bias power
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
CNB038112418A
Other languages
English (en)
Other versions
CN1653593A (zh
Inventor
维迪雅纳坦·巴拉苏布拉马尼亚姆
萩原正明
西村荣一
稻泽高一郎
畑村安则
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Publication of CN1653593A publication Critical patent/CN1653593A/zh
Application granted granted Critical
Publication of CN100388429C publication Critical patent/CN100388429C/zh
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76814Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics post-treatment or after-treatment, e.g. cleaning or removal of oxides on underlying conductors
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/42Stripping or agents therefor
    • G03F7/427Stripping or agents therefor using plasma means only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/0206Cleaning during device manufacture during, before or after processing of insulating layers
    • H01L21/02063Cleaning during device manufacture during, before or after processing of insulating layers the processing being the formation of vias or contact holes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • H01L21/31138Etching organic layers by chemical means by dry-etching

Abstract

提供一种用于等离子体灰化(120)的方法,用于去除在前面介电层(104)等离子体蚀刻期间形成的光刻胶残余(106)以及蚀刻剩余物(110)。该灰化方法使用包含含氢气体的两步等离子体处理,其中在第一清洁步骤(120)对基底施加低或零偏置,从而从基底去除大量的光刻胶残余(106)和蚀刻剩余物(110),另外从腔室表面蚀刻和去除有害的氟碳剩余物。在第二清洁步骤(130)中对基底施加增加了的偏置,从而从基底上去除剩余的光刻胶(106)和蚀刻剩余物(110)。该两步处理减少了在传统一步灰化处理中常观察到的记忆效应。可以使用终点检测方法来监控灰化处理。

Description

去除光刻胶和蚀刻残留物的方法
相关申请的交叉引用
本申请请求2002年11月30日申请的美国专利申请序列号10/259,768和2002年11月30日申请的美国专利申请序列号10/259,381的优先权,这里引用这些申请的全部内容作为参考。本发明涉及与此同一日期申请的名为“去除光刻胶和蚀刻残留物的方法”的共同待审的国际申请序列号PCT/US02/XXXXX,代理机构案卷号227618WO,这里引用其全部内容作为参考。
技术领域
本发明涉及等离子体处理,特别涉及半导体微制造中在蚀刻工艺之后对光刻胶和蚀刻残留物的清理和去除。
背景技术
等离子体处理在半导体、集成电路、显示器和其它设备或材料的制造和处理中用于在诸如半导体基底的基底上去除材料或沉积材料。将集成电路的图案从光刻掩膜转印到基底上或者在基底上沉积介电或导电薄膜的半导体基底的等离子体处理在工业中已经成为标准方法。
在蚀刻各种薄膜的半导体处理中,集成的挑战和折衷仍然存在。通常,在介电层上形成图案,使其具有用于沉积导电材料以形成垂直触点的开口。在图案形成处理期间,将抗蚀刻光刻胶层和/或一个硬掩膜层沉积在介电层上、对所选图案曝光并显影。然后在等离子体环境中蚀刻分层结构,其中形成图案的光刻胶层限定介电层中的开口。
在蚀刻步骤之后,在蚀刻过的特征和处理室表面上常会观察到光刻胶残余和蚀刻残留物(例如聚合物碎屑)。等离子体清洁(也称作原位灰化(in-situ ashing))中的集成挑战之一是成功地去除光刻胶残余和蚀刻残留物,同时避免腐蚀周围各层。已知系统使用一步灰化处理,其中在整个电介质蚀刻处理期间施加到基底上的偏置保持恒定。
在介电层蚀刻中常常使用卤化碳气体,例如氧化物和较新的、包含低k值介电材料的SiOC。这些气体已知在电介质蚀刻处理期间要产生氟碳聚合物蚀刻产物,该产物会沉积在处理室的内表面上以及基底表面上。
图1显示了一步灰化处理的横截面图示。在从结构100中去除光刻胶106的传统一步灰化处理期间,从处理室壁释放/蚀刻出氟碳聚合物(通常称作记忆效应),该氟碳聚合物会腐蚀下面的介电层104和覆盖层(cap layer)102(例如SiN、SiC),导致介电层的小平面108和覆盖层损失110,有时甚至穿透覆盖层102并腐蚀下面的导电层(例如铜)。由于在处理室壁附近氟碳聚合物浓度高,所以这种效应在晶片的边缘处会非常明显。作为选择,结构100也可以包括氟碳聚合物沉积物。
在传统的一步灰化处理期间,可以在含氢的等离子体中去除光刻胶。为了避免灰化后(post-ash)残留物形成,要对基底支撑物施加一些偏置功率。在该处理中,还蚀刻从前面的电介质蚀刻沉积在处理室壁上的氟碳化合物,在等离子体中释出氟基。因为对基底支撑物施加了偏置,这些氟基会侵蚀下面的介电膜并消耗覆盖层。通过降低偏置或应用零偏置,能够减少介电膜侵蚀和覆盖层消耗,但是仍然能够观察到灰化后的残留物。
会导致上述处理室问题的传统一步灰化处理会涉及下列等离子体处理条件:处理室压力=50m乇,RF偏置=150W,O2流率=200sccm。
在半导体制造中,常常在处理室中、处理室内表面(和要灰化的基底)能包含来自前面电介质蚀刻处理的基于氟碳的聚合物沉积的地方执行一步灰化处理。作为选择,可以在已经清理了来自在前蚀刻处理的聚合物沉积的处理室中执行一步灰化处理。
发明内容
本发明的一个目的是提供一种等离子体处理方法,用于从基底去除光刻胶残余和蚀刻残留物,其与一步灰化比较降低了对周围基底层的腐蚀。
上述及其它目的使用两步原位等离子体灰化处理来完成,该两步原位等离子体灰化处理使用包括一种含氢气体的处理气体。在第一灰化步骤中,对在其上放置基底的基底支撑物施加第一低或零偏置电平,而在第二灰化步骤期间施加第二偏置电平。
在对基底施加低或零偏置的第一灰化步骤期间,蚀刻在基底和处理室内表面/壁上的大量光刻胶残余和蚀刻残留物被从处理室蚀刻和去除,同时将对剩余基底层的腐蚀降到最小。在第二灰化步骤期间,施加升高了的偏置并继续灰化处理,直到去除光刻胶残余和蚀刻残留物。
附图说明
参照下面的详细描述,特别是当结合附图思考时,本发明更为完整的评价及其很多附带优点会易于理解,其中:
图1显示了一步灰化处理的截面图示;
图2显示了两步灰化处理的截面图示;
图3示意性显示了灰化处理期间覆盖层的损失;以及
图4示意性显示了电介质侧壁损失。
具体实施方式
在本发明的一个实施方案中,使用两步等离子体灰化处理从处理室中去除光刻胶残余和蚀刻残留物。在对在其上放置基底的基底支撑物施加低或零偏置的第一灰化步骤期间,从处理室中蚀刻并去除来自前面蚀刻处理的大量光刻胶残余和蚀刻残留物,同时对剩余基底层的腐蚀最小。在第二灰化步骤期间,对基底支撑物施加升高了的偏置并继续灰化处理,直到去除光刻胶和/或硬掩膜残余,以及灰化后残留物。
本发明的两步原位灰化处理通过满足下列情况的至少之一能够减轻上述的很多不足:1)将覆盖层消耗减到最小;2)将特征顶部的电介质小平面/腐蚀减到最小,并减少后蚀刻/临界尺寸(CD)偏置;3)将灰化后残留物减到最少;4)将原位灰化期间对低k值介电膜的损害(“k”值减小)减到最小;以及5)提供自动的处理室干式清洁,从而增加处理室清洁的平均间隔时间。
图2显示了两步灰化处理的截面图示。在两步含氢灰化处理的第一灰化步骤120期间,蚀刻晶片上的光刻胶106以及处理室壁和晶片上沉积的氟碳聚合物112。因为施加了零或低偏置,所以对介电层104的腐蚀和对覆盖层102的消耗减到最小。在第一灰化步骤120期间,干式清洁处理室以去除任何聚合物残留物。第一灰化步骤120的持续时间可以以时间为基准,且可留下少量的灰化后残留物110,而且可能会在基底上留下少量光刻胶106。
在第二灰化步骤130期间施加了偏置,而且执行灰化的时间要足以去除任何灰化后残留物110和任何剩余的光刻胶106。
两步原位灰化处理的处理参数空间例如可以使用20-1000mTorr的处理室压力、20-1000sccm的处理气体流率、在第一灰化步骤中小于大约100W的RF偏置和在第二灰化步骤中大于大约100W的RF偏置。虽然公开了使用的RF偏置,但是也可以使用DC偏置或可以使用DC偏置来代替RF偏置。另外,在灰化处理期间处理室压力可以变化。例如,从第一步骤到第二步骤处理室压力可以变化。此外,灰化处理期间可以改变处理气体的成分。例如,从第一步骤到第二步骤处理气体(以及处理气体中不同气体的流量比)可以变化。可以单独使用如H2或NH3等处理气体,但也可以与其它气体(例如He和Ar等惰性气体,以及N2)一起使用。
作为选择,在两步处理期间,为了增加处理量,可以在已经从处理室中去除大体上所有(不是所有)聚合物的时候施加偏置,但是代价是再次引入了传统一步处理的一些效应。
此外,虽然上面描述的是只使用单个偏置,但替代地可使用变化的偏置。例如,可在第二步骤之前、之中和之后将偏置(连续或步进式(例如10W的增量))从0W增加到大约100W。
如上所述,第一步骤的持续时间应该长到足以完成从处理室壁和晶片表面去除氟碳化合物材料。例如,第一步骤的持续时间可以在从20秒到50秒的范围内变化。同样,第二步骤的持续时间应该长到足以去除任何灰化后残留物和任何剩余的光刻胶。例如,第二步骤的持续时间可以在从20秒到50秒的范围内变化。
作为选择,在图2的两步灰化处理中,第一步骤120和第二步骤130的结束可以使用终点检测来确定。终点检测的一种可能方法是监控来自等离子体区域的发射光光谱的一部分。例如,指示这种去除的光谱的一部分的波长是482.5nm(CO)、775.5nm(F)和440nm(SiF4),并且可以使用光学摄谱仪(OES)来测量。在与那些频率对应的发射能级超过指定阀值(例如下降到大致为零或升高到超过特定能级)之后,认为要完成第一步骤。也可以使用其它提供终点信息的波长。
在第一步骤结束之后,第二步骤优选使用50-200%的过灰化(overash)来去除任何剩余的灰化后残留物(PAR)。即,如果第一步骤在50秒中完成,则第二步骤对于50%过灰化是25秒,对于100%过灰化是50秒。通过对过灰化到不同程度的一系列基底进行分析,能够通过试验确定实际过灰化量。
在灰化处理之后可以对基底进行评价,例如通过测量覆盖层损失、电介质侧壁损失和特征顶部的电介质小平面/腐蚀来进行。通过直接试验和/或试验设计(DOE)可以确定使希望的灰化能够实现,同时将上述损失和腐蚀降到最小的处理条件。
在第一个例子中,图3示意性显示了灰化处理期间覆盖层的损失。在灰化处理之后,覆盖层损失120在扫描电子显微镜(SEM)图像中测量为覆盖层102变薄。通过直接试验和/或试验设计(DOE)可以确定使希望的灰化能够实现,同时将覆盖层损失120降到最小的处理条件。
在第二个例子中,图4示意性显示了电介质侧壁损失。例如,图4的电介质侧壁损失可以测量为电介质层104在向HF溶液暴露之后在顶部130和中部132的电介质去除。图4中的结构还可以包括SiN层106和SiC层102。为了测量侧壁损失,等离子体灰化后的基底可以向0.5%的HF溶液暴露大约5秒到30秒的时间。随后可以使用基底的SEM分析来评价侧壁损失。
在共同待审的申请“去除光刻胶和蚀刻残留物的方法”中完全描述了使用终点检测来确定何时已经从处理室去除所有(或大体上所有)聚合物(以及可能的光刻胶)的例子;以及通过测量覆盖层损失和侧壁损失来评价灰化后的基底的例子,这里引用其内容作为参考。
根据上面的教导,能够对本发明进行很多修改和变化。因此要理解,在附后权利要求的范围内,可以利用不同于这里的特定描述来实施本发明。

Claims (42)

1.一种原位灰化方法,包括:
引入包含有含氢气体的处理气体;
在等离子体处理室中产生等离子体;
使基底向等离子体暴露,该基底放置在基底支撑物的顶部;
通过对基底支撑物施加第一偏置功率来执行第一灰化步骤;以及
通过对基底支撑物施加第二偏置功率来执行第二灰化步骤,该第二偏置功率大于该第一偏置功率。
2.根据权利要求1的方法,其中,含氢气体包括H2和NH3中的至少一种。
3.根据权利要求1的方法,其中含氢气体包括H2
4.根据权利要求1的方法,其中处理气体还包括惰性气体。
5.根据权利要求4的方法,其中惰性气体包括He、Ar和N2中的至少一种。
6.根据权利要求1的方法,其中处理气体还包括N2
7.根据权利要求1的方法,其中处理气体包括H2,以及N2和一种惰性气体中的至少一种。
8.根据权利要求1的方法,其中第一偏置功率小于100W,第二偏置功率大于100W。
9.根据权利要求1的方法,其中第一偏置功率小于50W。
10.根据权利要求1的方法,其中第一偏置功率等于零。
11.根据权利要求1的方法,其中第二偏置功率大于120W。
12.根据权利要求1的方法,其中,第二灰化步骤还包括在第二灰化步骤中使用与第一灰化步骤中的处理室压力和处理气体流率不同的处理室压力和处理气体流率中至少之一。
13.根据权利要求1的方法,其中第一灰化步骤还包括:
检测从等离子体发射的光;以及
根据发射的光确定第一灰化步骤的状态。
14.根据权利要求13的方法,其中,对发射的光的检测确定第一灰化步骤的终点。
15.根据权利要求13的方法,其中,还包括监视表示关于第一灰化步骤状态的信息的发射的光的一部分。
16.根据权利要求13的方法,其中发射的光来源于含氧物质和含氟物质中的至少一种。
17.根据权利要求16的方法,其中含氟物质是氟。
18.根据权利要求1的方法,其中第二灰化步骤还包括:
检测等离子体发射的光;以及
根据发射的光确定第二灰化步骤的状态。
19.根据权利要求18的方法,还包括监视表示关于第二灰化步骤状态的信息的发射的光的一部分。
20.根据权利要求19的方法,其中,发射的光来源于含氧物质和含氟物质中的至少一种。
21.根据权利要求20的方法,其中含氟物质是氟。
22.根据权利要求1的方法,还包括:
检测等离子体发射的光;以及
根据发射的光确定第一和第二灰化步骤的状态。
23.根据权利要求22的方法,还包括监视表示关于第一和第二灰化步骤状态的信息的发射的光的一部分。
24.根据权利要求22的方法,其中,发射的光来源于含氧物质和含氟物质中的至少一种。
25.根据权利要求24的方法,其中含氟物质是氟。
26.根据权利要求1的方法,其中,第二灰化步骤的持续时间在第一灰化步骤持续时间的50%和200%之间。
27.根据权利要求1的方法,其中,处理气体的流率在20sccm和1000sccm之间。
28.根据权利要求1的方法,其中,含氢气体的流率在20sccm和1000sccm之间。
29.根据权利要求4的方法,其中,处理气体的流率在20sccm和1000sccm之间。
30.根据权利要求6的方法,其中,处理气体的流率在20sccm和1000sccm之间。
31.根据权利要求1的方法,其中,第一灰化步骤中处理气体的流率在20sccm和1000sccm之间。
32.根据权利要求1的方法,其中,第二灰化步骤中处理气体的流率在20sccm和1000sccm之间。
33.根据权利要求1的方法,其中,第一灰化步骤中处理气体的流率与第二灰化步骤中处理气体的流率不同。
34.根据权利要求1的方法,其中,处理室中的压力在20毫托和1000毫托之间。
35.根据权利要求1的方法,其中,第一灰化步骤中处理室中的压力在20毫托和1000毫托之间。
36.根据权利要求1的方法,其中,第二灰化步骤中处理室中的压力在20毫托和1000毫托之间。
37.根据权利要求1的方法,其中,第一灰化步骤中处理室中的压力与第二灰化步骤中处理室中的压力不同。
38.根据权利要求1的方法,其中,执行第一和第二灰化步骤而不把蚀刻气体引入到等离子体处理室中。
39.根据权利要求1的方法,其中处理气体包括H2,NH3或H2和NH3的组合,或这些气体中的任意气体与惰性气体的组合。
40.一种原位处理方法,包括:
引入包含含氢气体的处理气体;
在等离子体处理室中产生等离子体;
使基底向等离子体暴露,该基底放置在基底支撑物顶部;
通过对基底支撑物施加第一偏置功率来执行清洁步骤;以及
通过对基底支撑物施加第二偏置功率来执行灰化步骤,该第二偏置功率大于该第一偏置功率。
41.根据权利要求38的方法,其中执行清洁步骤和灰化步骤而不把蚀刻气体引入到等离子体处理室中。
42.根据权利要求38的方法,其中处理气体包括H2,NH3或H2和NH3的组合,或这些气体中的任意气体与惰性气体的组合。
CNB038112418A 2002-04-16 2003-01-17 去除光刻胶和蚀刻残留物的方法 Expired - Fee Related CN100388429C (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US37244602P 2002-04-16 2002-04-16
US60/372,446 2002-04-16
US10/259,381 2002-09-30
US10/259,381 US6849559B2 (en) 2002-04-16 2002-09-30 Method for removing photoresist and etch residues

Publications (2)

Publication Number Publication Date
CN1653593A CN1653593A (zh) 2005-08-10
CN100388429C true CN100388429C (zh) 2008-05-14

Family

ID=28794182

Family Applications (1)

Application Number Title Priority Date Filing Date
CNB038112418A Expired - Fee Related CN100388429C (zh) 2002-04-16 2003-01-17 去除光刻胶和蚀刻残留物的方法

Country Status (7)

Country Link
US (1) US6849559B2 (zh)
JP (1) JP4422493B2 (zh)
KR (1) KR100702290B1 (zh)
CN (1) CN100388429C (zh)
AU (1) AU2003262407A1 (zh)
TW (1) TWI248127B (zh)
WO (1) WO2003090267A1 (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102543730A (zh) * 2010-12-10 2012-07-04 富士通株式会社 半导体器件的制造方法

Families Citing this family (28)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6599829B2 (en) * 1998-11-25 2003-07-29 Texas Instruments Incorporated Method for photoresist strip, sidewall polymer removal and passivation for aluminum metallization
US7169440B2 (en) * 2002-04-16 2007-01-30 Tokyo Electron Limited Method for removing photoresist and etch residues
US7098589B2 (en) 2003-04-15 2006-08-29 Luminus Devices, Inc. Light emitting devices with high light collimation
US8580076B2 (en) * 2003-05-22 2013-11-12 Lam Research Corporation Plasma apparatus, gas distribution assembly for a plasma apparatus and processes therewith
JP4558296B2 (ja) * 2003-09-25 2010-10-06 東京エレクトロン株式会社 プラズマアッシング方法
JP4749683B2 (ja) * 2004-06-08 2011-08-17 東京エレクトロン株式会社 エッチング方法
US7700494B2 (en) * 2004-12-30 2010-04-20 Tokyo Electron Limited, Inc. Low-pressure removal of photoresist and etch residue
KR100666047B1 (ko) * 2005-01-03 2007-01-10 삼성전자주식회사 휴대용 무선단말기의 블루투스용 방사체를 갖는 내장형안테나 모듈
US7344993B2 (en) * 2005-01-11 2008-03-18 Tokyo Electron Limited, Inc. Low-pressure removal of photoresist and etch residue
US20060196846A1 (en) * 2005-03-01 2006-09-07 Tokyo Electron Limited Plasma processing method and apparatus, and method for measuring a density of fluorine in plasma
US7288488B2 (en) * 2005-05-10 2007-10-30 Lam Research Corporation Method for resist strip in presence of regular low k and/or porous low k dielectric materials
US7279427B2 (en) * 2005-08-03 2007-10-09 Tokyo Electron, Ltd. Damage-free ashing process and system for post low-k etch
KR100711929B1 (ko) * 2005-11-02 2007-04-27 동부일렉트로닉스 주식회사 반도체 소자의 듀얼 다마신 배선 형성 방법
CN100514562C (zh) * 2006-09-18 2009-07-15 中国科学院半导体研究所 用于MEMS器件的大面积3C-SiC薄膜的制备方法
CN101211125B (zh) * 2006-12-25 2010-08-11 中芯国际集成电路制造(上海)有限公司 光刻胶的去除方法
CN101256976B (zh) * 2007-03-01 2010-09-01 联华电子股份有限公司 避免气泡缺陷的浅沟绝缘结构工艺及浅沟底部表面的处理
US7807579B2 (en) * 2007-04-19 2010-10-05 Applied Materials, Inc. Hydrogen ashing enhanced with water vapor and diluent gas
CN101308764B (zh) * 2007-05-15 2011-03-23 中芯国际集成电路制造(上海)有限公司 消除蚀刻工序残留聚合物的方法
JP2009049383A (ja) 2007-07-26 2009-03-05 Panasonic Corp 半導体装置の製造方法および半導体製造装置
US20120024314A1 (en) * 2010-07-27 2012-02-02 Axcelis Technologies, Inc. Plasma mediated ashing processes
CN102314099B (zh) * 2010-07-08 2013-07-31 中芯国际集成电路制造(上海)有限公司 去除晶片上的光刻胶层的方法
US9129778B2 (en) 2011-03-18 2015-09-08 Lam Research Corporation Fluid distribution members and/or assemblies
JP5848626B2 (ja) * 2012-02-03 2016-01-27 株式会社日立国際電気 半導体装置の製造方法及び基板処理装置
WO2014014907A1 (en) * 2012-07-16 2014-01-23 Mattson Technology, Inc. Method for high aspect ratio photoresist removal in pure reducing plasma
CN104183533A (zh) * 2013-05-21 2014-12-03 中芯国际集成电路制造(上海)有限公司 一种制作半导体器件的方法
CN104701242B (zh) * 2013-12-05 2018-10-16 中芯国际集成电路制造(上海)有限公司 接触孔的刻蚀方法
CN108010839B (zh) * 2017-12-06 2021-08-06 信利(惠州)智能显示有限公司 薄膜晶体管与薄膜晶体管的制作方法及膜层刻蚀工艺
CN114823297B (zh) * 2022-04-19 2023-01-31 度亘激光技术(苏州)有限公司 光刻胶去除工艺及半导体制造工艺

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1233853A (zh) * 1998-04-30 1999-11-03 日本电气株式会社 形成多级互连结构的方法
US6380096B2 (en) * 1998-07-09 2002-04-30 Applied Materials, Inc. In-situ integrated oxide etch process particularly useful for copper dual damascene
US6406836B1 (en) * 1999-03-22 2002-06-18 Axcelis Technologies, Inc. Method of stripping photoresist using re-coating material
US6426304B1 (en) * 2000-06-30 2002-07-30 Lam Research Corporation Post etch photoresist strip with hydrogen for organosilicate glass low-κ etch applications
US6440864B1 (en) * 2000-06-30 2002-08-27 Applied Materials Inc. Substrate cleaning process

Family Cites Families (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3122618B2 (ja) * 1996-08-23 2001-01-09 東京エレクトロン株式会社 プラズマ処理装置
US6379576B2 (en) * 1997-11-17 2002-04-30 Mattson Technology, Inc. Systems and methods for variable mode plasma enhanced processing of semiconductor wafers
US6168726B1 (en) * 1998-11-25 2001-01-02 Applied Materials, Inc. Etching an oxidized organo-silane film
TW512448B (en) * 1999-05-11 2002-12-01 Applied Materials Inc Sequential sputter and reactive precleans of vias and contacts
US6492222B1 (en) 1999-12-22 2002-12-10 Texas Instruments Incorporated Method of dry etching PZT capacitor stack to form high-density ferroelectric memory devices
US6489030B1 (en) * 2000-04-14 2002-12-03 Honeywell International, Inc. Low dielectric constant films used as copper diffusion barrier
US6562700B1 (en) * 2001-05-31 2003-05-13 Lsi Logic Corporation Process for removal of resist mask over low k carbon-doped silicon oxide dielectric material of an integrated circuit structure, and removal of residues from via etch and resist mask removal
US6573175B1 (en) * 2001-11-30 2003-06-03 Micron Technology, Inc. Dry low k film application for interlevel dielectric and method of cleaning etched features

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1233853A (zh) * 1998-04-30 1999-11-03 日本电气株式会社 形成多级互连结构的方法
US6380096B2 (en) * 1998-07-09 2002-04-30 Applied Materials, Inc. In-situ integrated oxide etch process particularly useful for copper dual damascene
US6406836B1 (en) * 1999-03-22 2002-06-18 Axcelis Technologies, Inc. Method of stripping photoresist using re-coating material
US6426304B1 (en) * 2000-06-30 2002-07-30 Lam Research Corporation Post etch photoresist strip with hydrogen for organosilicate glass low-κ etch applications
US6440864B1 (en) * 2000-06-30 2002-08-27 Applied Materials Inc. Substrate cleaning process

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102543730A (zh) * 2010-12-10 2012-07-04 富士通株式会社 半导体器件的制造方法
CN102543730B (zh) * 2010-12-10 2016-08-31 富士通株式会社 半导体器件的制造方法

Also Published As

Publication number Publication date
CN1653593A (zh) 2005-08-10
TWI248127B (en) 2006-01-21
US20030194876A1 (en) 2003-10-16
US6849559B2 (en) 2005-02-01
KR20050000386A (ko) 2005-01-03
AU2003262407A1 (en) 2003-11-03
KR100702290B1 (ko) 2007-03-30
JP2005523585A (ja) 2005-08-04
JP4422493B2 (ja) 2010-02-24
WO2003090267A1 (en) 2003-10-30
TW200402791A (en) 2004-02-16

Similar Documents

Publication Publication Date Title
CN100388429C (zh) 去除光刻胶和蚀刻残留物的方法
US7169440B2 (en) Method for removing photoresist and etch residues
US7344993B2 (en) Low-pressure removal of photoresist and etch residue
JP5414179B2 (ja) フォトレジスト及びエッチング残余物の低圧除去
KR100881045B1 (ko) 챔버 내 잔여물의 2단계 플라즈마 세정
US6274500B1 (en) Single wafer in-situ dry clean and seasoning for plasma etching process
KR100848522B1 (ko) 실리콘 에칭 및 챔버 세정 프로세스의 일체화
KR20030031152A (ko) 집적된 얕은 트렌치 분리 접근법
US20080293249A1 (en) In-situ photoresist strip during plasma etching of active hard mask
JP2005109492A (ja) 処理室の清掃方法
CN100521109C (zh) 一种低介电常数电介质的金属单镶嵌结构制作方法
US20020074014A1 (en) Method for cleaning a metal etching chamber
Lercel et al. Etching processes and characteristics for the fabrication of refractory x-ray masks
Hussein et al. Particle control in dielectric etch chamber
Gorowitz et al. Methods of Metal Patterning and Etching
KR20010004243A (ko) 반도체 제조 장비의 플라즈마 챔버 클리닝 방법
VOSHCHENKOV Fundamentals of plasma etching for silicon technology (Part 1)
KR20050069620A (ko) 금속층 식각 시 실리콘 산화물 계열의 반사 방지층을식각하는 방법

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20080514

Termination date: 20160117

EXPY Termination of patent right or utility model