CN100426469C - 用于有机硅化物玻璃的一氧化二氮去除光刻胶的方法 - Google Patents

用于有机硅化物玻璃的一氧化二氮去除光刻胶的方法 Download PDF

Info

Publication number
CN100426469C
CN100426469C CNB2004800291641A CN200480029164A CN100426469C CN 100426469 C CN100426469 C CN 100426469C CN B2004800291641 A CNB2004800291641 A CN B2004800291641A CN 200480029164 A CN200480029164 A CN 200480029164A CN 100426469 C CN100426469 C CN 100426469C
Authority
CN
China
Prior art keywords
photoresist
layer
organic
etching
nitrous oxide
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CNB2004800291641A
Other languages
English (en)
Other versions
CN1864249A (zh
Inventor
拉奥·安纳普勒格德
朱海伦
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of CN1864249A publication Critical patent/CN1864249A/zh
Application granted granted Critical
Publication of CN100426469C publication Critical patent/CN100426469C/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • H01L21/31138Etching organic layers by chemical means by dry-etching
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/42Stripping or agents therefor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76808Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving intermediate temporary filling with material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76811Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving multiple stacked pre-patterned masks

Abstract

本发明公开了一种从具有光刻胶材料和有机硅化物玻璃(OSG)材料的集成电路(IC)结构上去除光刻胶的方法,该方法包括将一氧化二氮(N2O)气体通入反应器,在该反应器中产生等离子体并去除光刻胶,该去除过程在光刻胶和有机硅化物玻璃(OSG)材料之间提供高选择性。

Description

用于有机硅化物玻璃的一氧化二氮去除光刻胶的方法
技术领域
本发明涉及光刻胶的去除,特别涉及从具有有机硅化物玻璃(Organosilicate Glass,OSG)材料的集成电路(Integrated Circuit,IC)结构上去除光刻胶。
背景技术
在半导体集成电路制造中,如晶体管元件等器件是在通常由硅制成的半导体晶片衬底上形成。在制程中,各种材料淀积在不同的层上以制成所需的集成电路。通常导电层包括图案化的金属线、多晶硅晶体管栅极等,其间用电介质材料互相绝缘。该电介质材料由二氧化硅(SiO2)制成,使半导体结构的不同层上的导线绝缘。随着半导体电路变得越来越快和越来越紧凑,工作频率不断提高和半导体器件中的导线间的距离不断缩短。这使得电路的耦合电容水平不断升高,其具有减慢半导体器件的工作速度的缺点。所以很有必要使用电介质层有效隔离导线,防止耦合电容变大。
一般来讲,集成电路中的耦合电容与形成电介质层的材料的介电常数k成正比。如上所述,已有技术的集成电路中的电介质层由二氧化硅形成,其介电常数约为4.0。由于半导体器件中不断提高的线密度和工作频率,由二氧化硅形成的电介质层不能如希望的那样有效绝缘导线以避免耦合电容变大。
一种作为低介电常数(k)电介质的特别材料是有机硅化物玻璃。有机硅化物玻璃是一种可以用旋涂法(Spi-on)或化学气相淀积(ChemicalVapor Deposition,CVD)方法淀积的低k材料。通常的有机硅化物玻璃的k值在2.6到2.8之间。多孔有机硅化物玻璃(Porous Organosilicate Glass,pOSG)也可被用作低介电常数(k)材料应用。通常用旋涂法来使用多孔有机硅化物玻璃类的多孔材料,通过对溶剂的挥发进行控制以达到所需多孔结构。
通常低介电常数材料应用于采用铜双镶嵌工艺的集成电路制造中。双镶嵌结构运用刻蚀工艺为线路制作沟槽和为通孔制作孔。然后对通孔和沟槽进行金属化以形成互相连接的线路。两个公知的双镶嵌方案分别称为先刻蚀通孔的工艺和先刻蚀沟槽的工艺。
在现有技术的方法中,使用已知的混合气体,比如氧气(O2)、氮氧混合气(N2/O2)、氮氢混合气(N2/H2)、或氨气(NH3)来去除或剥离有机光刻胶。这些气体或混合气体中的每一种都不与具有有机硅化物玻璃电介质的IC结构发生反应。比如,氧气(O2)提高有机硅化物玻璃电介质的k值。氮氧混合气(N2/O2)的刻蚀率相对较低并具有在有机硅化物玻璃上刻面的相对较高的能量要求。而且氨气(NH3)可导致颗粒产生。因此,这些公知的气体或混合气体在将光刻胶从具有有机硅化物玻璃材料的集成电路(IC)结构上去除时,具有相应的限制。
发明内容
本发明公开了一种从具有光刻胶材料和有机硅化物玻璃材料的集成电路结构上去除光刻胶的方法。该方法包括将一氧化二氮(N2O)气体混合物通入反应器,在反应器中产生等离子体去除光刻胶。该去除工艺在光刻胶和有机硅化物玻璃材料之间提供很高的选择性。在实施例中,光刻胶是一种有机光刻胶。本方法允许光刻胶的去除在用于刻蚀其它相似的有机材料和有机硅化物玻璃材料的同一反应器中进行。该光刻胶的去除过程是双镶嵌工艺中进行的多个步骤中的一个。
在该实施例中,应用去除光刻胶工艺的集成电路结构包括第一光刻胶层、第二中间层和第三有机硅化物玻璃层。在该实施例中,本方法将一氧化二氮(N2O)气体通入反应器,然后产生等离子体使光刻胶从集成电路结构上去除。该方法在第一光刻胶层和第二中间层之间具有很高的选择性,另外,在第一光刻胶层和第三有机硅化物玻璃层之间也具有很高的选择性。
在先刻蚀通孔的程序中使用本方法时,在集成电路结构上刻蚀通孔,其中第二中间层是保护层。该实施例中的保护层被设置以在光刻胶层的再加工中起到保护有机硅化物玻璃层的作用。该实施例中的保护层只是示例作用而非限制性的,该保护层是含有硅和氧的材料,比如二氧化硅(SiO2)或氮氧化硅(SiON)。该实施例中的先刻蚀通孔法包括在IC结构上刻蚀出通孔,然后用抗反射涂层(Antireflective Coating,ARC)或底层抗反射涂层(Bottom Antireflective Coating,BARC)填充集成电路结构的通孔。然后用一氧化二氮(N2O)混合气体回蚀(Etch Back)抗反射涂层(ARC)或底层抗反射涂层(BARC),结果在通孔中产生具有需要的高度的有机插塞,于是在该实施例的集成电路结构中,有机插塞占据了第三有机硅化物玻璃层的一部分。本方法然后在第二保护层和第三有机硅化物玻璃层中刻蚀沟槽。然后向反应器通入一氧化二氮(N2O)混合气体,产生等离子体去除光刻胶和有机插塞。
本发明也适用于先刻蚀沟槽的工艺,其中的第二中间层是硬掩模层。实施例中的硬掩模层只是示例作用而非限制性的,该硬掩模层可以由如氮化硅(Si3N4)、氮化钽(TaN)、氮化钛(TiN)和碳化硅(SiC)材料构成。按照第一光刻胶层的沟槽图案,在第二硬掩模层中刻蚀沟槽。然后用一氧化二氮(N2O)混合气体去除第一光刻胶层。应用另一用于通孔刻蚀的图案化的第一光刻胶层。再刻蚀通孔到第二硬掩模层和第三有机硅化物玻璃层中。然后用抗反射涂层(ARC)或底层抗反射涂层(BARC)填充集成电路结构的通孔。根据先刻蚀通孔的程序,用一氧化二氮(N2O)混合气体回蚀抗反射涂层(ARC)或底层抗反射涂层(BARC),结果在通孔中产生具有需要的高度的有机插塞。在第二硬掩模层中应用前面刻蚀的沟槽图案来刻蚀出第三有机硅化物玻璃层中的沟槽。然后采用一氧化二氮(N2O)混合气体去除有机插塞。
附图说明
本发明的实施例显示在附图中,其中:
图1是能够从具有光刻胶层和有机硅化物玻璃(OSG)层的集成电路(IC)结构上去除光刻胶的设备示意图。
图2是在先刻蚀通孔的双镶嵌工艺中去除光刻胶的流程图。
图3A到图3H是图2流程图中通孔刻蚀和光刻胶去除过程的等尺寸图。
图4是在先刻蚀沟槽的双镶嵌工艺中去除光刻胶的流程图。
图5A到图5J是图4流程图中通孔刻蚀和光刻胶去除过程的等尺寸图。
具体实施方式
以下参照附图和用实施例的方式来对本发明进行详细描述。这些实施例足够详细,以使本领域的技术人员可以依此实施本发明,而且必须了解实施例也可能有其它的形式,而且在不背离本发明权利要求的构思和范围下可以进行结构上、逻辑上和电气上的变化。因此,下面的具体实施方式并不是限制性的。请注意附图中的附图标记的第一位数字指附图的序号,除此在多个附图中相同的部件用相同的附图标记来表示。
图1是能够从具有有机硅化物玻璃层的集成电路结构上去除光刻胶的系统,该系统也能对硬掩模进行刻蚀以及对电介质进行刻蚀。该系统是平行板等离子系统100,比如加州Fremont的Lam Research Corporation(Lam)出品的200mm EXELAN HPT系统。另外,也可以使用Lam的EXELAN2300系列。该系统100包括反应腔,该反应腔具有内室102,通过一台连接到反应腔壁上出口的真空泵104,保持内室102所需的真空压力。可以通过气体源106供应气体来向等离子反应器提供刻蚀气体。通过双频率设置可以在反应器内产生中等密度的等离子体,其中通过匹配网络110向电极112提供来自射频(RF)电源108的射频(FR)能量。射频(RF)电源108能以27MHz和2MHz的频率提供射频(FR)能量。电极114是接地电极。通电的电极112支持衬底116,将气体激发到等离子态,用等离子体对衬底116进行刻蚀和/或去除光刻胶。也可以使用其它的电容耦合的反应器,如其中向两个电极输送RF能量,比如美国专利No.6,090,304所述的双频等离子刻蚀反应器,在此通过参考结合进本发明。
在其它类型的等离子反应器中,比如诱导耦合等离子反应器,电子回旋加速器谐振(ECR)等离子反应器,螺旋等离子反应器或其它类似的设备中,也可以产生等离子体。该等离子反应器一般具有用RF能、微波能、磁能等的能源来产生中密度到高密度的等离子体。比如,Lam ResearchCorporation出产的Transformer Coupled Plasma刻蚀反应器,其可以产生高密度的等离子体,该反应器也称为诱导耦合反应器。
图2是在先刻蚀通孔的双镶嵌工艺中去除光刻胶的流程图。其中的集成电路(IC)结构包括第一有机光刻胶层,第二中间层,第三有机硅化物玻璃(OSG)层,第四阻挡层。举例来讲,光刻胶层是Shipley Company出品的193nm光刻胶或248nm光刻胶之类的有机光刻胶。第二中间层是保护层,该保护层由保护材料构成,可以是二氧化硅(SiO2),氮氧化硅(SiON),以及其它含有硅和氧的材料。保护层可以在光刻胶层的再加工中起到保护有机硅化物玻璃(OSG)层的作用。第三层是有机硅化物玻璃(OSG)层,其材料可以是加州San Jose的Novellus Systems出品的CORALTM,加州Santa Clara的Applied Materials出品的BLACKDIAMOND,或者任何其它有机硅化物玻璃(OSG)物质。另外,本领域的技术人员优选多孔有机硅化物玻璃(pOSG)物质作为有机硅化物玻璃(OSG)材料。作为实施例而非限制,这些多孔有机硅化物玻璃(pOSG)的中空的体积大于30%。第四阻障层由氮化硅(Si3N4)、碳化硅(SiC)或任何其它类似的硅基材料构成。阻障层可防止铜扩散。
图2中的流程图描述了先刻蚀通孔工艺的方法200,其中在集成电路(IC)结构上刻蚀通孔,而第二中间层是保护层。该方法从步骤202开始,在这一步骤中,IC结构被置于反应器100内。该IC结构包括有通孔图案的光刻胶层,本领域的技术人员了解通孔或沟槽的产生意味着在第一光刻胶层完成了光刻过程。正如现有技术中已知,光刻中采用光敏感的光刻胶,其被烘烤和在受控的光源下曝光。光通过掩模,该掩模转移所要的图案。
在步骤204中,刻蚀通孔到第二保护层中和第三OSG层中。该通孔被一直刻蚀到阻障层上停止。在步骤206中,向反应室中通N2O产生等离子体。在一个实施例中,该工艺的参数为:操作压力10~1000mTorr,RF功率范围在0到1000W之间,N2O的流动速率是50~2000sccm。在进一步的实施例中,RF电源在27MHz和2MHz或更多的频率提供RF功率,工艺的参数为:操作压力50~600mTorr,27MHz RF功率为100~600W,2MHz RF功率为50~600W,N2O的流动速率是200~1500sccm。在更进一步的实施例中,用于200mm晶片或衬底,工艺的参数为:操作压力100~350mTorr,27MHz RF功率为100~200W,2MHz RF功率为100~200W,N2O的流动速率是300~900sccm。另外,在上述各实施例中可以使用惰性气体作为稀释剂,惰性气体包括氩气、氦气、氖气、氪气、氙气之类的惰性气体。在去除光刻胶过程中用惰性气体控制均匀性。
表1显示了将N2O加入系统100的多种不同的工艺参数。
表1用N2O去除光刻胶的工艺参数
Figure C20048002916400101
在表1中,不同序号中用到不同的工艺参数。所有的工艺是在20℃,200mm晶片上进行的。每轮工艺中,压力、能量和N2O流动速率都有变化。最终的光刻胶去除速度在表1最右边显示。
本领域的技术人员都明白,表1中混合气体的最佳流动速率和比例会随着等离子刻蚀反应腔的类型,衬底尺寸以及其它公知变量的变化而变化。另外,本领域的技术人员都明白,在实施本发明时,对温度、能量水平和气压的选择可以大范围变动,在此只是通过实施例的方式给出详细说明,而并不是作为对本发明的限制。
在步骤208中,等离子体从IC结构上去除光刻胶并产生挥发性的副产品,比如二氧化碳(CO2)。为了去除光刻胶,人们通常希望不损坏OSG层就能获得更高的光刻胶去除速度。该方法在第一光刻胶层和第二保护层之间有很高的选择性。另外,在第一光刻胶层和第三OSG层之间也有很高的选择性。
本发明的方法然后制作通孔的有机插塞。该有机插塞用于防止通孔刻面,以及在刻蚀沟槽的过程中保护阻挡层。在步骤210中,采用有机旋涂技术用有机材料制成有机插塞。有机材料是抗反射涂层(ARC)或底层抗反射涂层(BARC)。有机的ARC和/或BARC材料设计为吸收光。
在步骤212中,按照上述的工艺参数,向反应器100中通入N2O。在步骤214中,激发N2O气体,并对BARC或有机材料进行回蚀以制作所需的“有机插塞”。有机插塞的高度和所需的沟槽等高,或者超过所需的沟槽高度。插塞必须足够高以防止栅栏(fence)形成和通孔刻面。和本发明一起提交的题为“用一氧化二氮的回蚀方法”的专利申请更详细描述了有机插塞的制作方法。
在步骤216中,采用了另一光刻胶层。为了沟槽刻蚀程序该光刻胶图案化。在该方法的步骤218中,在第二保护层和第三OSG层内刻蚀沟槽。在步骤220中,依照上述的工艺参数再通入N2O。在步骤222中,激发N2O气体,并去除有机插塞和光刻胶层。
本领域的技术人员在获悉本发明公开的内容后即可知,上述方法允许在用于刻蚀硬掩模层和OSG层的同一反应器内进行光刻胶的去除。由于无需将晶片转移到另一反应室内进行去除,这大大减少了整个流程的时间。另外,由于晶片或衬底不暴露于周围的湿气,因此在刻蚀中形成的聚合物能够在其后续的去除/清洗过程中更容易去除,而这种聚合物接触周围的水汽时是难以去除的。而且在原位去除的工艺中,降低了进行无晶片条件下的自动清洗以去除由刻蚀过程沉积在室壁上的聚合物的需要。本领域的技术人员还能明白,在本说明书里公开的系统和方法能用于非原位应用。
表2中将N2O去除工艺与其它常用的去除气体或混合气体进行了比较。以实施例的方式而非限制性的,这些气体或混合气体可包括氨气(NH3)、氧气(O2)和氮氢混合气(N2/H2)。在比较气体时,使用了一种傅立叶变换红外(FTIR)分光光度计来检测OSG材料的变化。在该比较实验中使用了空白CORALTM晶片作为OSG材料。在实验中,该CORALTM晶片暴露在不同的气体中以测量Si-C峰与SiO峰的比例变化。该Si-C与SiO峰表示OSG材料的变化。
表2将光刻胶从OSG层上去除所用的各类气体的对比
Figure C20048002916400121
图2显示了N2O导致SiC/SiO比例变化最小,这说明OSG材料受N2O去除影响最小。请注意N2O与NH3的去除速度接近,但是N2O去除的能量需求比NH3去除的能量需求低很多。另外,N2O去除不会像NH3去除那样产生颗粒。进一步如图2所示,N2O是比O2温和得多的氧化剂,因此对OSG材料的氧化较少。因为氧化会提高OSG材料的k值,因此希望OSG材料较少氧化。
图3A到图3H是图2流程图中通孔刻蚀和去除过程的等尺寸图。图3A中的IC结构带有第一有机光刻胶层302,第二中间保护层304,第三OSG层306和第四阻挡层308。如上文所述,IC结构位于反应器100内。图3B显示了在步骤204中经过先刻蚀通孔的IC结构,在第二保护层304和第三OSG层306刻蚀通孔310。
图3C显示了由步骤206通入N2O,以及由步骤208去除光刻胶层302后的IC结构。剩余的IC结构包括其他可见的保护层304和通孔310。
图3D显示了如在步骤210中所述,添加有机材料,如BARC312后的IC结构。图3E显示通孔310内的有机插塞314。如步骤212和214所述,通过通入N2O和回蚀产生有机插塞314。图3F显示了如步骤216所述,添加一层光刻胶层316后的IC结构。为了沟槽的刻蚀光刻胶层316图案化。
图3G显示了根据沟槽刻蚀步骤218刻蚀沟槽318。在第二保护层和第三OSG层刻蚀该沟槽318。有机插塞314防止通孔310的刻面。图3H显示了如步骤220和222所述,用N2O去除光刻胶和有机插塞后的IC结构。
本领域的技术人员在获悉本发明公开的内容后即可知,上述方法200允许该光刻胶的去除过程在用于刻蚀光刻胶和OSG层的同一反应器内进行。这种在相同的反应室中进行去除和刻蚀的优点请见上文所述。另外,本领域的技术人员还能明白,在本说明书里公开的系统和方法能用于非原位操作。
图4是先刻蚀沟槽的双镶嵌工艺中去除光刻胶的流程图。光刻胶层涂于包含OSG层的IC结构。该IC结构包括第一有机光刻胶层,第二中间层,第三OSG层,第四阻障层。如上所述,光刻胶层是有机光刻胶。第二中间层是硬掩模层,该层由硬掩模材料构成,可以是氮化硅(Si3N4)、氮化钽(TaN)、氮化钛(TiN)和碳化硅(SiC)构成。因为IC结构在刻蚀过程中直接暴露于等离子体而没有光刻胶层的保护,所以用硬掩模材料来代替保护层。第三层是OSG层,其材料是CORALTM,BLACKDIAMOND,或者任何其它OSG物质。另外,如上所述,可以将多孔有机硅化物玻璃(pOSG)物质作为OSG材料,第四阻障层由上述氮化硅(Si3N4)、碳化硅(SiC)之类的阻障材料构成。
图4中的流程图描述了在具有中间硬掩模层的结构中先刻蚀沟槽的方法400。该方法从步骤402开始,在这一步骤中,具有沟槽图案化的光刻胶的IC结构置于反应器100内。在步骤404中,中间硬掩模层刻蚀沟槽。
在步骤406中,向反应室中通入N2O产生等离子体。该去除光刻胶工艺的参数与上述的参数相似。等离子体将光刻胶从结构上去除,并产生挥发性的副产品,比如CO2。该方法在第一光刻胶层和第二硬掩模层之间有很高的选择性。另外,在第一光刻胶层和第三OSG层之间有很高的选择性。
在步骤408中,另一层第一光刻胶层涂到IC结构上。该另一光刻胶层图案化用于刻蚀通孔。在步骤410中,通孔刻蚀到该第二硬掩模层和第三OSG层。本领域的技术人员都明白,通孔刻蚀的气体和工艺参数取决于硬掩模材料和OSG材料。
在步骤412中,按照上述的工艺参数,向反应器100中通入N2O。激发N2O气体,形成等离子体,用N2O等离子体去除通孔图案化的光刻胶层。
本方法然后产生该通孔的有机插塞。在步骤414中,通过首先应用BARC或ARC之类的有机材料来产生有机插塞。在步骤416中,用N2O回蚀BARC或ARC来制作如上述的所需的有机插塞。
在步骤418中,使用前面刻蚀的硬掩模层上的沟槽图案在第三OSG层刻蚀沟槽。如上文所述,刻蚀沟槽中涉及的气体和工艺参数取决于IC结构参数,如硬掩模材料和OSG材料。最后,在步骤420中,用N2O等离子体来去除有机插塞。
图5A到图5J是图4流程图中所述的沟槽刻蚀和去除光刻胶过程500的等尺寸图。图5A显示IC结构的等尺寸图,该IC结构具有第一有机光刻胶层502,第二中间硬掩模层504,第三OSG层506和第四阻障层508。第一有机光刻胶层中有图案化到光刻胶层的沟槽510。如上文所述,该IC结构置于反应器100内。图5B显示了在经历步骤404的IC结构,其中在第二硬掩模层504刻蚀该沟槽510。
图5C显示了由步骤406向反应室100通入N2O后的IC结构。N2O转化为等离子体去除光刻胶层502,并在硬掩模层504中刻蚀沟槽。
图5D显示了如步骤408所述,添加另一层光刻胶层512后的IC结构。图5E显示如步骤410所述,通孔514刻蚀到IC结构中。图5F显示了如步骤412所述,经过另一次N2O去除过程以去除光刻胶层512后的IC结构。
如步骤414所示,通过应用一有机材料如ARC516,来制成有机插塞。图5G显示了该应用了ARC516的IC结构。图5H显示了如步骤416所述,用N2O气体对ARC516进行回蚀以制造所需的有机插塞518后的IC结构。在图5I中,如步骤418所述,对OSG层进行所需深度的沟槽刻蚀。最后,图5J显示了具有所需沟槽510和通孔514的IC结构。如步骤420所示,应用N2O等离子体去除有机插塞获得最终的IC结构。
尽管说明书中的描述中有诸多限制条件,但并不意味着这是对权利要求作出限制,而仅仅是本发明的一些优选实施例的示例说明。对于本领域的技术人员而言,在看过本说明书后,其它更多的实施方式也是先而易见的。因此,本发明的范围由所附的权利要求以及与此要求保护的权利相当的全部范围所决定。

Claims (22)

1.一种从集成电路结构上去除光刻胶的方法,所述集成电路结构具有光刻胶材料和有机硅化物玻璃材料以及刻蚀到所述集成电路结构的通孔,所述方法包括:
将一氧化二氮气体通入反应器;
在所述反应器中产生等离子体,去除所述光刻胶;
制作通孔中的有机插塞和用所述一氧化二氮气体去除所述有机插塞;
在所述光刻胶和所述有机硅化物玻璃材料之间提供高选择性。
2.根据权利要求1所述的方法,其特征在于,所述光刻胶是有机光刻胶。
3.根据权利要求2所述的方法,其特征在于,所述光刻胶的所述去除是双镶嵌工艺中进行的多个步骤中的一个。
4.根据权利要求3所述的方法,其特征在于,所述光刻胶的所述去除是在用于刻蚀有机硅化物玻璃材料的同一个反应器中进行。
5.一种从包括第一光刻胶层,第二中间层和第三有机硅化物玻璃层的集成电路结构上去除光刻胶的方法,包括:
将一氧化二氮气体通入反应器;
在所述反应器中产生等离子体;
用所述等离子体去除光刻胶;
在所述第一光刻胶层和所述第二中间层之间提供高选择性;
用所述等离子体去除所述第二中间层;
在所述第一光刻胶层和所述第三有机硅化物玻璃层之间提供高选择性。
6.根据权利要求5所述的方法,其特征在于,所述光刻胶是有机光刻胶。
7.根据权利要求5所述的方法,其特征在于,所述光刻胶的所述去除是在用于刻蚀所述第三有机硅化物玻璃层的同一个反应器中进行。
8.根据权利要求5所述的方法,其特征在于,所述光刻胶的所述去除是双镶嵌工艺中进行的多个步骤中的一个。
9.根据权利要求5所述的方法,其特征在于,所述第二中间层是保护层。
10.根据权利要求9所述的方法,其特征在于,所述保护层选自包括二氧化硅和氧氮化硅的一组材料。
11.根据权利要求5所述的方法,其特征在于,所述第二中间层是硬掩模层。
12.根据权利要求11所述的方法,其特征在于,所述硬掩模层材料选自包括:氮化硅、氮化钽、氮化钛和碳化硅的一组材料。
13.一种具有第一光刻胶层、第二保护层和第三有机硅化物玻璃层的IC结构的先刻蚀通孔方法,包括:
在所述第二保护层和所述第三有机硅化物玻璃层中刻蚀通孔;
用一氧化二氮气体去除所述第一光刻胶层;
在所述通孔中制作有机插塞;
用所述一氧化二氮去除所述有机插塞。
14.根据权利要求13所述的方法,其特征在于,在所述通孔中的有机插塞占据第三有机硅化物玻璃层的一部分。
15.根据权利要求14所述的方法,进一步包括:在所述第二保护层和第三有机硅化物玻璃层刻蚀沟槽以及应用另一个第一光刻胶层。
16.根据权利要求14所述的方法,进一步包括:用所述一氧化二氮气体去除所述另一第一光刻胶层和所述有机插塞。
17.根据权利要求16所述的方法,其特征在于,所述光刻胶是有机光刻胶。
18.根据权利要求17所述的方法,其特征在于,去除所述光刻胶是双镶嵌工艺中进行的多个步骤中的一个。
19.一种具有第一光刻胶层、第二硬掩模层和第三有机硅化物玻璃层的集成电路结构的先刻蚀沟槽的方法,包括:
在所述第二硬掩模层中刻蚀沟槽;
用一氧化二氮气体去除所述第一光刻胶层;
应用另一个第一光刻胶层,用于进行通孔刻蚀;
在所述第二硬掩模层和所述第三有机硅化物玻璃层刻蚀通孔;
用所述一氧化二氮气体去除所述另一个第一光刻胶层;
在所述通孔中制作有机插塞;
用所述一氧化二氮气体去除所述有机插塞。
20.根据权利要求19所述的方法,其特征在于,在所述通孔中的所述有机插塞占据第三有机硅化物玻璃层的一部分。
21.根据权利要求20所述的方法,进一步包括:在所述第三有机硅化物玻璃层刻蚀第二沟槽。
22.根据权利要求20所述的方法,进一步包括:用所述一氧化二氮气体去除所述有机插塞。
CNB2004800291641A 2003-10-08 2004-10-05 用于有机硅化物玻璃的一氧化二氮去除光刻胶的方法 Active CN100426469C (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/680,895 US7202177B2 (en) 2003-10-08 2003-10-08 Nitrous oxide stripping process for organosilicate glass
US10/680,895 2003-10-08

Publications (2)

Publication Number Publication Date
CN1864249A CN1864249A (zh) 2006-11-15
CN100426469C true CN100426469C (zh) 2008-10-15

Family

ID=34422202

Family Applications (1)

Application Number Title Priority Date Filing Date
CNB2004800291641A Active CN100426469C (zh) 2003-10-08 2004-10-05 用于有机硅化物玻璃的一氧化二氮去除光刻胶的方法

Country Status (7)

Country Link
US (1) US7202177B2 (zh)
EP (1) EP1671363A4 (zh)
JP (1) JP2007508698A (zh)
KR (1) KR101197070B1 (zh)
CN (1) CN100426469C (zh)
TW (1) TW200523689A (zh)
WO (1) WO2005038892A1 (zh)

Families Citing this family (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050136681A1 (en) * 2003-12-23 2005-06-23 Tokyo Electron Limited Method and apparatus for removing photoresist from a substrate
KR100666881B1 (ko) * 2005-06-10 2007-01-10 삼성전자주식회사 포토레지스트 제거 방법 및 이를 이용한 반도체 소자의제조 방법.
JP5005702B2 (ja) * 2005-11-17 2012-08-22 エヌエックスピー ビー ヴィ 湿度センサー
US7932181B2 (en) * 2006-06-20 2011-04-26 Lam Research Corporation Edge gas injection for critical dimension uniformity improvement
US8283255B2 (en) 2007-05-24 2012-10-09 Lam Research Corporation In-situ photoresist strip during plasma etching of active hard mask
US20110226280A1 (en) * 2008-11-21 2011-09-22 Axcelis Technologies, Inc. Plasma mediated ashing processes
US20100130017A1 (en) * 2008-11-21 2010-05-27 Axcelis Technologies, Inc. Front end of line plasma mediated ashing processes and apparatus
CN101996934B (zh) * 2009-08-20 2012-07-18 中芯国际集成电路制造(上海)有限公司 半导体器件的制作方法
JP6960839B2 (ja) * 2017-12-13 2021-11-05 東京エレクトロン株式会社 半導体装置の製造方法
CN115799028A (zh) * 2021-09-10 2023-03-14 长鑫存储技术有限公司 半导体结构的制备方法及半导体结构

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5126231A (en) * 1990-02-26 1992-06-30 Applied Materials, Inc. Process for multi-layer photoresist etching with minimal feature undercut and unchanging photoresist load during etch
CN1343001A (zh) * 2000-06-29 2002-04-03 株式会社D.M.S 用于照射紫外线的装置
US20030044725A1 (en) * 2001-07-24 2003-03-06 Chen-Chiu Hsue Dual damascene process using metal hard mask

Family Cites Families (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US111041A (en) * 1871-01-17 Improvement in hay-tedders
US5910453A (en) * 1996-01-16 1999-06-08 Advanced Micro Devices, Inc. Deep UV anti-reflection coating etch
US5970376A (en) * 1997-12-29 1999-10-19 Taiwan Semiconductor Manufacturing Company, Ltd. Post via etch plasma treatment method for forming with attenuated lateral etching a residue free via through a silsesquioxane spin-on-glass (SOG) dielectric layer
JP2000183040A (ja) * 1998-12-15 2000-06-30 Canon Inc 有機層間絶縁膜エッチング後のレジストアッシング方法
JP4221847B2 (ja) * 1999-10-25 2009-02-12 パナソニック電工株式会社 プラズマ処理装置及びプラズマ点灯方法
US6323121B1 (en) * 2000-05-12 2001-11-27 Taiwan Semiconductor Manufacturing Company Fully dry post-via-etch cleaning method for a damascene process
US6426304B1 (en) * 2000-06-30 2002-07-30 Lam Research Corporation Post etch photoresist strip with hydrogen for organosilicate glass low-κ etch applications
US6413877B1 (en) * 2000-12-22 2002-07-02 Lam Research Corporation Method of preventing damage to organo-silicate-glass materials during resist stripping
US6514860B1 (en) * 2001-01-31 2003-02-04 Advanced Micro Devices, Inc. Integration of organic fill for dual damascene process
US6777344B2 (en) 2001-02-12 2004-08-17 Lam Research Corporation Post-etch photoresist strip with O2 and NH3 for organosilicate glass low-K dielectric etch applications
US6566283B1 (en) * 2001-02-15 2003-05-20 Advanced Micro Devices, Inc. Silane treatment of low dielectric constant materials in semiconductor device manufacturing
US20020139771A1 (en) * 2001-02-22 2002-10-03 Ping Jiang Gas switching during an etch process to modulate the characteristics of the etch
US6617257B2 (en) * 2001-03-30 2003-09-09 Lam Research Corporation Method of plasma etching organic antireflective coating
KR100430472B1 (ko) * 2001-07-12 2004-05-10 삼성전자주식회사 듀얼 다마신 공정을 이용한 배선 형성 방법
US6498112B1 (en) * 2001-07-13 2002-12-24 Advanced Micro Devices, Inc. Graded oxide caps on low dielectric constant (low K) chemical vapor deposition (CVD) films
JP2003092349A (ja) * 2001-09-18 2003-03-28 Mitsubishi Electric Corp 半導体装置およびその製造方法
JP2003303880A (ja) * 2002-04-10 2003-10-24 Nec Corp 積層層間絶縁膜構造を利用した配線構造およびその製造方法
US7253112B2 (en) * 2002-06-04 2007-08-07 Taiwan Semiconductor Manufacturing Co., Ltd. Dual damascene process
JP2004079901A (ja) * 2002-08-21 2004-03-11 Nec Electronics Corp 半導体装置及びその製造方法
US6720256B1 (en) * 2002-12-04 2004-04-13 Taiwan Semiconductor Manufacturing Company Method of dual damascene patterning
US6916697B2 (en) * 2003-10-08 2005-07-12 Lam Research Corporation Etch back process using nitrous oxide

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5126231A (en) * 1990-02-26 1992-06-30 Applied Materials, Inc. Process for multi-layer photoresist etching with minimal feature undercut and unchanging photoresist load during etch
CN1343001A (zh) * 2000-06-29 2002-04-03 株式会社D.M.S 用于照射紫外线的装置
US20030044725A1 (en) * 2001-07-24 2003-03-06 Chen-Chiu Hsue Dual damascene process using metal hard mask

Also Published As

Publication number Publication date
TW200523689A (en) 2005-07-16
EP1671363A4 (en) 2010-01-13
KR20060107758A (ko) 2006-10-16
JP2007508698A (ja) 2007-04-05
KR101197070B1 (ko) 2012-11-06
WO2005038892A1 (en) 2005-04-28
US20050079710A1 (en) 2005-04-14
CN1864249A (zh) 2006-11-15
EP1671363A1 (en) 2006-06-21
US7202177B2 (en) 2007-04-10

Similar Documents

Publication Publication Date Title
CN100595891C (zh) 用于低k刻蚀后的无损灰化工艺和系统
US6399511B2 (en) Plasma etch process in a single inter-level dielectric etch
CN101606234B (zh) 蚀刻方法及存储介质
US7470628B2 (en) Etching methods
US7202176B1 (en) Enhanced stripping of low-k films using downstream gas mixing
US7265060B2 (en) Bi-level resist structure and fabrication method for contact holes on semiconductor substrates
KR20030087041A (ko) 실리콘 카바이드 플라즈마 식각 방법
JP7270740B2 (ja) 3dnand応用のためのメモリセルの製造
US7129171B2 (en) Selective oxygen-free etching process for barrier materials
CN100426469C (zh) 用于有机硅化物玻璃的一氧化二氮去除光刻胶的方法
CN1868039A (zh) 在光刻胶去除过程中最小化阻障材料损失的方法
US6647994B1 (en) Method of resist stripping over low-k dielectric material
CN100418208C (zh) 用一氧化二氮的回蚀方法
US6943104B2 (en) Method of etching insulating film and method of forming interconnection layer

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant