CN100465742C - 有源矩阵显示器 - Google Patents

有源矩阵显示器 Download PDF

Info

Publication number
CN100465742C
CN100465742C CNB2005100041534A CN200510004153A CN100465742C CN 100465742 C CN100465742 C CN 100465742C CN B2005100041534 A CNB2005100041534 A CN B2005100041534A CN 200510004153 A CN200510004153 A CN 200510004153A CN 100465742 C CN100465742 C CN 100465742C
Authority
CN
China
Prior art keywords
film
display device
tft
drain region
source region
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Lifetime
Application number
CNB2005100041534A
Other languages
English (en)
Other versions
CN1637565A (zh
Inventor
山崎舜平
张宏勇
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Semiconductor Energy Laboratory Co Ltd
Original Assignee
Semiconductor Energy Laboratory Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from JP5177410A external-priority patent/JPH0786593A/ja
Priority claimed from JP05191934A external-priority patent/JP3122699B2/ja
Application filed by Semiconductor Energy Laboratory Co Ltd filed Critical Semiconductor Energy Laboratory Co Ltd
Publication of CN1637565A publication Critical patent/CN1637565A/zh
Application granted granted Critical
Publication of CN100465742C publication Critical patent/CN100465742C/zh
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/20Deposition of semiconductor materials on a substrate, e.g. epitaxial growth solid phase epitaxy
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • H01L21/0214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material being a silicon oxynitride, e.g. SiON or SiON:H
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02321Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer
    • H01L21/02329Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer introduction of nitrogen
    • H01L21/02332Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer introduction of nitrogen into an oxide layer, e.g. changing SiO to SiON
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02345Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to radiation, e.g. visible light
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/3143Inorganic layers composed of alternated layers or of mixtures of nitrides and oxides or of oxinitrides, e.g. formation of oxinitride by oxidation of nitride layers
    • H01L21/3144Inorganic layers composed of alternated layers or of mixtures of nitrides and oxides or of oxinitrides, e.g. formation of oxinitride by oxidation of nitride layers on silicon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66742Thin film unipolar transistors
    • H01L29/6675Amorphous silicon or polysilicon transistors
    • H01L29/66757Lateral single gate single channel transistors with non-inverted structure, i.e. the channel layer is formed before the gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02266Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by physical ablation of a target, e.g. sputtering, reactive sputtering, physical vapour deposition or pulsed laser deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02345Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to radiation, e.g. visible light
    • H01L21/02348Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to radiation, e.g. visible light treatment by exposure to UV light
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02345Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to radiation, e.g. visible light
    • H01L21/02354Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to radiation, e.g. visible light using a coherent radiation, e.g. a laser

Abstract

一种高产率制造高性能的可靠的半导体器件的低温方法,包括:以TEOS作为原材料,在氧、臭氧或氧化氮气氛中,在设于绝缘衬底上的半导体涂层上,通过化学汽相淀积形成氧化硅薄膜作为栅绝缘膜;并用脉冲激光束或强光辐照,以除去诸如碳或烃基团,从而消除氧化硅薄膜中的捕获中心。另一种方法包括将氮离子注入氧化硅薄膜中然后用红外光使薄膜退火,从而获得作为栅绝缘膜的氮氧化硅膜,此膜结构致密、介电常数高和耐压得到改善。

Description

有源矩阵显示器
本申请是申请号为200410058974.1、申请日为1993年8月27日发明名称为“有源矩阵显示器”的申请的分案申请。
技术领域
本发明涉及采用绝缘膜的半导体器件及其制造方法,该器件被称为薄膜晶体管(以下称为“TFT”)。本发明还涉及在700℃或更低温度下在绝缘衬底上高产率地制造高性能的可靠的绝缘栅半导体器件的方法,以及通过组装多个这样的半导体器件制造集成电路(IC)的方法。
该器件可用作液晶显示器等的有源矩阵、图象传感器等的驱动电路、SOI(绝缘体上的硅)电路的TFT、以及诸如微处理器、微控制器、微型计算机和半导体存储器之类的常规半导体IC的TFT。
背景技术
通常,液晶显示器件和图象传感器件是作为采用集成于玻璃衬底上的TFT的器件而被公知的。一般,采用薄膜晶体管的绝缘栅型场效应半导体器件用于上述常规器件上,并且还习惯于用氧化硅薄膜作为那些TFT的栅极绝缘膜。
然而,采用氧化硅薄膜作为栅极绝缘膜的TFT会产生一些问题,例如,由栅极绝缘膜中的针孔引起的漏电流,增大薄膜厚度(栅极绝缘膜的电容取决于薄膜厚度和介电常数)的局限性,由于致密度不足(即,薄膜太松软)导致作为绝缘膜所需的各种特性不稳定,以及诸如混入栅极绝缘膜中的钠离子之类的固定电荷带来的问题。
近来,人们对于在绝缘衬底上制成绝缘栅型半导体器件(MOSFET)的方法做了深入研究。那些在绝缘衬底上形成的IC能很好地适应高速驱动,因为,在绝缘体上形成的IC不会产生杂散电容.与这些IC相反,常规IC的运行速度是受到杂散电容,即连线与衬底之间的电容的限制的。在绝缘衬底上形成并具有薄膜有源层的MOSFET被称为薄膜晶体管(TFT)。在常规半导体IC中可找到例如作为SRAM的负载晶体管的TFT。
另外,一些新产品,例如,诸如液晶显示器和图象传感器之类的光学器件的驱动电路,要求在透明衬底上形成的半导体IC。TFT可组装于IC中,但IC必须形成于宽的区域上,并因此要求通过低温工艺制造TFT。再者,例如,在具有多个一一与绝缘衬底上的半导体IC相连接的端点的器件中,最好通过形成第一层半导体IC或将整个半导体IC以单片形式形成于同一绝缘衬底上来降低组装密度。
通常,TFT的质量是通过制备高性能(即,足够高的迁移率)的半导体薄膜来改善的,而高性能半导体薄膜是通过诸如激光束之类的强光辐照或通过450至1200℃范围的热退火处理来改进非晶或半非晶或微晶薄膜的结晶性而形成的。采用非晶材料的半导体薄膜的非晶TFT无疑是能制造的,但是,其应用领域极大地受到低工作速度的限制,低工作速度归因于太低的迁移率,此迁移率为5cm2/Vs或更低,通常约为1cm2/Vs,或者受到其不能形成P沟道TFT(PTFT)的限制。具有5cm2/Vs或更高迁移率的TFT只能在450—1200℃温度范围内退火处理之后获得。PTFT只能在薄膜经历这种退火处理之后制造。
然而,在需要高温的工艺中,只有严格选定的衬底材料才可以用。特别是,包括在900至1200℃进行高温加热的所谓高温工艺是有优越性的,因为它允许采用通过热氧化得到的高质量薄膜作为栅极绝缘膜,但可用的衬底限于那此由诸如石英、兰宝石和尖晶石之类的昂贵材料制备的衬底,并且它们也不适于作为大面积应用的衬底。
与上述的高温方法不同,在低温工艺中,衬底材料可在较宽范围内选择,所述低温工艺是指,对于包含采用激光照射的结晶步骤的整个工艺而言,可达到的最高温度为750℃或更低。不过,在有益步骤适应范围和高产率方面,低温形成绝缘膜仍有问题。绝缘膜可在低温下通过溅射法淀积,但此工艺仍然是不佳的,因为其步骤适应范围小且产率低。在低温和高产率条件下,通过化学汽相淀积(CVD)法淀积氧化硅膜也是公知的,比如,等离子体CVD法、低压CVD法,和常压CVD法,其中采用诸如四乙氧基硅烷(TEOS)之类的含硅原子的气体化有机材料(以下称为有机硅烷)作为原材料。不过,所形成的薄膜富含碳原子和烃基,它们凝聚形成捕获中心。因此,那些膜不适于栅极绝缘,因为它们不能提供足够高的绝缘性能且具有太高的界面态密度。
上述的采用有机硅烷作为原材料的氧化硅薄膜不能用作要求相当高的电性能的栅极绝缘膜。因此,它们只能在经历700℃或更高温度下长时间的氧化处理之后才可使用。这种热处理会损伤衬底并影响产量。
发明内容
本发明已按上述条件实现。
因此,本发明的一个目的是在低温和良好步骤适应范围的条件下形成一种氧化膜,而且还提高了产量并改善了膜的质量。
本发明的另一目的是提出一种制造TFT的工艺方法,此方法可在700℃或更低温度下通过前面指出的几种技术的组合来实现。
本发明的再一个目的是克服现有栅极绝缘膜存在的上述问题,而提供一种能产生高稳定的特性优良的TFT。
根据本发明的一个方面,一种有源矩阵显示器,它包括:
一半导体层,具有起码第一和第二掺杂区和一个在绝缘表面上形成的沟道形成区;
一栅绝缘膜,毗邻所述沟道形成区;
一个栅电极,毗邻所述栅绝缘膜;
一绝缘膜,包括有机树脂,覆盖住所述半导体层,所述栅绝缘膜和所述栅电极;
一个像素电极,在所述绝缘膜上形成,与所述第一或第二掺杂区电连接;和
一导电层,在所述绝缘膜上形成,与另一个所述第一或第二掺杂区电连接。
根据本发明的另一个方面,一种有源矩阵显示器,它包括:
一半导体层,具有至少第一和第二掺杂区和一个在绝缘表面上形成的沟道形成区;
一栅绝缘膜,毗邻所述沟道形成区形成;
一个栅电极,毗邻所述栅绝缘膜形成;
一绝缘膜,包括有机树脂,覆盖所述半导体层、所述栅绝缘膜和所述栅电极;
一个像素电极,在所述绝缘膜上形成,与所述第一或第二掺杂区电连接;和
一导电层,在所述绝缘膜上形成,与另一个所述第一或第二掺杂区电连接。
根据本发明一种有源矩阵显示器,它包括:
一半导体层,具有至少第一和第二掺杂区和一个在绝缘表面上形成的沟道形成区;
一栅绝缘层,毗邻所述沟道形成区形成;
一个栅电极,毗邻所述栅绝缘膜形成;
一绝缘膜,包括有机树脂,覆盖着所述半导体层,所述栅绝缘层和所述栅电极;
一个透明像素电极,在所述绝缘膜上形成,与所述第一或第二掺杂区电连接;和
一导电层,在所述绝缘膜上形成,与另一个所述第一或第二掺杂区电连接。
根据本发明一种有源矩阵显示器,它包括:
有至少第一和第二掺杂区的半导体层和在绝缘表面上形成的沟道形成区;
一栅绝缘膜,在所述沟道形成区上形成;
一个栅电极,在所述栅绝缘膜上形成;
一绝缘膜,包括有机树脂,覆盖着所述半导体层、所述栅绝缘膜和所述栅电极;
一个透明像素电极,在所述绝缘膜上形成,与所述第一和第二掺杂区电连接;和
一导电层,在所述绝缘膜上形成,与另一个所述第一或第二掺杂区电连接。
根据本发明另一方面一种有源矩阵显示器,它包括:
一个玻璃衬底;
一钠阻挡膜,在所述玻璃衬底上形成;
一垫层,包括氧化硅,在所述阻挡膜上形成;
一半导体层,具有至少第一和第二掺杂区和一个在所述垫层上形成的沟道形成区;
一栅绝缘膜,在所述沟道形成区上形成;
一个栅电极,在所述栅绝缘膜上形成;
一绝缘膜,包括有机树脂,覆盖着所述衬底、所述半导体层、所述栅绝缘膜和所述栅电极;
一个像素电极,在所述绝缘膜上形成,与所述第一或第二掺杂区之一电连接;和
一导电层,在所述绝缘膜上形成,与另一个所述第一或第二掺杂区电连接。
根据本发明一种有源矩阵显示器,它包括:
一个玻璃衬底;
一钠阻挡膜,在所述玻璃衬底上形成;
一垫层,包括氧化硅,在所述阻挡膜上形成;
一半导体层,具有至少第一和第二掺杂区和一个在所述垫层上形成的沟道形成区;
一栅绝缘膜,在所述沟道形成区上形成;
一个栅电极,在所述栅绝缘膜上形成;
一绝缘膜,包括有机树脂,覆盖着所述衬底、所述半导体层、所述栅绝缘膜和所述栅电极;
一个透明像素电极,在所述绝缘膜上形成,与所述第一或第二掺杂区电连接;
一导电层,在所述绝缘膜上形成,与另一个所述第一或第二掺杂区电连接。
根据本发明一种有源矩阵显示器,它包括:
一半导体层,具有至少第一和第二掺杂区和一个沟道形成区;
一栅绝缘膜,毗邻所述沟道形成区;
一个栅电极,毗邻所述栅绝缘膜;
一绝缘膜,包括有机树脂,覆盖着所述半导体层、所述栅绝缘膜和所述栅电极;
一个电极,在所述绝缘膜上形成,与所述第一或第二掺杂区连接,其层状结构包括第一导电膜和第二导电膜,第一导电膜包括铝,第二导电膜包括不同于所述第一导电膜的材料;
一个像素电极,在所述绝缘膜上形成,与所述第一或第二掺区电通过所述电极连接,其中所述第二导电膜介于所述像素电极与所述第一导电膜之间;和
一导电层,在所述绝缘膜上形成,与另一个所述第一或第二掺杂区连接。
本发明本发明另一方面,一种有源矩阵显示器,它包括:
一半导体层,具有至少第一和第二掺杂区和一个沟道形成区;
一栅绝缘膜,毗邻所述沟道形成区;
一个栅电极,毗邻所述栅绝缘膜;
一绝缘膜,包括有机树脂,覆盖着所述半导体层、所述栅绝缘膜和所述栅电极;
一个电极,在所述绝缘膜上形成,与所述第一或第二掺杂区连接,其层状结构包括第一导电膜和第二导电膜,第一导电膜包括铝,第二导电膜由不同于第一导电膜的材料组成;
一个透明像素电极,在所述绝缘膜上形成,与所述第一或第二掺杂区通过所述电极电连接,其中所述第二导电膜介在所述像素电极与所述第一导电膜之间;和
一导电层,在所述绝缘膜上形成,与另一个所述第一或第二掺杂区连接。
根据本发明一种有源矩阵显示器,它包括:
一半导体层,具有至少第一和第二掺杂区和一个沟道形成区;
一栅绝缘膜,毗邻所述沟道形成区;
一个栅电极,毗邻所述栅绝缘膜;
一绝缘膜,包括有机树脂,覆盖着所述半导体层、所述栅绝缘膜和所述栅电极;
一个电极,在所述绝缘膜上形成,与所述第一或第二掺杂区连接,其中所述电极包括第一导电膜和第二导电膜组成,第一导电膜包括铝,第二导电膜包括不同于所述第一导电膜的材料;
一个透明像素电极,在所述绝缘膜上形成,与所述第一或第二掺杂区通过所述电极电连接,其中所述第二导电膜与所述其中一个掺杂区及所述透明像素电极直接接触,所述第一导电膜在所述第二电导膜上形成;和
一导电层,在所述绝缘膜上形成,与另一个所述第一或第二掺杂区连接,其中所述金属电极与所述导电层同材料。
业已发现,上述目的可通过根据本发明的下列实施例实现。
本发明的第一实施例包括:通过以任一种CVD方法淀积由有机硅烷(或者包括用氟取代其中的氢、碳或烃基的有机硅烷的替代产品)分解获得产物形成氧化硅薄膜,所述CVD方法如热CVD(化学汽相淀积)等离子体CVD、光CVD和光等离子体CVD;再用诸如激光脉冲之类的光辐照所淀积的薄膜,以改善其特性,尤其是,通过除去薄膜中的碳原子和烃基消除薄膜中的捕获中心来改善其特性。按此方法,可获得适宜用作TFT的栅极绝缘膜的氧化硅薄膜。用于本发明的激光器是脉冲激光器且最好是诸如受激准分子激光器之类的发射紫外(UV)光的激光器,例如,KrF、ArF、XeCL和XeF激光器。
本发明的第二实施例包括:通过以任一种CVD方法淀积由有机硅烷分解得到的产物形成氧化硅薄膜;将形成的氧化硅薄膜暴露于包括氧、臭氧、氧化氮等的氧化气氛中;并在将薄膜加热至150至400℃的温度范围内的同时,用波长为300nm或更短的UV光辐照,从而除去薄膜中的捕获中心。按此方法,可获得适宜用作TFT的栅极绝缘膜的氧化硅薄膜。
通过上述的本发明的第一实施例和第二实施例的组合可获得进一步的有益效果。例如,可将由有机硅烷获得的氧化硅薄膜暴露于氧化气氛中,并在将薄膜加热至150至400℃的温度范围的同时,用波长为300nm的由激光器发射的UV光辐照薄膜。
本发明的再一个实施例包括将氮离子注入绝缘膜的表面部分此绝缘膜由在半导体表面上形成的氧化硅膜构成,以便在绝缘膜的此表面部分中形成氮氧化硅。通过对薄膜进行这种表面氮化处理,可使绝缘膜的表面致密化并使其介电常数增大。尤其是,本发明的此实施例的特征在于,将氮离子注入到作为TFT(绝缘栅型场效应晶体管)的栅极绝缘膜淀积的氧化硅薄膜的表面部分,使得能重新建立作为栅极绝缘体的氮氧化硅薄膜。氮氧化硅薄膜可由化学式SiOxNy表示,其中x为0至2,最好为0.5至1.5,y为0至4/3,最好为0.5至1。
氧化硅薄膜的表面氮化处理是有益的,因为它使薄膜致密化,使薄膜介电常数提高而允许淀积较厚的膜,并改善了作为绝缘体的薄膜的总特性。
附图说明
图1是根据本发明的制造TFT的工艺方法的步序图;
图2是根据本发明的TFT的另一种制造方法的步序图;
图3是用于本发明的激光和UV光处理装置的示意图;
图4是根据本发明的一个例子的TFT制造方法的步序图;
图5是根据本发明的另一个例子的TFT制造方法的步序图;
图6是根据本发明的另一例子的制造另一种TFT的方法的步序图;和
图7是根据本发明的TFT的另一种制造方法的步序图。
具体实施方式
本发明的第一实施例包括:通过淀积由有机硅烷分解获得的产物形成氧化硅薄膜,所述淀积可由任一种CVD方法实现,诸如热CVD、等离子体CVD、光CVD和光一等离子体CVD;再用脉冲激光束照射所淀积的薄膜,以改善其特性,尤其是通过除去薄膜中的碳原子和烃基使薄膜丧失捕获中心来改善特性。按此方法,可获得适宜用作TFT的栅绝缘膜的氧化硅薄膜.本发明中使用的激光器是脉冲式激光器,且最好是发射紫外光的激光器,诸如受激准分子激光器,例如KrF、ArF、XeCL和XeF激光器。
强光最好是紫外或红外光。正如后面将描述的,紫外光对去除薄膜中的碳原子和烃基是有效的。红外光快速加热薄膜,以降低诸如薄膜中的晶体缺陷和悬空键之类的捕获中心的密度。
通过激光束或红外光的辐射,可改善半导体薄膜的结晶性,例如,从非晶态变为晶态。当然,半导体薄膜的结晶性的改进可与氧化硅薄膜的改善分开进行。
根据本发明的第二实施例包括:通过采用任一种CVD方法淀积由有机硅烷分解获得的产物形成氧化硅薄膜;将形成的氧化硅薄膜暴露于包含氧、臭氧、氧化氮等的氧化气氛中;在加热薄膜至150至400℃的温度范围内时,用波长为300nm或更短的紫外光辐照,以便去除其中的捕获中心。按此方法,可获得适宜用作TFT的绝缘栅薄膜的氧化硅薄膜。
将前面提及的本发明的第一和第二实施例组合可获得进一步的有益效果。例如,可将由有机硅烷获得的氧化硅薄膜暴露了氧化气氛中,并在加热薄膜至150至400℃的温度范围内时,用由激光器发射的波长为300nm的紫外光辐照。
通常,诸如四乙氧基硅烷(TEOS)之类的有机硅烷,包括那些以氟作为烃基、乙氧基、氢原子等的部分取代基的有机硅烷,在常压和室温下是液态的。因此,如果需要,可将它们在减压条件下加热,以气态引入反应室。当氧化硅薄膜由等离子体CVD法淀积形成时,将适量的氧与有机硅烷相混合,以允许采用诸如氩和氦之类的惰性气体作为载气进行反应.当通过低压CVD或常压CVD法制备时,有机硅烷和臭氧混合反应,如果需要,可采用上述载气。
在上述方法中,用于改善半导体薄膜的结晶性的退火处理不再是一个决定整个工艺的温度最高的步骤,但诸如氢化退火和栅氧化膜退火之类的其它因素成为工艺的最高温度的决定因素。这容许在宽的材料范围内选择衬底材料。更具体地讲,工艺的最高温度应为700℃或更低,最好为400℃或更低。如上所述,根据本发明的方法可在700℃或更低温度下实施。因此,现有方法中存在的问题,即,由于热膨胀、翘曲等原因导致在大面积衬底上图形移位,可在本发明的方法中得以防止产生。例如,本发明的方法能够在一个尺寸为300mm×400mm的大面积衬底上制造极高精度的多个TFT。因此可以看到,生产率可通过采用本发明的方法得以提高。
另外,通常被认为由于软化点太低不适于作为TFT衬底的钠钙玻璃,在其经过合适的处理之后,可在本发明的方法中被采纳,用于使TFT在其上工作。
根据本发明,一种制造半导体器件的方法包括下列步骤:
在衬底上形成半导体薄膜;
在所述半导体薄膜上形成保护性绝缘膜,所述保护性绝缘膜是透光的。
用光辐照所述半导体薄膜,以改善其结晶性;
除去所述保护性绝缘膜,以暴露出所述半导体薄膜的一个表面;
采用包含有机硅烷的原材料,通过化学汽相淀积,在暴露出的表面上形成氧化硅薄膜;
用光至少辐照所述氧化硅薄膜;以及
在所述氧化硅薄膜上形成栅极。
辐照半导体薄膜的光为激光脉冲或红外光。而且对所述半导体薄膜的光辐照持续5秒钟至5分钟,以便按30至300℃/秒的速率使所述半导体薄膜的温度升至1000至1300℃,接着按30至300℃/秒的速率降低所述半导体薄膜的温度。至少辐照氧化硅薄膜的光是激光脉冲、红外光或卤素光。而且所述光至少对所述氧化硅薄膜的辐照持续5秒钟至5分钟,以便按30至300℃/秒的速率使所述氧化硅膜的温度升至1000至1300℃,接着按30至300℃/秒的速率降低所述氧化硅薄膜的温度。
本发明的第一应用例包括一个用于驱动使用非晶硅(a—Si)TFT的液晶显示器件(LCD)的有源矩阵(AM)的周边电路。一般说来,可通过在400℃或更低温度下在无碱玻璃(如Corning 7059)衬底上形成a—SiTFT来制造a—SiTFT—AMLCD。从其高关断电阻的观点看,a—SiTFT最适宜作为开关元件,不过,如前面所提及的,其工作速度低,且不能由其制造CMOS。因此,通常采用单晶集成电路(IC)形成周边电路,而且采用TAB(带自动粘结)方法或类似方法将矩阵的端点连接至IC的端点。然而,这种组装方法不适用于减小象素的尺寸,并且由此所需的成本占到用于制造整个组件所需全部成本的大部分。
在传统方法中,在同一衬底上形成带有矩阵的周边电路是不可能的。不过,上述麻烦可在本发明的方法中得以消除,因为具有高迁移率的TFT可在与形成a—SiTFT所需的温度大致相同的温度下形成。
根据本发明的第二应用例,TFT可形成在例如钠钙玻璃即一种比无碱玻璃更价廉的材料上。在钠钙玻璃衬底上形成TFT时,最好是首先在玻璃衬底的表面上形成包含作为主要组分的氮化硅、氧化铝等的绝缘涂层,并再形成诸如氧化硅或类似材料的绝缘底涂薄膜之后,形成TFT。按此方法,可防止玻璃中存在的可移动的(诸如钠的)离子进入TFT。另外,通过使用PTFT而不用NTFT,可以较低的失败率形成用于矩阵的TFT。偶然从衬底进入NTFT的可移动离子形成使NTFT导通的沟道,但,可能进入PTFT的可移动离子却不能形成任何沟道。
本发明的第三应用例提供了一种静态驱动简单矩阵LCD的周边电路。铁电液晶(FLC)材料具有记忆效应,因此,它可提供高对比度的图象,即使它被用于简单矩阵LCD中。不过,这里所用的周边电路通常是通过以与用于a—SiTFT—AMLCD的方法相同的方法诸如TAB方法连接IC而建立的。类似地,TAB用于连接LCD的周边电路,这里所述的LCD是根据胆甾相和向列相之间的相转换以静态方式工作的。包括向列液晶和铁电聚合物的组合的静态驱动LCD在例如JP—A—61—1152(这里引用的缩字“JP—A—”表示未审查的公开日本专利申请)中已提出过,但周边电路还是用TAB方法连接。
由于上述LCD是在简单矩阵中驱动的,它们可方便地提供大的图象平面,且采用不贵的衬底并具有高分辨率。高分辨率图象只能通过压缩端头之间的间距来获得,不过,这可以IC的表面组装困难化为代价来实现。本发明的方法能使周边电路单片地集成在不贵的衬底上,而不必考虑热的问题。
本发明的第四应用例包括一种所谓的三维IC,它是通过在设有金属连接体的半导体IC上形成TFT来制造的。
显然,还可从本发明中获得各种应用形式。
下面参照非限制性例子更详细地描绘本发明。不过,应当理解,本发明不能被认为是局限于这些例子。
例1
参照图1,将根据本发明的制造TFT(一种半导体器件)的方法描述如下。首先,在Corning 7059衬底101(尺寸为300mm×300mm,其尺寸也可为100mm×100mm)上淀积厚度为100至300nm的氧化硅薄膜102,作为底层氧化物薄膜。可通过在氧气氛中溅射或采用等离子体CVD法淀积氧化物薄膜,后一种方法包括分解TEOS并淀积生成物,然后在450至650℃的温度范围内使薄膜退火。
此后,通过等离子体CVD法或LPCVD法淀积非晶硅薄膜103,此薄膜的厚度为30至150nm,最好为50至100nm。接着,用工作于248nm的波长和20ns的脉宽的KrF受激准分子激光器辐照薄膜,如图1(A)所示,以改善硅薄膜103的结晶性。这里使用的激光辐照装置如图3(B)所示。可通过快速热退火(RTA)方法改善薄膜的结晶性,所述方法包括用象激光束那样强的光进行辐照。对于通过辐照激光束或加热已结晶了的硅薄膜进一步用上述强光进行退火还是有效的。诸如具有1.3μm的峰值波长的卤素光之类的红外光对于选择性地加热硅膜特别有效,因为硅薄膜比玻璃衬底更能吸收光。
激光辐照是在将样品加热至150至400℃的温度范围并控制压强为10mTorr或更低的条件下进行的。结果,得到了具有良好结晶性的薄膜。所照射的激光束的能量密庶200至400mJ/cm2,最好为250至300mJ/cm2。由此获得的硅薄膜103的结晶性用拉曼(Raman)散射光谱仪分析,在515cm-1处观察到一个较宽的谱峰,此峰不同于应处于521cm-1处的单晶硅的谱峰。
将硅层103刻图形成岛形区,以给出NTFT区104和PTFT区105。通过分解TEOS并采用RF等离子体CVD(化学汽相淀积)法由分解生成物和氧一起淀积氧化硅薄膜在上面形成栅极氧化膜106,同时将衬底温度控制在200至500℃的范围内,优选200至400℃,最好为200至250℃。TEOS(作为一种有机硅烷)和氧按压强比1:1至1:3供给,同时将压强控制在0.05至0.5Torr的范围内,将RF功率控制在100至250W的范围内。这一步骤也可采用TEOS和臭氧气体作为原材料通过低压CVD或常压CVD法实现,同时将衬底温度控制在150至400℃的范围内,最好是200至250℃的范围内。
对所形成的氧化硅薄膜在400至700℃温度下在含氮气氛中进行热退火处理。
经淀积和热处理过的氧化物薄膜不能用作栅氧化膜,因为它含有大量的起捕获中心作用的烃基。由于这个原因,在氧化硅薄膜热退火处理之后,采用图3中所示的装置至少对氧化硅薄膜(栅极氧化膜)进行激光束辐照,以减少此氧化物薄膜中的捕获中心数量。参照图3(B),此装置包括一个工作室308,此工作室设有氧气进口310、出口313和石英窗口309,装有加热器314的支架311位于工作室中,以便在其上安放样品312。激光束或强光穿过窗309辐照样品。强光辐照可减少氧化物薄膜中的捕获中心数目,同时,提高氧化硅薄膜的密度并改善半导体和氧化硅薄膜间的界面。
这一步骤按下列方式实现。首先,将工作室抽至足够高的真空度,将氧、臭氧或氧化氮(即NO2,NO和N2O)输入工作室中,开始激光束或强光的辐照。辐照是在10Torr或更低的减压条件下,或大气压强的氧化气氛中进行的。通常,采用KrF激光束作为上述激光束。强光一般是非相干的UV(紫外)光。当采用激光时,激光以250至300mJ/cm2的能量密度进行10次发射。辐照期间的温度最好维持在150至400℃的范围内,典型值为300℃。采用IR(红外)光作为强光,例如波长为1.3μm的卤素光,进行RTO(快速热氧化)也是有用的。RTO工艺包括用IR光瞬时加热氧化物薄膜,以降低薄膜中的捕获中心数目。所照射的表面被快速加热至1000至1300℃的温度范围内,最好是在1000至1200℃的范围内,以改善半导体和栅氧化膜之间的界面的特性。通过退火可使栅氧化膜的界面态密度降至1011cm-2或更低。另外,在RTO的情况下,辐照栅氧化膜的光至少应持续5秒至5分钟,以使栅氧化膜的温度以30至300℃/秒的速率升至1000至1300℃,接着再以30至300℃/秒的速率降低栅氧化膜的温度。
随后对形成的氧化硅薄膜进行热退火,退火是在400至700℃温度下在包含氮或氧的气氛中进行的。
用电子束汽相淀积法淀积200nm至5μm厚的铝膜,并对此铝膜进行刻图,从而在栅极氧化膜上得到栅电极107和108,如图1(C)所示。采用电子束淀积法淀积此铝膜是为得到具有高反射率的薄膜,因为此薄膜以后要阻挡激光辐照.如此获得的铝膜是光滑的,以致于通过光学显微镜观察不到颗粒。通过电子显微镜观察到的颗粒尺寸为200nm或更小。这些颗粒的尺寸必须控制到小于该方法中所用的激光的波长。
采用栅极作掩模,以自对准方式,通过离子照射岛形硅膜或通过离子掺杂工艺,将杂质注入到各个TFT的岛形硅膜中。更具体的讲,首先采用磷化氢(PH3)气体作为掺杂气体按2×1015至8×1015cm-2的剂量注入磷,在用光致抗蚀剂仅仅将岛形区104覆盖后,采用乙硼烷作为掺杂气体按4×1015至10×1015cm-2的剂量将硼仅仅掺入岛形区105。在这个方法中,硼以高于磷的剂量掺入薄膜中。
接着,如图1(D)所示,以栅电极作掩模,用诸如波长为248nm的KrF激光束之类的光按20nm的脉宽辐照所形成的结构,以恢复被损坏的掺杂区的结晶性。为此激光辐照步骤所用的装置如图3(B)所示。激光运行于200至400mJ/cm2范围的能量密度,最好是250至300mJ/cm2的范围。
在这种情况下,样品是不加热的。这样便得到了N型杂质(磷)区109和110,及P型杂质(硼)区111和112。这些区域的薄层电阻在200至800Ω/□的范围内。采用TEOS作为原材料,并在使用等离子体CVD法的情况下与氧组合,或在使用低压CVD或常压CVD法的情况下与臭氧组合,在上面淀积300nm厚的氧化硅薄膜,作为层间绝缘体113。在此步骤的全过程中,衬底的温度维持在150至400℃的范围内,最好为200至300℃。
在TFT的源/漏区中开出接触孔后,形成铝连线114—116。图1(E)示出了一个倒相电路,它包括左侧的一个NTFT和另一侧的一个PTFT。TFT的迁移率对于NTFT而言为50—100cm2/Vs,而对于PTFT而言为30至100cm2/Vs。由于在此例中的最高工艺温度为400℃或更低,因此在诸如Corning 7059之类的无碱玻璃衬底上未产生收缩或翘曲。结果是,可由上述衬底很好地制造大面积显示器或驱动电路,因为如上述大尺寸的衬底上的图形基本上不产生移位。
例2
参照图2,对根据本发明在钠钙玻璃衬底上制备TFT以形成AMLCD元件的工艺做以下描述。首先,在由厚度为1.1mm和尺寸为300mm×400mm的钠钙玻璃板制成的衬底201的全部表面上淀积氮化硅薄膜202作为阻挡层。由于钠钙玻璃富含钠,因此采用等离子体CVD法淀积作为阻挡层的氮化硅薄膜,薄膜厚度为5至50nm,最好为5至20nm,以防止在TFT中发生钠扩散。这种通过用氮化硅或氧化铝薄膜涂覆衬底制成阻挡层的技术公开于由本申请人申请的日本专利申请NO.平—3—238710和平—3—238714中。
在氧化物(氧化硅)底层203形成后,通过LPCVD或等离子体CVD法淀积厚度为30至150nm最好为30至50nm的硅膜204。在400℃温度下对此膜进行1小时的脱氢处理,然后刻图形成岛形半导体区作为TFT的有源层。采用TEOS作为原材料通过等离子体CVD法在氧气氛中淀积栅绝缘膜205,此膜厚度为70至120nm,典型厚度为100nm。衬底温度维持在400℃或更低,最好在200至350℃范围内,以防止在衬底上发生收缩或翘曲。不过,所形成的氧化硅薄膜含有大量的烃基和许多捕获中心。特别是,例如,界面态密度达1012cm-2或更高,它远远超出了栅绝缘膜所允许的密度。
因此,如图2(A)所示,用由KrF激光器发射的激光束或具有相当强度的光辐照栅绝缘膜205和岛形半导体区204,以改善岛形半导体区的结晶性,并通过降低其中的捕获中心数目改善栅绝缘膜205的特性。这就是说,此步骤包含了硅膜的结晶化和栅极氧化膜的改善,这在前述的例1中是在两个步骤中分别完成的。如果使用了强光,那么用IR光例如波长1.3μm的卤素光进行退火,在此情况下尤其有效。
该步骤中的激光辐照最好是在存在过量氧的条件下在10Torr或更低的减压下进行。减压是较佳选择,因为在氧化物薄膜中存在的碳原子可很容易地被放出。氧分压控制在例如1至10Torr的范围内。激光束按250至300mJ/cm2的能量密度辐照,并发射10次。温度最好维持在150至400℃的范围内,典型值为300℃。激光辐照采用图3(B)所示的装置进行。结果,硅膜204改善了结晶性,而栅氧化膜的界面态密度降低至1011cm-2或更低。
在以与例1中相同的方法形成铝栅极之后,将整个结构与衬底一起作为阳极浸入电解液中,并施加电流,以便在包含栅电极在内的铝连线的表面上形成厚度为206nm的阳极氧化涂层209。阳极氧化技术公开于由于本申请人申请的日本专利申请NO.平—4—30220、平—4—38637和平—4—54322中。此步骤后得到的结构如图2(B)所示。另外,在完成阳极氧化时,可将负电压反向加至此结构;例如,可在0.1至5小时的时间内施加—100至—200伏范围的电压,同时最好将衬底温度维持在100至250℃的范围内,典型值为150℃。通过采用这个附加步骤,可将氧化硅中的或氧化硅和硅的交界处的移动离子吸引至铝栅电极。在阳极氧化过程中或其后对栅电极施加负电压的技术公开于由本申请人1992年4月7日申请的日本专利申请NO.平—4—1155—3中。
随后通过离子掺杂以自对准方式将作为P型杂质的硼注入硅层中,以形成TFT的源/漏区208和209,并用能量密度为250至300mJ/cm2的KrF激光束辐照,以恢复由离子掺杂工艺损伤的硅膜的结晶性。在激光辐照之后,源/漏区的薄层电阻在300至800Ω/□的范围内。在此步骤中,通过强光最好是IR光辐照退火是有益的。
在用聚酰亚胺形成层间绝缘膜210之后,采用ITO形成象素接触层211。此后形成接触孔,以便用铬/铝多层膜在TFT的源/漏区建立接触212和213,铬/铝多层膜包括厚度为20至200nm典型值为100nm的下层铬膜和厚度为100至2000nm典型值为500nm的上层铝膜。多层膜最好采用溅射法连续淀积。由此得到的两个接触之一213连至ITO。最后,在氢气中在200至300℃的温度下将此结构退火处理2小时,以完成硅的氢化。这样就得到了一个完成的TFT。将多个同时制备的这种TFT组装成一个矩阵,以获得一个AMLCD器件。
例3
参照图1,对根据本发明制备另一种TFT的工艺做如下描述。首先,在Corning 7059衬底101上淀积100至300nm厚的氧化硅薄膜作为底层氧化膜。此后通过等离子体CVD或通过LPCVD淀积非晶硅薄膜103,此薄膜103厚度为30至150nm,最好为50至100nm。随后,如图1(A)所示,用运行于248nm波长和20ns脉宽的KrF受激准分子激光辐照此薄膜,以改善硅膜103的结晶性。可通过辐照如激光束一样强的光将硅膜加热到1000至1200℃的温度范围内来改善此膜的结晶性.
将硅层103刻图成岛形区,以给出NTFT区104和PTFT区105。通过分解TEOS(有机硅烷)并采用RF等离子体CVD法由分解生成物与氧一起淀积氧化硅薄膜,在上面形成栅氧化膜106。这样淀积的氧化膜不能用作栅氧化膜,因为它含有大量的起捕获中心作用的烃基。由于这原因,采用图3(A)所示的装置同时辐照激光束和强光,以减少氧化膜中的捕获中心的数目。这一步骤还可使氧化膜的致密。强光可以是UV(紫外)光或同时进行快速加热的IR光。参照图3(A),该装置包括一个工作室301,此工作室设有提供氧气流的一个氧气进口305、一个出口306、和一个UV灯303;装有加热器307的支架302位于工作室中以使样品304装放于其上。用波长为300nm或更短的UV(紫外)光至少辐照栅氧化膜,以实现退火。在此装置中采用一个40WUV灯能发射峰值波长大约为250nm的光谱的光。
在工作室中,氧、臭氧或氧化氮(例如NO2、NO和N2O)的气流正对样品吹入。不必设将工作室抽至真空的特定步骤。因此,可在大气压强下实现UV光照射。UV光使氧化性气体经历光化学反应,从而产生活性氧或臭氧。这些活性物质随后与氧化硅薄膜中的碳、烃等反应,从而将薄膜中的碳原子浓度降低到足够低的水平。在反应过程中,样品温度最好保持在150至400℃的范围内,典型值为300℃。结果,界面态密度降低至1011cm-2或更低。
图3(C)所示的装置可用于替代图3(A)所示的装置。参照图3(C),此装置包括一个工作室315,此工作室具有一个氧化进口320、一个出口321和一个UV灯317;支架318位于工作室中用于在其上安放样品319。在此装置中,诸如氧、臭氧和氧化氮之类的氧化性气体是在工作室抽至足够高的真空度后被引入工作室的。
采用电子束汽相淀积法淀积200nm至5μm厚的铝膜,并将其刻图,以在栅氧化膜上得到栅电极107和108,如图1(C)所示。以栅极作为掩模,通过按自对准方式进行离子掺杂工艺,将杂质注入到各TFT的岛形硅膜中。如图1(D)所示,用波长248nm的KrF激光以20nm的脉宽辐照所形成的结构;以恢复损伤的掺杂区的结晶性。这样便获得了N型杂质(磷)区109和110,以及P型杂质(硼)区111和112。这些区的薄层电阻在200至800Ω/□的范围内。采用TEOS作为原材料在上面淀积300nm的氧化硅薄膜。
在TFT的源/漏区形成接触孔之后,形成铝连线114和116。图1(E)示出了一个倒相电路,它包括在左侧的一个NTFT和另一侧的一个PTFT。TFT的迁移率对于NTFT为50至100cm2/Vs,对于PTFT为30至100cm2/Vs。这样便得到了一个五位移位寄存器,并且证实,在20伏的漏极电压下,可以工作在10MH2或更高频率。
例4
参照图7,对根据本发明制造TFT的方法做如下描述。首先,在Corning 7059衬底101(尺寸为300mm×300mm,它的尺寸也可以是100mm×100mm)上淀积100至300nm厚的氧化硅薄膜102作为底层氧化膜。此氧化膜是通过在氧气氛中用溅射法或采用等离子体CVD法淀积的,后者包括分解TEOS并淀积生成物,接着在450至650℃的温度范围内对此膜进行退火处理。
此后通过等离子体CVD法或通过LPCVD法淀积非晶硅薄膜103,此薄膜厚度为30至150nm,最好为50至100nm。随后如图7(A)所示,用运行于248nm波长和20ns脉宽的KrF受激准分子激光辐照此薄膜,以改善硅膜103的结晶性。这里采用图3(B)所示的激光照射装置。还可通过包括辐照象激光束那样强的光的快速加热退火(RTA)方法改善此薄膜的结晶性。对已通过激射激光束或通过加热而结晶了的硅膜进一步采用上述强光进行退火处理也是有效的。诸如峰值波长为1.3μm卤素光之类的IR光对选择性地加热硅膜特别有效,因为与玻璃衬底相比,硅膜更能吸收光。
激光辐照是在将样品加热至150至400℃的温度范围内并将气压控制在10mTorr或更低的条件下进行的。结果,得到了具有良好结晶性的薄膜。激光以200至400mJ/cm2最好为250至300mJ/cm2的能量密度辐照。用拉曼散射光谱仪分析如此获得的硅膜103的结晶性,在515cm-1处观察到一个较宽的谱峰,此谱峰与应处于521cm-1处的单晶硅的谱峰不同。
将硅层103刻图成岛形区,从而给出NTFT区104和PTFT区105。在氧化气体中在500至700℃的温度范围内使岛形NTFT区104和PTFT区105的表面部分氧化,而形成20至
Figure C200510004153D0028131839QIETU
厚的第一氧化硅层120。氧化气体可以是例如99.9%或更高纯度的干氧。通过分解TEOS(有机硅烷)并采用RF等离子体CVD(化学汽相淀积)法由分解产物与氧一起在第一氧化硅层上淀积作为第二氧化硅层的栅氧化膜106,同时将衬底温度控制在200至500℃,优选200至400℃,最好为200至250℃。TEOS和氧按1:1至1:3的压强比提供,同时将压强控制在0.05至0.5Torr,将RF率控制在100至250W。这一步骤还可采用TEOS和臭氧作为原材料通过低压CVD或常压CVD法实现,同时将衬底温度控制在150至400℃,最好在200至250℃。
在400至700℃在氮气氛中对形成的氧化硅膜进行热退火处理。
这样淀积和退火后的氧化膜不能用作栅氧化膜,因为它含有大量的起捕获中心作用的烃基。由于这个原因,采用图3(B)所示的装置,用激光束至少对第一和第二氧化硅层进行辐照,以降低氧化膜中的捕获中心的数量。光线可至少对第一和第二氧化硅层辐照5秒钟至5分钟,以便以30至300℃/秒的速率使所述的第一和第二氧化硅层的温度升至1000至1300℃,随后以30至300℃/秒的速率降低第一和第二氧化硅层的温度。参照图3(B),该装置包括一个工作室308,此工作室具有一个氧气进口310、一个出口313和一个石英窗口309;一个装有加热器314的支架311位于工作室中,以便其上装放样品312。激光束或强光通过窗309照射至样品上。强光的照射使氧化膜中的捕获中心的数量减少,而且同时使氧化硅膜密度提高,并改善了半导体与氧化硅膜之间的界面。
这一步骤以如下方式进行。首先,将工作室抽至足够高的真空度,并将氧气、臭氧或氧化氮(如NO2、NO和N2O)引到入工作室中,以便开始激光束或强光的辐照。辐照是在10Torr或更低的减压下,或者在大气压强的氧化气氛中进行的。通常,用KrF激光束作为上述激光束。强光一般是非相干UV光。当采用激光时,激光应以250至300mJ/cm2的能量密度发射10次。在辐照期间温度最好维持在150至400℃的范围内,典型值为300℃。采用IR光作为强光,例如,波长为1.3μm的卤素光,进行RTO(快速热氧化)处理也是有益的。RTO工艺包括采用IR光对氧化膜进行瞬时加热,以减少膜中的捕获中心的数量。被辐照的表面被快速加热到1000至1200℃的温度范围,以改善半导体和栅氧化膜之间的界面的特性。通过退火可使栅氧化膜的界面态密度降至1011cm-2或更低.
随后在400至700℃温度下在氮或氧气氛中对形成的氧化硅膜进行热退火处理。
用电子束汽相淀积法淀积厚度为200nm至5μm的铝膜,再经刻图处理,获得图7(C)所示的栅电极107和108。由电子束淀积法来淀积铝膜是为获得具有高反射率的薄膜,因为此薄膜以后要阻挡激光辐照。这样得到的铝膜是光滑的,以致于通过光学显微镜观察不到颗粒。通过电子显微镜观察,颗粒尺寸为200nm或更小。必须将这些颗粒的尺寸控制到小于本方法中所用激光的波长。
采用栅电极作为掩模,以自对准方式通过离子掺杂工艺,将杂质注入到每一TFT的岛形硅膜中。更具体地讲,首先采用磷化氢(PH3)气体作为掺杂气体按2×1015至8×1015cm-2的剂量注入磷,并在用光致抗蚀剂仅仅覆盖岛形区104后,采用乙硼烷(B2H6)作为掺杂气体按4×1015至10×1015cm-2的剂量将硼仅仅注入岛形区105。在这个方法中,硼以高于磷的剂量掺入薄膜中。
如图7(D)所示,用波长为248nm的KrF激光束按20nm的脉宽照射所得到的结构,以恢复被损伤的掺杂区的结晶性。用于此激光辐照步骤的装置如图3(B)所示。激光运行于200至400mJ/cm2的范围内,最好在250至300mJ/cm2范围内,在这种情况下样品是不加热的。这样就获得了N型杂质(磷)区109和110,以及P型杂质(硼)区111和112。这些区的薄层电阻在200至800Ω/□的范围内。采用作为原材料的TEOS在用等离子体CVD法的情况下与氧组合,或者在用低压CVD或常压CVD法的情况下与臭氧组合淀积300nm厚的氧化硅膜作为层间绝缘膜113。在此步骤的全过程中,衬底的温度维持在150至400℃,最好是200至300℃。
在TFT源/漏区形成接触孔之后,形成铝连线114至116。图7(E)示出一个倒相电路,它包括左侧的NTFT和另一侧的PTFT。TFT的迁移率对于NTFT为50至100cm2/Vs,而对于PTFT为30至100cm2/Vs。由于本例中的最高工艺温度为400℃或更低,因此在诸如Corning 7059衬底之类的无碱玻璃衬底上未产生收缩或翘曲。结果是,可由上述衬底很好地制造大面积显示器或驱动电路,因为如上述的大尺寸的衬底上的图形基本上不产生移位。
例5
下面对在AMLCD器件的象素电极部分上制备NTFT(绝缘栅场效应晶体管)的一个例子进行描述。当然,对于各种改进而言,基本结构是相同的,所述改进诸如代替NTFT而形成的PTFT,以及为液晶显示器件的周边电路形成的TFT。另外,与本例中的结构相似的结构可用作图象传感器或其它类型IC的TFT的基本结构。
参照图4和5,对本例的制造工艺给予描述。参照图4,通过溅射法在玻璃衬底11上淀积
Figure C200510004153D00311
厚的氧化硅膜(图中未示出)作为基底涂层。按公知技术,通过等离子体CVD法在上面淀积1000厚的非晶硅膜12。然后采用
Figure C200510004153D00313
厚的氧化硅形成一个掩模401,此掩模设有一个能露出下面非晶硅薄膜的部位14。
接着,通过溅射法淀积
Figure C200510004153D00314
厚的硅化镍膜。此薄膜与组成元素镍结合加速下面的非晶硅薄膜12的结晶。此膜的厚度通常为5至。在这种情况下,镍用于加快非晶硅薄膜的结晶,但属于周期表的VIII族的任一元素均可用来替代镍,比如,铁(Fe)、钴(Co)、钌(Ru)、铑(Rh)、钯(Pd)、锇(Os)、铱(Ir)和铂(Pt)。属于周期表的IIId族的那些元素也是可用的,并且特别应提出的是钪(Sc)、钛(Ti)、钒(V)、铬(Cr)、锰(Mn)、铜(Cu)和锌(Zn)。金(Au)和银(Ag)也是本发明中有用的元素。其中特别优选Ni、Pd、Cu和Au。这些元素可借助任一种手段掺入薄膜中,比如,通过采用溅射法、包括用等离子溅射的等离子体处理法、CVD法和汽相淀积法,在非晶硅薄膜的上表面或下表面上淀积薄膜,以及通过离子注入直接将这些元素引入非晶硅薄膜中。
此后除去作为掩模的氧化硅膜401,以便将硅化镍膜选择性地留在非晶硅薄膜12的表面部位14上。随后,通过照射例如1.3μm波长的IR光瞬间加热非晶硅薄膜的所选表面部位,使非晶硅薄膜的表面部位14中的镍合金化。按此方式可形成硅化镍部位。该步骤对有效地结晶是有益的,因为它使镍在后面的退火结晶步骤中容易扩散。
此后在550℃温度下在惰性气体气氛中进行4小时的退火处理。热处理使非晶硅薄膜12结晶。在此步骤中,结晶沿平行于衬底的方向发生,如图中的箭头10所示,从而得到针状或柱状晶体。晶体生长超过40μm或更长的距离。结晶工艺不必总是按上述方式进行,也可用激光束辐照,或者根据公知技术在600℃温度下进行24小时或更长时间的热退火处理。另外,薄膜也可保持非晶态(参考图4(B))。
随后,通过部件隔离建立有源层。这里有源层是指其中将要形成源/漏区和沟道形成区的区域。最好通过蚀刻除去以硅化镍形成引入了镍的含镍区14,因为这些部分含有过高浓度的镍。所留下的平行于衬底生长的中间部分可很好地用作有源层。
紧接上述步骤,形成
Figure C200510004153D00321
厚的氧化硅薄膜13作为栅绝缘膜。最好将氯原子加至这层氧化硅薄膜中,因为此后该薄膜会对杂质离子产生吸杂作用。
参照图4(C),将氮离子注入到氧化硅薄膜13中。在此步骤中,在氧化硅薄膜的表面上形成了氮氧化硅(silicon oxynitride)表面。不过,应避免氮离子通过氧化硅薄膜13进入有效层。此步骤的关键是只在氧化硅薄膜13的附近产生氮化作用。
氮氧化物膜13具有4至6的介电常数,这是由于上述步骤中的氮离子注入造成的。4至6的介电常数高于氧化硅薄膜的3.8至4.0的介电常数。另外,薄膜本身可致密化。结果,诸如在栅绝缘膜中的杂质离子(钠离子)输运、针孔引起的泄漏、和耐压等问题得以解决。另外,可建立较厚的栅绝缘膜,因为其介电常数高于氧化硅薄膜的介电常数。这种优点对解决漏电流和针孔问题是有利的。
在将氮离子注入到氧化硅栅绝缘膜13之后,最好再通过向氧化硅栅绝缘膜13照射红外线进行光退火处理。使用波长大致为1至2μm的近红外光尤其有益,因为这样可消除硅膜12中的缺陷和悬空键,而不用加热玻璃衬底,同时还可以降低栅绝缘膜13和硅膜12之间的界面态密度。这些优点极大地促进了TFT特性的改善。通过对栅绝缘膜13上的已形成的
Figure C200510004153D00331
厚的铝膜进行刻图处理,形成栅极接触15。通过阳极氧化法,使栅极接触15的表面氧化,从而在栅极接触15的表面上形成氧化层151。这个氧化层151在后面的离子注入步骤中用于形成偏移栅极结构,其厚度则确定偏移栅极长度。因此,在本例中形成厚度为
Figure C200510004153D00332
的氧化层。结果得到了图4(D)所示的结构。
在本例中,栅极接触可采用公知材料形成于硅上。
参照图5(A),在通过离子注入法掺入磷(P)之后,以自对准方式形成源/漏区16和18,以及沟道形成区17。接着,用激光束或IR光辐照使源/漏区退火。
在上面形成一层聚酰亚胺膜层间绝缘膜19,并形成ITO电极20作为象素电极。在开孔步骤后,形成源/漏电极21和22。接触体22中的一个连接至象素电极20。这样便得到了一个带象素电极的完整的NTFT,如图5(B)所示。
如此获得的TFT包括晶体硅薄膜,此薄膜由沿平行于衬底的方向生长的硅晶体组成。因此,由于载流子沿单取向单晶的晶界移动,此TFT具有高迁移率。
例6
本例如描述的是一个由PTFT和NTFT按互补方式组成的电路结构。本例的结构可用于图象传感器的IC,以及液晶显示器件的象素和周边电路。
参照图6,对本例的制造工艺进行描述。通过溅射法在玻璃衬底11上形成
Figure C200510004153D00341
厚的氧化硅膜(图中未示出)作为底涂层。尔后,通过等离子体CVD法在上面淀积非晶硅薄膜12,并加热至600℃退火处理24小时。
在上述加热结晶步骤之后,采用IR光对所形成的结构进行退火处理是极其有益的。IR光的辐照不仅消除了硅膜中的缺陷和悬空键,也改善了硅的结晶性并因此提高了膜的致密度。使用波长约为1至2μm的近红外光尤其有益,因为此范围的光可由硅膜选择性地吸收,但不为玻璃衬底吸收。因此,硅膜可被加热至约800℃而不明显加热衬底。
然后,通过部件隔离建立两个岛形有源层。这两个有源层以后将变为PTFT和NTFT。此后通过溅射法淀积厚度为的氧化硅薄膜13作为栅绝缘膜。按照与例5中相同的方式,进行离子注入,将氮离子掺入氧化硅薄膜,以便获得作为栅绝缘膜的氮氧化硅薄膜13。所得到的结构如图6(B)所示。
通过对形成于栅绝缘膜13上的厚的铝膜进行刻图处理,形成栅极接触31和33。通过阳极氧化使栅极接触31和33的表面氧化,从而在栅极接触的表面上形成氧化层32和34。另外,在用抗蚀剂掩蔽后,按顺序分别将磷离子和硼离子注入相应的层区。按此方法,可获得P型部位35和37以及N型部位38和40。这样就以自对准方式一起得到了用于PTFT的源/漏区35和37与用于PTFT的沟道形成区36,以及用于NTFT的源/漏区38和40与用于NTFT的沟道形成区39。此后用激光束或IR光辐照使源/漏区退火。结果得到了图6(C)所示的结构。
在开孔步骤之后,形成聚酰亚胺或氧化硅薄膜作为层间绝缘膜41,再形成电极42、43和44。这样就实现了一个包括PTFT和NTFT的完整结构,PTT和NTFT的输出连至电极43。所得到的结构如图6(D)所示。
正如前面所述,本发明通过低温工艺并以高产额方式提供了一种TFT。特别是,当TFT形成于大面积衬底上以用于有源矩阵和驱动电路中时,本发明对工业做出了很大贡献。尽管在例子中未特别说明,但本发明可用于通过在单晶IC和其它类IC上叠置半导体IC来制造所谓的三维IC。另外,虽然上述例子主要涉及各种LCD,但限明显,本发明可用于在绝缘衬底上直接形成的电路,比如图象传感器。再者,用氮氧化硅薄膜作为栅绝缘膜带来以下好处。
可获得致密的栅绝缘膜,这使栅绝缘膜内的固定电荷、静态击穿和针孔等问题得以解决;并且,可形成厚的栅绝缘膜。
虽然本发明已参考特定实施例得以详细描述,但很明显,在不脱离本发明的精神和范围的条件下,本领域的技术人员可做出各种变换和改进。

Claims (25)

1.一种显示器件,它包括:
包括源区和漏区的薄膜晶体管,其中所述源区和漏区中的每一个都包括硅;
电连接于所述源区和漏区中的一个的多层膜,其中所述多层膜包括铬层以及形成在所述铬层上的铝层;
像素电极,经所述多层膜电连接于所述源区和漏区中的所述一个。
2.如权利要求1所述的显示器件,其中所述薄膜晶体管具有上栅极结构。
3.如权利要求1所述的显示器件,其中所述像素电极包括氧化铟锡。
4.如权利要求1所述的显示器件,还包括覆盖所述薄膜晶体管的层间绝缘体,其中所述多层膜部分地形成在所述层间绝缘体上。
5.如权利要求1所述的显示器件,其中所述多层膜的一部分形成在所述像素电极上。
6.如权利要求1所述的显示器件,其中所述源区和漏区形成在具有沟道区的同一半导体膜中。
7.一种显示器件,它包括:
包括源区和漏区的薄膜晶体管,其中所述源区和漏区的每一个都包括硅;
电连接于所述源区和漏区中的一个的多层膜,其中所述多层膜包括铬层以及形成在所述铬层上的铝层;
像素电极,经所述多层膜电连接于源区和漏区中的所述一个,
其中所述铬层接触所述源区和漏区中的所述一个以及所述像素电极。
8.如权利要求7所述的显示器件,其中所述薄膜晶体管具有上栅极结构。
9.如权利要求7所述的显示器件,其中所述像素电极包括氧化铟锡。
10.如权利要求7所述的显示器件,还包括覆盖所述薄膜晶体管的层间绝缘体,其中所述多层膜部分地形成在所述层间绝缘体上。
11.如权利要求7所述的显示器件,其中所述多层膜的一部分形成在所述像素电极上。
12.如权利要求7所述的显示器件,其中所述源区和漏区形成在具有沟道区的同一半导体膜中。
13.一种显示器件,它包括:
包括源区和漏区的薄膜晶体管,其中所述源区和漏区中的每一个都包括硅;
电连接于所述源区和漏区中的一个的多层膜,其中所述多层膜包括铬层以及形成在所述铬层上的铝层;
层间绝缘体,该层间绝缘体含有形成在所述薄膜晶体管上的聚酰亚胺或氧化硅;以及
像素电极,其形成在所述层间绝缘体上,并经所述多层膜电连接于所述源区和漏区中的所述一个。
14.如权利要求13所述的显示器件,其中所述薄膜晶体管具有上栅极结构。
15.如权利要求13所述的显示器件,其中所述像素电极包括氧化铟锡。
16.如权利要求13所述的显示器件,其中所述多层膜部分地形成在所述层间绝缘体上。
17.如权利要求13所述的显示器件,其中所述多层膜的一部分形成在所述像素电极上。
18.如权利要求13所述的显示器件,其中所述源区和漏区形成在具有沟道区的同一半导体膜中。
19.一种显示器件,它包括:
包括源区和漏区的薄膜晶体管,其中所述源区和漏区中的每一个都包括硅;
电连接于所述源区和漏区中的一个的多层膜,其中所述多层膜包括铬层以及形成在所述铬层上的铝层;
像素电极,经所述多层膜电连接于源区和漏区中的所述一个,
其中所述铬层的厚度为20nm到200nm,而所述铝层的厚度为100nm到2000nm。
20.如权利要求19所述的显示器件,其中所述薄膜晶体管具有上栅极结构。
21.如权利要求19所述的显示器件,其中所述像素电极包括氧化铟锡。
22.如权利要求19所述的显示器件,还包括覆盖所述薄膜晶体管的层间绝缘体,其中所述多层膜部分地形成在所述层间绝缘体上。
23.如权利要求19所述的显示器件,其中所述多层膜的一部分形成在所述像素电极上。
24.如权利要求19所述的显示器件,其中所述铬层接触所述源区和漏区中的所述一个以及所述像素电极。
25.如权利要求19所述的显示器件,其中所述源区和漏区形成在具有沟道区的同一半导体膜中。
CNB2005100041534A 1992-08-27 1993-08-27 有源矩阵显示器 Expired - Lifetime CN100465742C (zh)

Applications Claiming Priority (9)

Application Number Priority Date Filing Date Title
JP252296/92 1992-08-27
JP25229692 1992-08-27
JP252296/1992 1992-08-27
JP177410/1993 1993-06-24
JP5177410A JPH0786593A (ja) 1993-06-24 1993-06-24 半導体装置およびその作製方法
JP177410/93 1993-06-24
JP191934/93 1993-07-06
JP05191934A JP3122699B2 (ja) 1992-08-27 1993-07-06 薄膜状半導体装置の作製方法。
JP191934/1993 1993-07-06

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
CN2004100589741A Division CN1560691B (zh) 1992-08-27 1993-08-27 半导体器件及其制造方法和有源矩阵显示器

Publications (2)

Publication Number Publication Date
CN1637565A CN1637565A (zh) 2005-07-13
CN100465742C true CN100465742C (zh) 2009-03-04

Family

ID=27324414

Family Applications (6)

Application Number Title Priority Date Filing Date
CNB200410045674XA Expired - Fee Related CN100483651C (zh) 1992-08-27 1993-08-27 半导体器件的制造方法
CNB2005100041534A Expired - Lifetime CN100465742C (zh) 1992-08-27 1993-08-27 有源矩阵显示器
CNB991118707A Expired - Lifetime CN1244891C (zh) 1992-08-27 1993-08-27 有源矩阵显示器
CN2004100589741A Expired - Lifetime CN1560691B (zh) 1992-08-27 1993-08-27 半导体器件及其制造方法和有源矩阵显示器
CN93118309A Expired - Fee Related CN1052569C (zh) 1992-08-27 1993-08-27 制造半导体器件的方法
CNB991118693A Expired - Fee Related CN1156018C (zh) 1992-08-27 1999-07-29 半导体器件及其制造方法

Family Applications Before (1)

Application Number Title Priority Date Filing Date
CNB200410045674XA Expired - Fee Related CN100483651C (zh) 1992-08-27 1993-08-27 半导体器件的制造方法

Family Applications After (4)

Application Number Title Priority Date Filing Date
CNB991118707A Expired - Lifetime CN1244891C (zh) 1992-08-27 1993-08-27 有源矩阵显示器
CN2004100589741A Expired - Lifetime CN1560691B (zh) 1992-08-27 1993-08-27 半导体器件及其制造方法和有源矩阵显示器
CN93118309A Expired - Fee Related CN1052569C (zh) 1992-08-27 1993-08-27 制造半导体器件的方法
CNB991118693A Expired - Fee Related CN1156018C (zh) 1992-08-27 1999-07-29 半导体器件及其制造方法

Country Status (3)

Country Link
US (3) US6168980B1 (zh)
KR (1) KR0131062B1 (zh)
CN (6) CN100483651C (zh)

Families Citing this family (104)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN100483651C (zh) * 1992-08-27 2009-04-29 株式会社半导体能源研究所 半导体器件的制造方法
US5403762A (en) * 1993-06-30 1995-04-04 Semiconductor Energy Laboratory Co., Ltd. Method of fabricating a TFT
JP3587537B2 (ja) * 1992-12-09 2004-11-10 株式会社半導体エネルギー研究所 半導体装置
JP4056571B2 (ja) 1995-08-02 2008-03-05 株式会社半導体エネルギー研究所 半導体装置の作製方法
TW371796B (en) * 1995-09-08 1999-10-11 Semiconductor Energy Lab Co Ltd Method and apparatus for manufacturing a semiconductor device
JP3729955B2 (ja) * 1996-01-19 2005-12-21 株式会社半導体エネルギー研究所 半導体装置の作製方法
JP3645379B2 (ja) * 1996-01-19 2005-05-11 株式会社半導体エネルギー研究所 半導体装置の作製方法
JP3645380B2 (ja) * 1996-01-19 2005-05-11 株式会社半導体エネルギー研究所 半導体装置の作製方法、情報端末、ヘッドマウントディスプレイ、ナビゲーションシステム、携帯電話、ビデオカメラ、投射型表示装置
US7056381B1 (en) * 1996-01-26 2006-06-06 Semiconductor Energy Laboratory Co., Ltd. Fabrication method of semiconductor device
US6599790B1 (en) 1996-02-15 2003-07-29 Semiconductor Energy Laboratory Co., Ltd Laser-irradiation method and laser-irradiation device
TW335503B (en) * 1996-02-23 1998-07-01 Semiconductor Energy Lab Kk Semiconductor thin film and manufacturing method and semiconductor device and its manufacturing method
US5955825A (en) * 1996-04-26 1999-09-21 Mitsubishi Materials Corporation Crystal oscillator and manufacturing method thereof
AUPO347196A0 (en) * 1996-11-06 1996-12-05 Pacific Solar Pty Limited Improved method of forming polycrystalline-silicon films on glass
US6897105B1 (en) * 1998-09-16 2005-05-24 Texas Instrument Incorporated Method of forming metal oxide gate structures and capacitor electrodes
JP4180689B2 (ja) * 1997-07-24 2008-11-12 株式会社半導体エネルギー研究所 半導体装置の作製方法
KR100505452B1 (ko) * 1997-12-30 2005-10-14 주식회사 하이닉스반도체 반도체 소자의 캐패시터 형성 방법
JP2000039628A (ja) 1998-05-16 2000-02-08 Semiconductor Energy Lab Co Ltd 半導体表示装置
KR100474385B1 (ko) * 1998-09-03 2005-08-30 엘지.필립스 엘시디 주식회사 비정질실리콘박막을결정화하는방법과이를이용한다결정실리콘박막트랜지스터제조방법
KR20000019893A (ko) * 1998-09-16 2000-04-15 구본준, 론 위라하디락사 박막트랜지스터
US6656779B1 (en) * 1998-10-06 2003-12-02 Semiconductor Energy Laboratory Co., Ltd. Semiconductor apparatus having semiconductor circuits made of semiconductor devices, and method of manufacture thereof
JP3631384B2 (ja) * 1998-11-17 2005-03-23 富士通ディスプレイテクノロジーズ株式会社 液晶表示装置及び液晶表示装置の基板製造方法
JP3483484B2 (ja) 1998-12-28 2004-01-06 富士通ディスプレイテクノロジーズ株式会社 半導体装置、画像表示装置、半導体装置の製造方法、及び画像表示装置の製造方法
US6511921B1 (en) * 1999-01-12 2003-01-28 Sumco Phoenix Corporation Methods for reducing the reactivity of a semiconductor substrate surface and for evaluating electrical properties of a semiconductor substrate
US6593195B1 (en) * 1999-02-01 2003-07-15 Agere Systems Inc Stable memory device that utilizes ion positioning to control state of the memory device
GB2377548B (en) * 2001-01-05 2003-06-18 Esm Ltd Method of fabricating a gate dielectric layer for a thin film transistor
US6771239B1 (en) * 1999-05-17 2004-08-03 Seiko Epson Corporation Method for manufacturing an active matrix substrate
KR100631011B1 (ko) * 1999-08-12 2006-10-04 엘지.필립스 엘시디 주식회사 박막트랜지스터 제조방법
TW449928B (en) * 2000-01-25 2001-08-11 Samsung Electronics Co Ltd A low temperature polycrystalline silicon type thin film transistor and a method of the thin film transistor fabrication
US6475930B1 (en) * 2000-01-31 2002-11-05 Motorola, Inc. UV cure process and tool for low k film formation
KR100385721B1 (ko) * 2000-02-16 2003-05-27 주식회사 엘지화학 무광택 내열성 열가소성 수지 조성물 및 그의 제조방법
US6686298B1 (en) * 2000-06-22 2004-02-03 Micron Technology, Inc. Methods of forming structures over semiconductor substrates, and methods of forming transistors associated with semiconductor substrates
US6833329B1 (en) * 2000-06-22 2004-12-21 Micron Technology, Inc. Methods of forming oxide regions over semiconductor substrates
US6660657B1 (en) * 2000-08-07 2003-12-09 Micron Technology, Inc. Methods of incorporating nitrogen into silicon-oxide-containing layers
EP1340247B1 (en) 2000-09-19 2010-11-24 Mattson Technology Inc. Method of forming dielectric films
JP3746669B2 (ja) * 2000-10-17 2006-02-15 株式会社ルネサステクノロジ 半導体装置の製造方法
JP2002170825A (ja) * 2000-11-30 2002-06-14 Nec Corp 半導体装置及びmis型半導体装置並びにその製造方法
US7045444B2 (en) 2000-12-19 2006-05-16 Semiconductor Energy Laboratory Co., Ltd. Method of manufacturing semiconductor device that includes selectively adding a noble gas element
US6858480B2 (en) * 2001-01-18 2005-02-22 Semiconductor Energy Laboratory Co., Ltd. Method of manufacturing semiconductor device
TW586141B (en) * 2001-01-19 2004-05-01 Semiconductor Energy Lab Semiconductor device and method of manufacturing the same
US7115453B2 (en) * 2001-01-29 2006-10-03 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and manufacturing method of the same
JP2002231627A (ja) * 2001-01-30 2002-08-16 Semiconductor Energy Lab Co Ltd 光電変換装置の作製方法
US7141822B2 (en) * 2001-02-09 2006-11-28 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and method for manufacturing the same
JP4993810B2 (ja) 2001-02-16 2012-08-08 株式会社半導体エネルギー研究所 半導体装置の作製方法
JP5088993B2 (ja) * 2001-02-16 2012-12-05 株式会社半導体エネルギー研究所 半導体装置の作製方法
TW523931B (en) 2001-02-20 2003-03-11 Hitachi Ltd Thin film transistor and method of manufacturing the same
US6812081B2 (en) * 2001-03-26 2004-11-02 Semiconductor Energy Laboratory Co.,.Ltd. Method of manufacturing semiconductor device
US6878585B2 (en) * 2001-08-29 2005-04-12 Micron Technology, Inc. Methods of forming capacitors
US6812064B2 (en) * 2001-11-07 2004-11-02 Micron Technology, Inc. Ozone treatment of a ground semiconductor die to improve adhesive bonding to a substrate
JP2003142579A (ja) * 2001-11-07 2003-05-16 Hitachi Ltd 半導体装置の製造方法および半導体装置
KR100472018B1 (ko) * 2001-11-16 2005-03-08 주식회사 엘지화학 열용착성이 우수한 열가소성 수지 조성물
KR100446651B1 (ko) * 2001-11-16 2004-09-04 주식회사 엘지화학 열용착성이 우수한 열가소성 수지 조성물
US6723599B2 (en) * 2001-12-03 2004-04-20 Micron Technology, Inc. Methods of forming capacitors and methods of forming capacitor dielectric layers
AU2002354103A1 (en) * 2001-12-07 2003-06-17 Tokyo Electron Limited Nitriding method for insulation film, semiconductor device and production method for semiconductor device, substrate treating device and substrate treating method
JP3995476B2 (ja) * 2001-12-28 2007-10-24 三洋電機株式会社 表示装置及びその製造方法
TWI296062B (en) * 2001-12-28 2008-04-21 Sanyo Electric Co Liquid crystal display device
JP3953320B2 (ja) * 2001-12-28 2007-08-08 三洋電機株式会社 表示装置及びその製造方法
TWI230304B (en) * 2002-03-04 2005-04-01 Sanyo Electric Co Display device with reflecting layer
US20030168730A1 (en) * 2002-03-08 2003-09-11 Howard Davidson Carbon foam heat exchanger for integrated circuit
US7163901B2 (en) * 2002-03-13 2007-01-16 Varian Semiconductor Equipment Associates, Inc. Methods for forming thin film layers by simultaneous doping and sintering
US6878415B2 (en) * 2002-04-15 2005-04-12 Varian Semiconductor Equipment Associates, Inc. Methods for chemical formation of thin film layers using short-time thermal processes
WO2003090268A1 (fr) * 2002-04-19 2003-10-30 Tokyo Electron Limited Procede de traitement de substrat et procede de production de dispositifs a semi-conducteurs
DE10226914B4 (de) * 2002-06-17 2006-03-02 Infineon Technologies Ag Verfahren zur Herstellung einer Spacerstruktur
KR100464424B1 (ko) * 2002-07-05 2005-01-03 삼성전자주식회사 누설 전류를 감소시킬 수 있는 게이트 절연막 형성방법
CN1326638C (zh) * 2002-07-19 2007-07-18 上海华虹(集团)有限公司 一种去除硅化物形成过程中多余金属的方法
US6861338B2 (en) * 2002-08-22 2005-03-01 Semiconductor Energy Laboratory Co., Ltd. Thin film transistor and method of manufacturing the same
KR20040021758A (ko) * 2002-09-04 2004-03-11 엘지.필립스 엘시디 주식회사 다결정 실리콘 박막트랜지스터 제조방법
KR100487256B1 (ko) * 2002-10-31 2005-05-03 엘지.필립스 엘시디 주식회사 폴리 실리콘 박막 트랜지스터 제조방법
US7374976B2 (en) * 2002-11-22 2008-05-20 Semiconductor Energy Laboratory Co., Ltd. Method for fabricating thin film transistor
US6956237B2 (en) * 2002-12-28 2005-10-18 Lg.Philips Lcd Co., Ltd. Thin film transistor array substrate and method for manufacturing the same
TWI240959B (en) 2003-03-04 2005-10-01 Air Prod & Chem Mechanical enhancement of dense and porous organosilicate materials by UV exposure
US7098149B2 (en) * 2003-03-04 2006-08-29 Air Products And Chemicals, Inc. Mechanical enhancement of dense and porous organosilicate materials by UV exposure
JP4406540B2 (ja) * 2003-03-28 2010-01-27 シャープ株式会社 薄膜トランジスタ基板およびその製造方法
US7115488B2 (en) * 2003-08-29 2006-10-03 Semiconductor Energy Laboratory Co., Ltd. Method of manufacturing semiconductor device
JP2005191482A (ja) * 2003-12-26 2005-07-14 Semiconductor Leading Edge Technologies Inc 半導体装置及びその製造方法
KR101088712B1 (ko) * 2004-05-03 2011-12-01 동부일렉트로닉스 주식회사 반도체 소자의 제조방법
TWI257175B (en) * 2004-11-25 2006-06-21 Chunghwa Picture Tubes Ltd Production of lightly doped drain of low-temperature poly-silicon thin film transistor
TWI311213B (en) * 2004-12-24 2009-06-21 Au Optronics Corp Crystallizing method for forming poly-si films and thin film transistors using same
US20080142903A1 (en) * 2005-05-03 2008-06-19 Jea Hee Kim Semiconductor device and method for manufacturing the same
WO2008048212A2 (en) * 2005-07-07 2008-04-24 The Regents Of The University Of California Infrared sensor systems and devices
KR101299604B1 (ko) * 2005-10-18 2013-08-26 가부시키가이샤 한도오따이 에네루기 켄큐쇼 반도체 장치 및 그 제조 방법
JP2007273919A (ja) * 2006-03-31 2007-10-18 Nec Corp 半導体装置及びその製造方法
CN101473211A (zh) * 2006-07-03 2009-07-01 和舰科技(苏州)有限公司 一种氮氧化硅薄膜的等离子处理程度的光学检测方法
US8034724B2 (en) 2006-07-21 2011-10-11 Semiconductor Energy Laboratory Co., Ltd. Method for manufacturing semiconductor device
CN100449391C (zh) * 2006-08-04 2009-01-07 北京京东方光电科技有限公司 一种薄膜晶体管液晶显示器像素结构及其制造方法
CN100444012C (zh) * 2006-11-10 2008-12-17 北京京东方光电科技有限公司 一种薄膜晶体管液晶显示器像素结构及其制造方法
KR100917654B1 (ko) 2006-11-10 2009-09-17 베이징 보에 옵토일렉트로닉스 테크놀로지 컴퍼니 리미티드 박막트랜지스터 액정 디스플레이 화소 구조 및 그 제조방법
CN100432812C (zh) * 2006-11-10 2008-11-12 北京京东方光电科技有限公司 一种薄膜晶体管液晶显示器像素结构及其制造方法
US7972943B2 (en) * 2007-03-02 2011-07-05 Semiconductor Energy Laboratory Co., Ltd. Manufacturing method of semiconductor device
EP1986230A2 (en) * 2007-04-25 2008-10-29 Semiconductor Energy Laboratory Co., Ltd. Method of manufacturing SOI substrate and method of manufacturing semiconductor device
US9704817B2 (en) * 2007-09-06 2017-07-11 Qortek, Inc. Integrated laser auto-destruct system for electronic components
US8114780B2 (en) * 2009-03-27 2012-02-14 Lam Research Corporation Method for dielectric material removal between conductive lines
DE102009035417B4 (de) * 2009-07-31 2014-12-04 Globalfoundries Dresden Module One Llc & Co. Kg Größere Dichte von dielektrischen Materialien mit kleinem ε in Halbleiterbauelementen durch Anwenden einer UV-Behandlung
CN102543741B (zh) * 2010-12-23 2016-03-30 中芯国际集成电路制造(上海)有限公司 P型金属氧化物半导体管的制作方法
KR101743661B1 (ko) * 2011-06-01 2017-06-07 삼성전자 주식회사 서로 다른 두께의 게이트 절연막을 갖는 모스펫 소자 형성 방법
CN102280381A (zh) * 2011-06-27 2011-12-14 福州华映视讯有限公司 平坦化绝缘层的方法及制作具有平坦化绝缘层的数组基板的方法
JP6013313B2 (ja) * 2013-03-21 2016-10-25 東京エレクトロン株式会社 積層型半導体素子の製造方法、積層型半導体素子、及び、その製造装置
US10379134B2 (en) * 2013-08-29 2019-08-13 Jaquet Technology Group Ag Sensor device for determining rotational speed of a rotatable object and turbocharger with such a sensor device
CN105529255A (zh) * 2014-09-30 2016-04-27 中芯国际集成电路制造(上海)有限公司 栅极结构的形成方法以及栅极结构
US20180254290A1 (en) * 2017-03-01 2018-09-06 Government Of The United States, As Represented By The Secretary Of The Air Force Metal Oxide Thin Film Semiconductor Device Monolithically Integrated With Dissimilar Device on the Same Wafer
CN108598168A (zh) * 2018-05-03 2018-09-28 深圳吉华微特电子有限公司 抗总剂量辐射的功率场效应晶体管及其制造方法
US10403492B1 (en) * 2018-12-11 2019-09-03 Mattson Technology, Inc. Integration of materials removal and surface treatment in semiconductor device fabrication
KR20210070780A (ko) * 2019-12-05 2021-06-15 엘지디스플레이 주식회사 디지털 엑스레이 검출기용 박막 트랜지스터 어레이 기판과 디지털 엑스레이 검출기 및 그 제조 방법
KR20230149660A (ko) 2022-04-20 2023-10-27 박진철 기와 성형용 금형의 공기 배출 구조 및 이를 위한 에어툴
KR20230149659A (ko) 2022-04-20 2023-10-27 박진철 기와 성형용 금형의 공기 배출 구조 및 금형용 스틱형 에어툴

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS59204273A (ja) * 1983-05-06 1984-11-19 Ise Electronics Corp 薄膜トランジスタ
JPH01120068A (ja) * 1987-11-02 1989-05-12 Oki Electric Ind Co Ltd 薄膜トランジスタ
US4928161A (en) * 1986-07-11 1990-05-22 Fuji Xerox Co., Ltd. Thin-film transistor and wiring matrix device and its forming method
US4956680A (en) * 1986-12-22 1990-09-11 Seiko Instruments Inc. Thin film transistor

Family Cites Families (143)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
USRE28385E (en) * 1968-03-20 1975-04-08 Method of treating semiconductor devices
US3556880A (en) * 1968-04-11 1971-01-19 Rca Corp Method of treating semiconductor devices to improve lifetime
US3783049A (en) 1971-03-31 1974-01-01 Trw Inc Method of platinum diffusion
JPS55153339A (en) 1979-05-18 1980-11-29 Fujitsu Ltd Manufacture of semiconductor device
US4231809A (en) * 1979-05-25 1980-11-04 Bell Telephone Laboratories, Incorporated Method of removing impurity metals from semiconductor devices
JPS5898933A (ja) 1981-12-09 1983-06-13 Seiko Epson Corp 半導体装置の製造方法
JPS58190020A (ja) 1982-04-30 1983-11-05 Seiko Epson Corp エピタキシヤル成長法
JPS59201422A (ja) 1983-04-30 1984-11-15 Fujitsu Ltd 半導体装置の製造方法
US4963503A (en) * 1984-04-09 1990-10-16 Hosiden Electronics Co., Ltd. Method of manufacturing liquid crystal display device
JPS60241269A (ja) 1984-05-16 1985-11-30 Seiko Epson Corp 薄膜トランジスタの製造方法
US4727044A (en) * 1984-05-18 1988-02-23 Semiconductor Energy Laboratory Co., Ltd. Method of making a thin film transistor with laser recrystallized source and drain
US4585492A (en) * 1984-07-30 1986-04-29 International Business Machines Corporation Rapid thermal annealing of silicon dioxide for reduced hole trapping
JPS6163020A (ja) 1984-09-04 1986-04-01 Agency Of Ind Science & Technol 薄膜形成方法
JPS61166074A (ja) 1985-01-17 1986-07-26 Fujitsu Ltd 絶縁ゲ−ト型トランジスタ及びその製造方法
DE3587100T2 (de) 1984-10-09 1993-09-09 Fujitsu Ltd Verfahren zur herstellung einer auf der halbleiter-auf-isolator-technologie basierenden integrierten schaltung.
JPS6189621A (ja) 1984-10-09 1986-05-07 Fujitsu Ltd 半導体装置の製造方法
JPH07105338B2 (ja) 1985-08-07 1995-11-13 日本電気株式会社 半導体装置の製造方法
JPS62119974A (ja) 1985-11-19 1987-06-01 Sharp Corp 薄膜トランジスタの製造方法
JPH0746729B2 (ja) * 1985-12-26 1995-05-17 キヤノン株式会社 薄膜トランジスタの製造方法
JPS62285464A (ja) 1986-06-03 1987-12-11 Matsushita Electric Ind Co Ltd 薄膜トランジスタアレイ基板及びその製造方法
US4774197A (en) * 1986-06-17 1988-09-27 Advanced Micro Devices, Inc. Method of improving silicon dioxide
US4810673A (en) * 1986-09-18 1989-03-07 Texas Instruments Incorporated Oxide deposition method
US4784975A (en) * 1986-10-23 1988-11-15 International Business Machines Corporation Post-oxidation anneal of silicon dioxide
JPS63105970A (ja) 1986-10-23 1988-05-11 Applied Materials Japan Kk 気相成長方法
US5000113A (en) 1986-12-19 1991-03-19 Applied Materials, Inc. Thermal CVD/PECVD reactor and use for thermal chemical vapor deposition of silicon dioxide and in-situ multi-step planarized process
US4955697A (en) * 1987-04-20 1990-09-11 Hitachi, Ltd. Liquid crystal display device and method of driving the same
US5153702A (en) * 1987-06-10 1992-10-06 Hitachi, Ltd. Thin film semiconductor device and method for fabricating the same
JPS6425515A (en) 1987-07-22 1989-01-27 Hitachi Ltd Manufacture of semiconductor device
JPS6437029A (en) 1987-07-31 1989-02-07 Matsushita Electric Ind Co Ltd Manufacture of semiconductor
US5032883A (en) * 1987-09-09 1991-07-16 Casio Computer Co., Ltd. Thin film transistor and method of manufacturing the same
JP2613403B2 (ja) 1987-11-13 1997-05-28 日本電信電話株式会社 薄膜トランジスタの製造方法
JPH01149475A (ja) 1987-12-04 1989-06-12 Nippon Telegr & Teleph Corp <Ntt> 薄膜トランジスタの製造方法
US4851370A (en) 1987-12-28 1989-07-25 American Telephone And Telegraph Company, At&T Bell Laboratories Fabricating a semiconductor device with low defect density oxide
US5153701A (en) 1987-12-28 1992-10-06 At&T Bell Laboratories Semiconductor device with low defect density oxide
JP2623276B2 (ja) 1988-01-22 1997-06-25 株式会社日立製作所 薄膜半導体装置の製造方法
US5225355A (en) * 1988-02-26 1993-07-06 Fujitsu Limited Gettering treatment process
JPH01217423A (ja) * 1988-02-26 1989-08-31 Seikosha Co Ltd 非晶質シリコン薄膜トランジスタアレイ基板
JPH01238024A (ja) 1988-03-17 1989-09-22 Koujiyundo Kagaku Kenkyusho:Kk 半導体装置の酸化膜の製造法
US5274279A (en) 1988-05-17 1993-12-28 Seiko Epson Corporation Thin film CMOS inverter
US5493129A (en) * 1988-06-29 1996-02-20 Hitachi, Ltd. Thin film transistor structure having increased on-current
US5010037A (en) 1988-10-14 1991-04-23 California Institute Of Technology Pinhole-free growth of epitaxial CoSi2 film on Si(111)
US4894352A (en) * 1988-10-26 1990-01-16 Texas Instruments Inc. Deposition of silicon-containing films using organosilicon compounds and nitrogen trifluoride
JPH02140915A (ja) 1988-11-22 1990-05-30 Seiko Epson Corp 半導体装置の製造方法
DE68921567T2 (de) * 1988-11-30 1995-07-06 Nec Corp Flüssigkristallanzeigetafel mit verminderten Pixeldefekten.
JP2880175B2 (ja) 1988-11-30 1999-04-05 株式会社日立製作所 レーザアニール方法及び薄膜半導体装置
JPH02189954A (ja) 1989-01-18 1990-07-25 Mitsubishi Electric Corp 半導体装置
US5187604A (en) * 1989-01-18 1993-02-16 Hitachi, Ltd. Multi-layer external terminals of liquid crystal displays with thin-film transistors
JPH02224253A (ja) 1989-02-27 1990-09-06 Hitachi Ltd 薄膜半導体装置の製造方法
US5116771A (en) 1989-03-20 1992-05-26 Massachusetts Institute Of Technology Thick contacts for ultra-thin silicon on insulator films
JP2731236B2 (ja) 1989-05-09 1998-03-25 三菱電機株式会社 薄膜結晶層を有する半導体装置の製造方法
JPH0334434A (ja) 1989-06-30 1991-02-14 Hitachi Ltd 薄膜半導体装置及びその製造方法
US5316960A (en) 1989-07-11 1994-05-31 Ricoh Company, Ltd. C-MOS thin film transistor device manufacturing method
US5075259A (en) 1989-08-22 1991-12-24 Motorola, Inc. Method for forming semiconductor contacts by electroless plating
US4996575A (en) 1989-08-29 1991-02-26 David Sarnoff Research Center, Inc. Low leakage silicon-on-insulator CMOS structure and method of making same
US5278093A (en) * 1989-09-23 1994-01-11 Canon Kabushiki Kaisha Method for forming semiconductor thin film
JPH03132041A (ja) 1989-10-18 1991-06-05 Sony Corp 半導体装置の製造方法
JPH03133131A (ja) 1989-10-18 1991-06-06 Mitsubishi Electric Corp 半導体装置
US5153690A (en) 1989-10-18 1992-10-06 Hitachi, Ltd. Thin-film device
JP3029434B2 (ja) 1989-12-29 2000-04-04 正俊 右高 絶縁膜の製造方法及びこの絶縁膜を使用する半導体装置の製造方法
EP0445535B1 (en) * 1990-02-06 1995-02-01 Sel Semiconductor Energy Laboratory Co., Ltd. Method of forming an oxide film
JPH0740569B2 (ja) * 1990-02-27 1995-05-01 エイ・ティ・アンド・ティ・コーポレーション Ecrプラズマ堆積方法
EP0456199B1 (en) * 1990-05-11 1997-08-27 Asahi Glass Company Ltd. Process for preparing a polycrystalline semiconductor thin film transistor
EP0459763B1 (en) 1990-05-29 1997-05-02 Semiconductor Energy Laboratory Co., Ltd. Thin-film transistors
JP2652267B2 (ja) 1990-10-29 1997-09-10 株式会社半導体エネルギー研究所 絶縁ゲイト型半導体装置
US5147826A (en) * 1990-08-06 1992-09-15 The Pennsylvania Research Corporation Low temperature crystallization and pattering of amorphous silicon films
JPH04102375A (ja) 1990-08-22 1992-04-03 Ricoh Co Ltd 薄膜トランジスタ
JPH04110470A (ja) 1990-08-30 1992-04-10 Canon Inc 薄膜形成方法
US5112764A (en) 1990-09-04 1992-05-12 North American Philips Corporation Method for the fabrication of low leakage polysilicon thin film transistors
EP0475838B1 (en) * 1990-09-10 1996-03-06 Sumitomo Electric Industries, Ltd. Superconducting device having a reduced thickness of oxide superconducting layer and method for manufacturing the same
EP0480580A3 (en) * 1990-09-10 1992-09-02 Canon Kabushiki Kaisha Electrode structure of semiconductor device and method for manufacturing the same
JPH0824193B2 (ja) 1990-10-16 1996-03-06 工業技術院長 平板型光弁駆動用半導体装置の製造方法
KR950001360B1 (ko) 1990-11-26 1995-02-17 가부시키가이샤 한도오따이 에네루기 겐큐쇼 전기 광학장치와 그 구동방법
US5237188A (en) * 1990-11-28 1993-08-17 Kabushiki Kaisha Toshiba Semiconductor device with nitrided gate insulating film
US5206749A (en) * 1990-12-31 1993-04-27 Kopin Corporation Liquid crystal display having essentially single crystal transistors pixels and driving circuits
US5376561A (en) 1990-12-31 1994-12-27 Kopin Corporation High density electronic circuit modules
EP0519079B1 (en) * 1991-01-08 1999-03-03 Fujitsu Limited Process for forming silicon oxide film
JP2650003B2 (ja) 1991-02-14 1997-09-03 信越化学工業株式会社 化学的気相成長法によるシリコン単結晶の製造方法およびその原料クロロシラン類中の超微量元素と製造されたシリコン単結晶中の超微量元素の分別定量方法
EP0499979A3 (en) 1991-02-16 1993-06-09 Semiconductor Energy Laboratory Co., Ltd. Electro-optical device
JP2794678B2 (ja) * 1991-08-26 1998-09-10 株式会社 半導体エネルギー研究所 絶縁ゲイト型半導体装置およびその作製方法
US5468987A (en) * 1991-03-06 1995-11-21 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and method for forming the same
JPH04284675A (ja) 1991-03-13 1992-10-09 Ricoh Co Ltd 半導体装置の製造方法
JPH0824104B2 (ja) * 1991-03-18 1996-03-06 株式会社半導体エネルギー研究所 半導体材料およびその作製方法
JP2794499B2 (ja) 1991-03-26 1998-09-03 株式会社半導体エネルギー研究所 半導体装置の作製方法
JPH05182923A (ja) * 1991-05-28 1993-07-23 Semiconductor Energy Lab Co Ltd レーザーアニール方法
US5414442A (en) * 1991-06-14 1995-05-09 Semiconductor Energy Laboratory Co., Ltd. Electro-optical device and method of driving the same
GB9114018D0 (en) * 1991-06-28 1991-08-14 Philips Electronic Associated Thin-film transistor manufacture
JP2888462B2 (ja) 1991-08-26 1999-05-10 株式会社半導体エネルギー研究所 絶縁ゲイト型半導体装置の作製方法
KR960000225B1 (ko) 1991-08-26 1996-01-03 가부시키가이샤 한도오따이 에네루기 겐큐쇼 절연게이트형 반도체장치의 제작방법
TW243541B (zh) * 1991-08-31 1995-03-21 Samsung Electronics Co Ltd
US5244819A (en) * 1991-10-22 1993-09-14 Honeywell Inc. Method to getter contamination in semiconductor devices
US5288662A (en) 1992-06-15 1994-02-22 Air Products And Chemicals, Inc. Low ozone depleting organic chlorides for use during silicon oxidation and furnace tube cleaning
CN100483651C (zh) * 1992-08-27 2009-04-29 株式会社半导体能源研究所 半导体器件的制造方法
US5300187A (en) * 1992-09-03 1994-04-05 Motorola, Inc. Method of removing contaminants
US5330929A (en) * 1992-10-05 1994-07-19 Motorola, Inc. Method of making a six transistor static random access memory cell
US5403762A (en) * 1993-06-30 1995-04-04 Semiconductor Energy Laboratory Co., Ltd. Method of fabricating a TFT
TW226478B (en) 1992-12-04 1994-07-11 Semiconductor Energy Res Co Ltd Semiconductor device and method for manufacturing the same
US5604360A (en) 1992-12-04 1997-02-18 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device including a plurality of thin film transistors at least some of which have a crystalline silicon film crystal-grown substantially in parallel to the surface of a substrate for the transistor
JPH06296023A (ja) 1993-02-10 1994-10-21 Semiconductor Energy Lab Co Ltd 薄膜状半導体装置およびその作製方法
JP3662263B2 (ja) 1993-02-15 2005-06-22 株式会社半導体エネルギー研究所 半導体装置の作製方法
KR0171923B1 (ko) 1993-02-15 1999-02-01 순페이 야마자끼 반도체장치 제작방법
US5275851A (en) * 1993-03-03 1994-01-04 The Penn State Research Foundation Low temperature crystallization and patterning of amorphous silicon films on electrically insulating substrates
US5569936A (en) 1993-03-12 1996-10-29 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device employing crystallization catalyst
KR100203982B1 (ko) 1993-03-12 1999-06-15 야마자끼 순페이 반도체장치 및 그의 제작방법
TW241377B (zh) 1993-03-12 1995-02-21 Semiconductor Energy Res Co Ltd
US5624851A (en) 1993-03-12 1997-04-29 Semiconductor Energy Laboratory Co., Ltd. Process of fabricating a semiconductor device in which one portion of an amorphous silicon film is thermally crystallized and another portion is laser crystallized
JP3193803B2 (ja) 1993-03-12 2001-07-30 株式会社半導体エネルギー研究所 半導体素子の作製方法
US5501989A (en) 1993-03-22 1996-03-26 Semiconductor Energy Laboratory Co., Ltd. Method of making semiconductor device/circuit having at least partially crystallized semiconductor layer
US5422311A (en) 1993-05-03 1995-06-06 Hyundai Electronics Industries Co., Ltd. Method for manufacturing a conductor layer in a semiconductor device
US5481121A (en) 1993-05-26 1996-01-02 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device having improved crystal orientation
US5488000A (en) 1993-06-22 1996-01-30 Semiconductor Energy Laboratory Co., Ltd. Method of fabricating a thin film transistor using a nickel silicide layer to promote crystallization of the amorphous silicon layer
US5594569A (en) 1993-07-22 1997-01-14 Semiconductor Energy Laboratory Co., Ltd. Liquid-crystal electro-optical apparatus and method of manufacturing the same
TW369686B (en) 1993-07-27 1999-09-11 Semiconductor Energy Lab Corp Semiconductor device and process for fabricating the same
US5663077A (en) 1993-07-27 1997-09-02 Semiconductor Energy Laboratory Co., Ltd. Method of manufacturing a thin film transistor in which the gate insulator comprises two oxide films
US5492843A (en) 1993-07-31 1996-02-20 Semiconductor Energy Laboratory Co., Ltd. Method of fabricating semiconductor device and method of processing substrate
JP2975973B2 (ja) 1993-08-10 1999-11-10 株式会社半導体エネルギー研究所 半導体装置およびその作製方法
JP2762215B2 (ja) 1993-08-12 1998-06-04 株式会社半導体エネルギー研究所 薄膜トランジスタおよび半導体装置の作製方法
JP2814049B2 (ja) 1993-08-27 1998-10-22 株式会社半導体エネルギー研究所 半導体装置およびその作製方法
TW264575B (zh) 1993-10-29 1995-12-01 Handotai Energy Kenkyusho Kk
TW299897U (en) 1993-11-05 1997-03-01 Semiconductor Energy Lab A semiconductor integrated circuit
US5612250A (en) 1993-12-01 1997-03-18 Semiconductor Energy Laboratory Co., Ltd. Method for manufacturing a semiconductor device using a catalyst
JP3562590B2 (ja) 1993-12-01 2004-09-08 株式会社半導体エネルギー研究所 半導体装置作製方法
US5654203A (en) 1993-12-02 1997-08-05 Semiconductor Energy Laboratory, Co., Ltd. Method for manufacturing a thin film transistor using catalyst elements to promote crystallization
JP2860869B2 (ja) 1993-12-02 1999-02-24 株式会社半導体エネルギー研究所 半導体装置およびその作製方法
KR100319332B1 (ko) 1993-12-22 2002-04-22 야마자끼 순페이 반도체장치및전자광학장치
JP3378078B2 (ja) 1994-02-23 2003-02-17 株式会社半導体エネルギー研究所 半導体装置の作製方法
JP3402400B2 (ja) * 1994-04-22 2003-05-06 株式会社半導体エネルギー研究所 半導体集積回路の作製方法
JPH07325323A (ja) 1994-06-02 1995-12-12 Matsushita Electric Ind Co Ltd 液晶表示装置
JPH07332262A (ja) * 1994-06-03 1995-12-22 Toyota Autom Loom Works Ltd スクロール型圧縮機
JPH07335906A (ja) 1994-06-14 1995-12-22 Semiconductor Energy Lab Co Ltd 薄膜状半導体装置およびその作製方法
US5508532A (en) * 1994-06-16 1996-04-16 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device with braded silicon nitride
JP3072000B2 (ja) 1994-06-23 2000-07-31 株式会社半導体エネルギー研究所 半導体装置の作製方法
US5970384A (en) 1994-08-11 1999-10-19 Semiconductor Energy Laboratory Co., Ltd. Methods of heat treating silicon oxide films by irradiating ultra-violet light
US5712191A (en) 1994-09-16 1998-01-27 Semiconductor Energy Laboratory Co., Ltd. Method for producing semiconductor device
JP3942651B2 (ja) 1994-10-07 2007-07-11 株式会社半導体エネルギー研究所 半導体装置の作製方法
JP3486240B2 (ja) 1994-10-20 2004-01-13 株式会社半導体エネルギー研究所 半導体装置
US5756364A (en) 1994-11-29 1998-05-26 Semiconductor Energy Laboratory Co., Ltd. Laser processing method of semiconductor device using a catalyst
TW355845B (en) 1995-03-27 1999-04-11 Semiconductor Energy Lab Co Ltd Semiconductor device and a method of manufacturing the same
US5835177A (en) * 1995-10-05 1998-11-10 Kabushiki Kaisha Toshiba Array substrate with bus lines takeout/terminal sections having multiple conductive layers
JPH1031231A (ja) * 1996-07-15 1998-02-03 Sony Corp 反射型ゲストホスト液晶表示装置及びその製造方法
US5809481A (en) * 1996-08-08 1998-09-15 David Baron Advertising method and system
US5721827A (en) * 1996-10-02 1998-02-24 James Logan System for electrically distributing personalized information
JP3916823B2 (ja) * 1999-04-07 2007-05-23 シャープ株式会社 アクティブマトリクス基板およびその製造方法、並びにフラットパネル型イメージセンサ
US7146329B2 (en) * 2000-01-13 2006-12-05 Erinmedia, Llc Privacy compliant multiple dataset correlation and content delivery system and methods

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS59204273A (ja) * 1983-05-06 1984-11-19 Ise Electronics Corp 薄膜トランジスタ
US4928161A (en) * 1986-07-11 1990-05-22 Fuji Xerox Co., Ltd. Thin-film transistor and wiring matrix device and its forming method
US4956680A (en) * 1986-12-22 1990-09-11 Seiko Instruments Inc. Thin film transistor
JPH01120068A (ja) * 1987-11-02 1989-05-12 Oki Electric Ind Co Ltd 薄膜トランジスタ

Also Published As

Publication number Publication date
CN1560691B (zh) 2010-05-26
US20050110091A1 (en) 2005-05-26
CN1560691A (zh) 2005-01-05
KR940004858A (ko) 1994-03-16
US20050003568A1 (en) 2005-01-06
CN1248069A (zh) 2000-03-22
US7416907B2 (en) 2008-08-26
US7329906B2 (en) 2008-02-12
CN1156018C (zh) 2004-06-30
CN1244891C (zh) 2006-03-08
US6168980B1 (en) 2001-01-02
CN100483651C (zh) 2009-04-29
CN1248037A (zh) 2000-03-22
CN1549316A (zh) 2004-11-24
CN1052569C (zh) 2000-05-17
CN1088712A (zh) 1994-06-29
CN1637565A (zh) 2005-07-13
KR0131062B1 (ko) 1998-04-14

Similar Documents

Publication Publication Date Title
CN100465742C (zh) 有源矩阵显示器
CN100367461C (zh) 一种制造薄膜晶体管和电子器件的方法
US6613613B2 (en) Thin film type monolithic semiconductor device
US7452794B2 (en) Manufacturing method of a thin film semiconductor device
KR100333153B1 (ko) 반도체장치제작방법
US6655767B2 (en) Active matrix display device
US5595944A (en) Transistor and process for fabricating the same
US5858823A (en) Semiconductor circuit for electro-optical device and method of manufacturing the same
CN1299340C (zh) 结晶半导体以及半导体装置的制造方法
TWI312577B (zh)
JP3122699B2 (ja) 薄膜状半導体装置の作製方法。
CN100501949C (zh) 半导体器件的制造方法
CN100470740C (zh) 一种制造薄膜晶体管和电子器件的方法
JP3170533B2 (ja) 薄膜状半導体装置の作製方法
JP3560929B2 (ja) 半導体装置の作製方法
JP4112451B2 (ja) 半導体装置の作製方法
JP2001068682A (ja) 半導体装置の作製方法

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
C17 Cessation of patent right
CX01 Expiry of patent term

Expiration termination date: 20130827

Granted publication date: 20090304