CN100466219C - 用于低电容布线的可调节自对准空气间隙介质 - Google Patents

用于低电容布线的可调节自对准空气间隙介质 Download PDF

Info

Publication number
CN100466219C
CN100466219C CNB2004800274275A CN200480027427A CN100466219C CN 100466219 C CN100466219 C CN 100466219C CN B2004800274275 A CNB2004800274275 A CN B2004800274275A CN 200480027427 A CN200480027427 A CN 200480027427A CN 100466219 C CN100466219 C CN 100466219C
Authority
CN
China
Prior art keywords
interconnection
insulating barrier
air gap
hard mask
semiconductor device
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
CNB2004800274275A
Other languages
English (en)
Other versions
CN1856872A (zh
Inventor
R·M·格夫肯
W·T·莫特西夫
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Core Usa Second LLC
GlobalFoundries Inc
Original Assignee
International Business Machines Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by International Business Machines Corp filed Critical International Business Machines Corp
Publication of CN1856872A publication Critical patent/CN1856872A/zh
Application granted granted Critical
Publication of CN100466219C publication Critical patent/CN100466219C/zh
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76831Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers in via holes or trenches, e.g. non-conductive sidewall liners
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/34Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies not provided for in groups H01L21/0405, H01L21/0445, H01L21/06, H01L21/16 and H01L21/18 with or without impurities, e.g. doping materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/764Air gaps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76811Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving multiple stacked pre-patterned masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/7682Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing the dielectric comprising air gaps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5222Capacitive arrangements or effects of, or between wiring layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/5329Insulating materials
    • H01L23/53295Stacked insulating layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

一种可调节自对准低电容集成电路空气间隙结构,包括第一互连(64a),与互连级上的第二互连(64b)相邻;隔离物(60b、60c),沿所述第一和第二互连的相邻侧面形成;以及空气间隙(68),在所述第一和第二互连之间形成。所述空气间隙延伸到所述第一和第二互连的至少一个的上表面(74a、74b)之上以及所述第一和第二互连的至少一个的下表面(76a、76b)之下,以及所述隔离物之间的距离限定所述空气间隙的宽度。所述空气间隙与所述第一和第二互连的相邻侧面自对准。

Description

用于低电容布线的可调节自对准空气间隙介质
技术领域
本发明涉及半导体器件,具体涉及半导体器件中用于低电容布线的可调节自对准空气间隙介质
背景技术
由于半导体芯片中的电路部件的尺寸持续减小,具有在下几个技术代中该技术领域面临的一些互连布线挑战。在这些挑战中,一个问题是在电路布线之间的介质材料中的不希望的电容。一种正在进行以降低互连电容的方法是使用多孔介质。然而,因为这些材料通常具有降低的机械强度和热导率,很难制造芯片并在芯片运行期间散热。在下几个技术代中的其它挑战是预期在布线宽度开始接近其电子平均自由路径时铜布线的电阻率将开始上升。该电阻率上升由于铜的表面和界面粗糙度加剧。双镶嵌沟槽和过孔侧壁贯穿相邻多孔介质区域中的空隙,并使铜电阻率上升。
65nm线宽代面临的另一挑战是物理气相沉积(PVD)或溅射阻挡层将需要替代为化学气相沉积(CVD)或原子气相沉积(ALD)阻挡层,以满足正在进行的厚度减小和一致性改善的需求。如果使用的多孔低k介质材料是开放单元型,如具有连接孔,那么CVD或ALD前体可以扩散进入介质,并降低它的低k特性。同样,依赖于多孔低k介质材料的最大孔尺寸,较薄衬里不能提供连续覆盖来阻止铜扩散进入临近的介质材料。例如,当阻挡层在65nm技术节点需要约50
Figure C200480027427D0005131356QIETU
时,一些现有的多孔低k材料仍然具有200
Figure C200480027427D0005131356QIETU
的最大孔尺寸。
发明内容
考虑到现有技术的问题和缺陷,因此,本发明的一个目的是提供用于半导体器件中具有低电容的介质。
本发明的另一目的是提供当在半导体电路中铜线宽度减小时不具有多孔性问题的低电容介质。
本发明进一步的目的是提供当在半导体电路中铜线宽度减小时不引起铜电阻率增加的低电容介质。
本发明的另一个目的是提供与导线沉积工艺兼容的低电容介质。
在本发明中实现了对于本领域的技术人员将是显而易见的上述和其它目的,本发明旨在可调节自对准低电容集成电路空气间隙结构。一方面,本发明提供了一种半导体器件,包括:第一互连,与互连级上的第二互连相邻;隔离物,沿所述第一和第二互连的相邻侧面形成;以及空气间隙,在所述第一和第二互连之间形成。所述空气间隙延伸到所述第一和第二互连的至少一个的上表面之上以及所述第一和第二互连的至少一个的下表面之下,所述隔离物之间的距离限定所述空气间隙的宽度。所述空气间隙与所述第一和第二互连的相邻侧面自对准。
所述半导体器件优选进一步包括,在所述第一和第二互连的至少一个之下的蚀刻停止层,所述蚀刻停止层位于下面的过孔绝缘层上,从而所述空气间隙延伸到所述第一和第二互连的至少一个的下表面之下相应于所述蚀刻停止层厚度的距离。
优选,与所述第一和第二互连的侧面相邻的所述隔离物包括二氧化硅或氮化硅,所述蚀刻停止层包括碳化硅,以及所述下面的过孔绝缘层包括二氧化硅或氟化二氧化硅。
所述半导体器件优选包括,在所述互连级和所述空气间隙之上的至少一个绝缘层,从而所述空气间隙延伸到所述绝缘层中。在所述互连级和所述空气间隙之上的所述至少一个绝缘层包括氮化硅或氮化硅碳作为覆层,用于所述互连和二氧化硅或氟化二氧化硅作为所述覆层上的绝缘层。
所述半导体器件进一步包括二氧化硅或氮化硅硬掩模隔离物,与所述空气间隙的上部的任一侧自对准,以及所述互连级、所述空气间隙和所述硬掩模隔离物上的绝缘层。所述空气间隙在所述硬掩模隔离物之间延伸并向上延伸到所述绝缘层中。
所述第一和第二互连通过镶嵌工艺或双镶嵌工艺形成,以及包括铜、铝、钨或金。在每个第一和第二互连上,具有可选金属沉积层,包括可选钨层或可选磷化钴钨层。
所述半导体器件进一步包括,在所述第一和第二互连的一个之下的蚀刻停止层,所述蚀刻停止层位于下面的过孔绝缘层上,以及在所述下面的过孔绝缘层之下的第二互连级。在至少一个下面的过孔绝缘层和所述第二互连级之间,设置可选金属沉积层,所述可选金属沉积层包括可选钨层或可选磷化钴钨层。
另一方面,本发明提供了一种用于在半导体器件的互连级上的一对互连之间形成空气间隙的方法,包括以下步骤:沉积半导体器件的多个绝缘层;在所述多个绝缘层上沉积第一硬掩模绝缘层;以及除去部分所述第一硬掩模绝缘层,以暴露所述多个绝缘层的最上层的在其上将要形成互连的区域,所述在其上将要形成互连的区域被隔开。该方法还包括在所述第一硬掩模层和所述多个绝缘层的最上层的暴露区域上沉积第二硬掩模绝缘层,以及除去所述第一硬掩模绝缘层上的部分所述第二硬掩模绝缘层,以暴露所述多个绝缘层的最上层的在其上将要形成互连的区域。这保留与所述多个绝缘层的最上层的在其上将要形成互连的区域相邻的第二硬掩模隔离物。该方法进一步包括利用所述第一硬掩模绝缘层和第二硬掩模隔离物,蚀刻所述下面的多个绝缘层的至少一个以形成互连开口,沉积保形绝缘层以在所述互连开口的侧壁上形成隔离物,以及沉积与所述保形绝缘层隔离物相邻的导电金属,以在所述互连开口中形成互连。然后,该方法蚀刻所述互连和保形绝缘层隔离物之间的部分所述第一硬掩模绝缘层和下面的多个绝缘层,并保留与所述互连和保形绝缘层隔离物相邻的第二硬掩模隔离物,以形成延伸到至少一个所述互连之下的空气间隙,然后,在所述空气间隙上和在所述互连和保形绝缘层隔离物上沉积至少一个绝缘层,以密封所述空气间隙。优选,所述空气间隙延伸到所述互连之上,并延伸到所述至少一个绝缘层中。
所述沉积半导体器件的多个绝缘层的步骤包括以下步骤:沉积半导体器件的第一绝缘覆层;在所述第一绝缘覆层上沉积第二绝缘层;在所述第二绝缘覆层上沉积第三绝缘覆层蚀刻停止层;以及在所述第三绝缘蚀刻停止层上沉积第四绝缘层。在此情况下,除去部分所述第一硬掩模绝缘层的所述步骤暴露所述第四绝缘层的在其上将要形成互连的区域。这也导致在所述互连和保形绝缘层隔离物之间具有所述第一硬掩模绝缘层、第四绝缘层和第三绝缘蚀刻停止层的蚀刻部分,以保留与所述互连和保形绝缘层隔离物相邻的所述第二硬掩模隔离物,并以形成延伸到至少一个所述互连之下的所述空气间隙。
在所述互连之上的所述至少一个绝缘层包括用于所述互连的第五绝缘覆层,和所述覆层之上的第六绝缘层,从而所述空气间隙延伸完全通过所述第五覆层并延伸到部分所述第六绝缘层中。
附图说明
在所附权利要求中具体描述了相信是新颖的本发明的特征以及本发明的元素特性。附图仅是为了说明目的并没有按比例绘制。然而,通过参考下面结合附图的详细描述可以最好地理解本发明本身的组织和操作方法,其中:
图1-11是截面正视图,示出了采用以进行在半导体器件中的本发明的空气间隙介质的一个实施例的工艺步骤。
图12是截面正视图,示出了本发明的空气间隙介质的最终结构的可选实施例,其中用自对准、选择性金属沉积覆层替代下覆层。
具体实施方式
在描述本发明的优选实施例的过程中,这里将参考附图的图1-12,其中相同的标号表示本发明的相同特征。在第一个实施例中,图1描述在先前现有的铜互连布线级上沉积的介质叠层。现有布线级具有衬底介质层20,衬底介质层20包括钨栓塞22、24、26,在衬底介质层20上是沉积的介质层28,介质层28包括在TaN/Ta阻挡层31中的铜线30、32。介质层可以由或不由相同成分构成;例如,介质层20是磷硅玻璃(PSG)而介质层28是未掺杂硅玻璃(USG)或含有硅、碳、氧和氢的玻璃(如甲醇化SiOx、SiCOH)。介质叠层从底部顺序包括覆层34如氮化硅Si3N4,具有良好机械特性和热导率的绝缘层36如USG或氟硅玻璃(FSG),蚀刻停止层38如SiC,具有良好机械特性和热导率的介质(低k)材料层40如SiCOH,以及第一硬掩模层42如碳化硅SiC。蚀刻停止层38与下面的绝缘层36相比具有高蚀刻速率,以及绝缘层40与蚀刻停止层38相比具有高蚀刻速率。然后,施加抗反射涂层(ARC)44和抗蚀剂层46,并如图2所示进行构图用于沟槽图形开口,随后通过ARC和抗蚀剂开口蚀刻硬掩模层42。下一步,如图3所示,沉积第二硬掩模层48如二氧化硅SiO2或Si3N4。然后,施加并构图过孔抗蚀剂层50以形成过孔抗蚀剂图形开口,如图4所示。然后,通过图形开口蚀刻第二硬掩模层48和过孔开口52,54,下至覆层34,然后剥离过孔抗蚀剂50,如图5所示。
图6示出了仅在第一硬掩模层42的上表面上的第二硬掩模层48的覆盖方向性去除蚀刻,该蚀刻保留与第一硬掩模层42边缘的除了通过过孔光暴露的区域的所有区域相邻的第二硬掩模层隔离物48a、48b、48c,即没有隔离物在过孔52的侧壁上形成。结果,隔离物48a和48b自对准并与沟槽/布线/过孔开口56相邻设置,以及隔离物48c与过孔开口54相邻。隔离物的尺寸由第一和第二硬掩模层的每层的厚度决定。然后蚀刻连接过孔开口52和54的沟槽58,下至蚀刻停止层38。
下一步,在曝露层上和现有结构的过孔开口52、54和沟槽56、58中施加保形沉积的第二绝缘层60如SiO2或Si3N4,如图7所示。然后,进行另一蚀刻,用于覆盖方向性去除硬掩模层42、48和蚀刻停止层38之上的绝缘层60的部分,并用于去除过孔开口52、54和沟槽56的底部的绝缘层60的部分,以及过孔开口52和58之下的覆层34的部分。这保留第二隔离物60加衬过孔开口52、54和沟槽56、56。应该注意的是,因为这些第二隔离物减小沟槽开口56、58和过孔开口52、54的尺寸,当最初形成时,沟槽和过孔光需要被暴露和蚀刻加大隔离物宽度的约两倍。
使用常规阻挡-籽晶层和铜沉积工艺以填充双镶嵌结构,因此首先用阻挡层(如TaN/Ta)和籽晶层62给沟槽和过孔完全加衬,然后用铜64填充,然后用化学机械抛光(CMP)去除剩余材料,如图9所述。代替铜,可采用其它的导电材料如铝、钨或金。图10示出了抗蚀剂阻挡掩模66,用于仅暴露将接收空气间隙介质的区域。通过暴露于氧等离子体、氧反应离子蚀刻(RIE)或氧注入,接着进行稀HF蚀刻,侵蚀层42、40和38的暴露部分SiC、SiCOH、SiC,其如图产生了在未掩蔽的最小空间衬里64a、64b之间具有悬垂物48b、48c的空间68。例如,超临界CO2提供用于稀释HF的载体媒介,以去除氧-侵蚀膜。然后施加各向同性沉积的绝缘氮化物覆层70,如氮化硅、氮化硅碳和二氧化硅或FSG绝缘层72,其关闭了空气间隙层68,而没有将其完全填充,如图11所示。因此,空气间隙68延伸完全通过覆层70,并部分通过绝缘层72,延伸到互连级上。可以通过减小悬垂物48b和48c之间的开口,降低空气间隙68的外来填充。可选地,也可以施加由于其表面张力特性而选择的旋涂介质层,以使空气间隙68不被填充。
在图11中描述的半导体器件的结构中,互连布线64a(填充前是沟槽开口56)与在相同互连级上的互连布线64b(填充前是沟槽58和过孔开口52、54)隔开并相邻。隔离物60b和60c沿布线64a、64b之间的相邻侧面形成,并且降低空气间隙68的宽度,所以实际空气间隙介质的宽度比隔开布线64a、64b的印刷距离小。在互连64a、64b之间形成的空气间隙介质68也延伸到布线的上表面74a、74b之上以及布线的下表面76a、76b之下。形成的空气间隙68与互连布线64a、64b的相邻侧面自对准。
在图12中完全示出的另一个实施例使用与上述类似的工艺顺序,除了在铜布线30、32、64a、64b上用自对准金属覆层34′和70′替代氮化硅Si3N4覆层34和70。这可以通过选择性金属沉积工艺施加,以及金属覆层包括选择性钨或选择性磷化钴钨层。在采用自对准金属覆层70′的情况下,绝缘层72仅关闭空气间隙68的顶部。
因而,本发明提供自对准和可调整空气间隙介质,以减小相邻、相近间隔的导线或过孔之间的电容。相邻铜线之上和之下的空气间隙的高度可以选择,以切断边缘电容和增加结构的有效电阻(k)。采用的优选过孔介质是具有相对良好机械特性和热导率的氧化物如USG或FSG。牺牲沟槽介质和蚀刻停止层可用于产生空气间隙,以及它们可以由较高热导率和机械强度的材料构成。可以使用阻挡掩模,以使具有最小间隔并需要低电容的芯片上的区域接收空气间隙。这增加了芯片的热导率和机械稳定性。因为沟槽和过孔由氧化物或氮化物隔离物限定,从而消除了与进入多孔、低k介质的衬里/阻挡层前体相关的问题,如引起介质的Cu污染的阻挡层完整性的问题以及引起Cu电阻率增加的侧壁粗糙的问题。
尽管结合特定优选实施例具体描述了本发明,但是根据上述描述,许多替换、修改和变化对于本领域的技术人员来说是显而易见的。因此,期望所附权利要求将包括落入本发明的真实范围和精神内的任何所述替换、修改和变化。

Claims (19)

1.一种半导体器件,包括:
第一互连,与互连级上的第二互连相邻;
在所述第一和第二互连的至少一个之下的蚀刻停止层,所述蚀刻停止层位于下面的过孔绝缘层上;
隔离物,沿所述第一和第二互连的相邻侧面形成;以及
空气间隙,在所述第一和第二互连之间形成,所述空气间隙延伸到所述第一和第二互连的至少一个的上表面之上,以及所述空气间隙延伸到具有所述蚀刻停止层的所述第一和第二互连的至少一个的下表面之下与所述蚀刻停止层厚度相等的距离,所述隔离物之间的距离限定所述空气间隙的宽度。
2.根据权利要求1的半导体器件,其中所述空气间隙与所述第一和第二互连的相邻侧面自对准。
3.根据权利要求1的半导体器件,其中与所述第一和第二互连的侧面相邻的所述隔离物包括二氧化硅或氮化硅。
4.根据权利要求1的半导体器件,其中所述蚀刻停止层包括碳化硅。
5.根据权利要求1的半导体器件,其中所述下面的过孔绝缘层包括二氧化硅或氟化二氧化硅。
6.根据权利要求1的半导体器件,进一步包括硬掩模隔离物,与所述空气间隙的上部的任一侧自对准。
7.根据权利要求6的半导体器件,其中所述硬掩模隔离物包括二氧化硅或氮化硅。
8.根据权利要求1的半导体器件,进一步包括在所述互连级和所述空气间隙之上的至少一个绝缘层,其中所述空气间隙延伸到所述绝缘层中。
9.根据权利要求8的半导体器件,其中在所述互连级和所述空气间隙之上的所述至少一个绝缘层包括:氮化硅或氮化硅碳作为用于所述第一和第二互连的覆层,和二氧化硅或氟化二氧化硅作为所述覆层上的绝缘层。
10.根据权利要求1的半导体器件,进一步包括硬掩模隔离物,与所述空气间隙的上部的任一侧自对准,以及所述互连级、所述空气间隙和所述硬掩模隔离物上的绝缘层,其中所述空气间隙在所述硬掩模隔离物之间延伸并向上延伸到所述绝缘层中。
11.根据权利要求1的半导体器件,其中所述第一和第二互连通过镶嵌工艺形成。
12.根据权利要求1的半导体器件,其中所述第一和第二互连包括铜、铝、钨或金。
13根据权利要求1的半导体器件,进一步包括在所述第一和第二互连的一个之下的蚀刻停止层,所述蚀刻停止层位于下面的过孔绝缘层上,以及在所述下面的过孔绝缘层之下的第二互连级。
14.根据权利要求13的半导体器件,进一步包括所述至少一个下面的过孔绝缘层和所述第二互连级之间的选择性金属沉积层,所述选择性金属沉积层包括选择性钨层或选择性磷化钴钨层。
15.根据权利要求1的半导体器件,进一步包括在每个所述第一和第二互连之上的选择性金属沉积层,所述选择性金属沉积层包括选择性钨层或选择性磷化钴钨层。
16.根据权利要求11的半导体器件,其中所述镶嵌工艺包括双镶嵌工艺。
17.一种用于在半导体器件的互连级上的一对互连之间形成空气间隙的方法,包括以下步骤:
沉积半导体器件的多个绝缘层;
在所述多个绝缘层上沉积第一硬掩模绝缘层;
除去部分所述第一硬掩模绝缘层,以暴露所述多个绝缘层的最上层的在其上将要形成互连的区域,所述在其上将要形成互连的区域被隔开;
在所述第一硬掩模绝缘层和所述多个绝缘层的最上层的暴露区域上沉积第二硬掩模绝缘层;
除去所述第一硬掩模绝缘层上的部分所述第二硬掩模绝缘层,以暴露所述多个绝缘层的最上层的在其上将要形成所述互连的区域,保留与所述多个绝缘层的最上层的在其上将要形成互连的区域相邻的所述第二硬掩模绝缘层作为第二硬掩模隔离物;
利用所述第一硬掩模绝缘层和第二硬掩模隔离物,蚀刻下面的多个绝缘层的至少一个,以形成互连开口;
沉积保形绝缘层,以在所述互连开口的侧壁上形成隔离物;
沉积与所述保形绝缘层隔离物相邻的导电金属,以在所述互连开口中形成互连;
蚀刻所述互连和保形绝缘层隔离物之间的部分所述第一硬掩模绝缘层和下面的多个绝缘层,并保留与所述互连和保形绝缘层隔离物相邻的第二硬掩模隔离物,以形成延伸到至少一个所述互连之下的空气间隙;
在所述空气间隙上和在所述互连和保形绝缘层隔离物上沉积至少一个绝缘层,以密封所述空气间隙。
18.根据权利要求17的方法,其中所述空气间隙延伸到所述互连之上,并延伸到所述至少一个绝缘层中。
19.根据权利要求17的方法,其中沉积半导体器件的多个绝缘层的所述步骤包括以下步骤:沉积半导体器件的第一绝缘覆层;在所述第一绝缘覆层上沉积第二绝缘覆层;在所述第二绝缘覆层上沉积第三绝缘蚀刻停止层;以及在所述第三绝缘蚀刻停止层上沉积第四绝缘层;
其中除去部分所述第一硬掩模绝缘层的所述步骤暴露所述第四绝缘层的在其上将要形成互连的区域;以及
其中在所述互连和保形绝缘层隔离物之间具有所述第一硬掩模绝缘层、第四绝缘层和第三绝缘蚀刻停止层的蚀刻部分,以保留与所述互连和保形绝缘层隔离物相邻的所述第二硬掩模隔离物,并以形成延伸到至少一个所述互连之下的所述空气间隙。
20.根据权利要求19的方法,其中在所述互连之上的所述至少一个绝缘层包括用于所述互连的第五绝缘覆层,和所述第五绝缘覆层之上的第六绝缘层,其中所述空气间隙延伸完全通过所述第五绝缘覆层并延伸到部分所述第六绝缘层中。
CNB2004800274275A 2003-09-30 2004-09-30 用于低电容布线的可调节自对准空气间隙介质 Expired - Fee Related CN100466219C (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/605,440 2003-09-30
US10/605,440 US7071532B2 (en) 2003-09-30 2003-09-30 Adjustable self-aligned air gap dielectric for low capacitance wiring

Publications (2)

Publication Number Publication Date
CN1856872A CN1856872A (zh) 2006-11-01
CN100466219C true CN100466219C (zh) 2009-03-04

Family

ID=34375676

Family Applications (1)

Application Number Title Priority Date Filing Date
CNB2004800274275A Expired - Fee Related CN100466219C (zh) 2003-09-30 2004-09-30 用于低电容布线的可调节自对准空气间隙介质

Country Status (8)

Country Link
US (2) US7071532B2 (zh)
EP (1) EP1668692B1 (zh)
JP (1) JP5004585B2 (zh)
KR (1) KR100800360B1 (zh)
CN (1) CN100466219C (zh)
AT (1) ATE547809T1 (zh)
TW (1) TWI319903B (zh)
WO (1) WO2005034200A2 (zh)

Families Citing this family (192)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100579846B1 (ko) * 2003-12-11 2006-05-12 동부일렉트로닉스 주식회사 반도체 소자의 금속 배선층 및 이의 제조 방법
DE102004003337A1 (de) * 2004-01-22 2005-08-18 Infineon Technologies Ag Plasmaangeregtes chemisches Gasphasenabscheide-Verfahren, Silizium-Sauerstoff-Stickstoff-haltiges Material und Schicht-Anordnung
KR20050114784A (ko) * 2004-06-01 2005-12-07 동부아남반도체 주식회사 반도체 소자의 구리배선 형성방법
DE102004050391B4 (de) * 2004-10-15 2007-02-08 Infineon Technologies Ag Verfahren zum Herstellen einer Schicht-Anordnung und Schicht-Anordnung
KR100632653B1 (ko) * 2005-04-22 2006-10-12 주식회사 하이닉스반도체 반도체 소자의 비트라인 형성방법
US7629225B2 (en) 2005-06-13 2009-12-08 Infineon Technologies Ag Methods of manufacturing semiconductor devices and structures thereof
EP1744359A1 (en) * 2005-07-12 2007-01-17 ST Microelectronics Crolles 2 SAS Interconnect structure having cavities in its dielectric portion
KR100672731B1 (ko) * 2005-10-04 2007-01-24 동부일렉트로닉스 주식회사 반도체 소자의 금속배선 형성방법
CN1996589B (zh) * 2005-12-31 2010-10-13 上海集成电路研发中心有限公司 利用空气填充降低介电常数的大马士革结构及其制造方法
EP2005468A1 (en) 2006-03-30 2008-12-24 Koninklijke Philips Electronics N.V. Improving control of localized air gap formation in an interconnect stack
US7951723B2 (en) * 2006-10-24 2011-05-31 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated etch and supercritical CO2 process and chamber design
WO2008056295A1 (en) * 2006-11-09 2008-05-15 Nxp B.V. A semiconductor device and a method of manufacturing thereof
US7973409B2 (en) * 2007-01-22 2011-07-05 International Business Machines Corporation Hybrid interconnect structure for performance improvement and reliability enhancement
US20080185722A1 (en) * 2007-02-05 2008-08-07 Chung-Shi Liu Formation process of interconnect structures with air-gaps and sidewall spacers
DE102007020269A1 (de) * 2007-04-30 2008-11-06 Advanced Micro Devices, Inc., Sunnyvale Halbleiterstruktur mit einer elektrischen Verbindung und Verfahren zu ihrer Herstellung
KR100854860B1 (ko) * 2007-06-27 2008-08-28 주식회사 하이닉스반도체 메모리 소자의 제조방법
US20090072409A1 (en) * 2007-09-14 2009-03-19 International Business Machines Corporation Interconnect Structures Incorporating Air-Gap Spacers
US20090075470A1 (en) * 2007-09-14 2009-03-19 International Business Machines Corporation Method for Manufacturing Interconnect Structures Incorporating Air-Gap Spacers
US8476758B2 (en) * 2008-01-09 2013-07-02 International Business Machines Corporation Airgap-containing interconnect structure with patternable low-k material and method of fabricating
US8108820B2 (en) * 2008-09-11 2012-01-31 International Business Machines Corporation Enhanced conductivity in an airgapped integrated circuit
JP5491077B2 (ja) * 2009-06-08 2014-05-14 キヤノン株式会社 半導体装置、及び半導体装置の製造方法
US8519540B2 (en) 2009-06-16 2013-08-27 International Business Machines Corporation Self-aligned dual damascene BEOL structures with patternable low- K material and methods of forming same
US8659115B2 (en) * 2009-06-17 2014-02-25 International Business Machines Corporation Airgap-containing interconnect structure with improved patternable low-K material and method of fabricating
US8163658B2 (en) 2009-08-24 2012-04-24 International Business Machines Corporation Multiple patterning using improved patternable low-k dielectric materials
US8003516B2 (en) * 2009-08-26 2011-08-23 International Business Machines Corporation BEOL interconnect structures and related fabrication methods
US7790601B1 (en) * 2009-09-17 2010-09-07 International Business Machines Corporation Forming interconnects with air gaps
US8202783B2 (en) 2009-09-29 2012-06-19 International Business Machines Corporation Patternable low-k dielectric interconnect structure with a graded cap layer and method of fabrication
US8637395B2 (en) 2009-11-16 2014-01-28 International Business Machines Corporation Methods for photo-patternable low-k (PPLK) integration with curing after pattern transfer
US8367540B2 (en) 2009-11-19 2013-02-05 International Business Machines Corporation Interconnect structure including a modified photoresist as a permanent interconnect dielectric and method of fabricating same
US8642252B2 (en) 2010-03-10 2014-02-04 International Business Machines Corporation Methods for fabrication of an air gap-containing interconnect structure
US8896120B2 (en) 2010-04-27 2014-11-25 International Business Machines Corporation Structures and methods for air gap integration
US8357609B2 (en) * 2010-05-04 2013-01-22 Globalfoundries Inc. Dual damascene-like subtractive metal etch scheme
US8241992B2 (en) 2010-05-10 2012-08-14 International Business Machines Corporation Method for air gap interconnect integration using photo-patternable low k material
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US8373271B2 (en) 2010-05-27 2013-02-12 International Business Machines Corporation Interconnect structure with an oxygen-doped SiC antireflective coating and method of fabrication
US8497203B2 (en) 2010-08-13 2013-07-30 International Business Machines Corporation Semiconductor structures and methods of manufacture
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US8890318B2 (en) 2011-04-15 2014-11-18 International Business Machines Corporation Middle of line structures
US9054160B2 (en) 2011-04-15 2015-06-09 International Business Machines Corporation Interconnect structure and method for fabricating on-chip interconnect structures by image reversal
US8900988B2 (en) 2011-04-15 2014-12-02 International Business Machines Corporation Method for forming self-aligned airgap interconnect structures
US8822137B2 (en) 2011-08-03 2014-09-02 International Business Machines Corporation Self-aligned fine pitch permanent on-chip interconnect structures and method of fabrication
US20130062732A1 (en) 2011-09-08 2013-03-14 International Business Machines Corporation Interconnect structures with functional components and methods for fabrication
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
CN103137545B (zh) * 2011-11-25 2015-08-05 中芯国际集成电路制造(上海)有限公司 半导体器件及其形成方法
CN103165519B (zh) * 2011-12-08 2016-07-27 中芯国际集成电路制造(上海)有限公司 一种半导体器件的制造方法
CN103178000B (zh) * 2011-12-20 2014-11-05 中芯国际集成电路制造(上海)有限公司 半导体器件及其形成方法
US9087753B2 (en) 2012-05-10 2015-07-21 International Business Machines Corporation Printed transistor and fabrication method
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
KR101921465B1 (ko) 2012-08-22 2018-11-26 삼성전자 주식회사 반도체 소자 및 이의 제조 방법
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US8847401B2 (en) 2012-10-31 2014-09-30 International Business Machines Corporation Semiconductor structure incorporating a contact sidewall spacer with a self-aligned airgap and a method of forming the semiconductor structure
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9159671B2 (en) 2013-11-19 2015-10-13 International Business Machines Corporation Copper wire and dielectric with air gaps
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9425096B2 (en) 2014-07-14 2016-08-23 Qualcomm Incorporated Air gap between tungsten metal lines for interconnects with reduced RC delay
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9159606B1 (en) * 2014-07-31 2015-10-13 Applied Materials, Inc. Metal air gap
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9478434B2 (en) 2014-09-24 2016-10-25 Applied Materials, Inc. Chlorine-based hardmask removal
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9431294B2 (en) * 2014-10-28 2016-08-30 GlobalFoundries, Inc. Methods of producing integrated circuits with an air gap
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
CN108369923B (zh) 2015-09-23 2023-03-14 英特尔公司 防止过孔穿通的无掩模气隙
KR102334736B1 (ko) * 2015-12-03 2021-12-03 삼성전자주식회사 반도체 장치 및 그 제조 방법
US9905463B2 (en) 2015-12-15 2018-02-27 International Business Machines Corporation Self-aligned low dielectric constant gate cap and a method of forming the same
KR102616823B1 (ko) * 2015-12-16 2023-12-22 삼성전자주식회사 반도체 장치
US9349687B1 (en) 2015-12-19 2016-05-24 International Business Machines Corporation Advanced manganese/manganese nitride cap/etch mask for air gap formation scheme in nanocopper low-K interconnect
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US9859212B1 (en) 2016-07-12 2018-01-02 International Business Machines Corporation Multi-level air gap formation in dual-damascene structure
US10032711B2 (en) 2016-07-25 2018-07-24 International Business Machines Corporation Integrating metal-insulator-metal capacitors with air gap process flow
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US9786553B1 (en) 2016-09-16 2017-10-10 International Business Machines Corporation Advanced BEOL interconnect structure containing uniform air gaps
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US9911652B1 (en) 2017-03-29 2018-03-06 International Business Machines Corporation Forming self-aligned vias and air-gaps in semiconductor fabrication
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10629478B2 (en) * 2017-08-22 2020-04-21 International Business Machines Corporation Dual-damascene formation with dielectric spacer and thin liner
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
TWI766433B (zh) 2018-02-28 2022-06-01 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10319629B1 (en) * 2018-05-08 2019-06-11 International Business Machines Corporation Skip via for metal interconnects
US11469189B2 (en) * 2018-06-29 2022-10-11 Intel Corporation Inductor and transmission line with air gap
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
US11289371B2 (en) 2020-01-23 2022-03-29 International Business Machines Corporation Top vias with selectively retained etch stops
US20210265205A1 (en) * 2020-02-25 2021-08-26 Tokyo Electron Limited Dielectric etch stop layer for reactive ion etch (rie) lag reduction and chamfer corner protection
CN111501025B (zh) * 2020-04-23 2022-05-27 北京北方华创微电子装备有限公司 沉积设备

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6177329B1 (en) * 1999-04-15 2001-01-23 Kurt Pang Integrated circuit structures having gas pockets and method for forming integrated circuit structures having gas pockets
US6211561B1 (en) * 1998-11-16 2001-04-03 Conexant Systems, Inc. Interconnect structure and method employing air gaps between metal lines and between metal layers
US6413852B1 (en) * 2000-08-31 2002-07-02 International Business Machines Corporation Method of forming multilevel interconnect structure containing air gaps including utilizing both sacrificial and placeholder material
US20020158337A1 (en) * 2000-02-08 2002-10-31 Babich Katherina E. Multilayer interconnect structure containing air gaps and method for making

Family Cites Families (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5117276A (en) * 1989-08-14 1992-05-26 Fairchild Camera And Instrument Corp. High performance interconnect system for an integrated circuit
JPH0722583A (ja) * 1992-12-15 1995-01-24 Internatl Business Mach Corp <Ibm> 多層回路装置
US5324683A (en) * 1993-06-02 1994-06-28 Motorola, Inc. Method of forming a semiconductor structure having an air region
US6281585B1 (en) * 1997-06-30 2001-08-28 Philips Electronics North America Corporation Air gap dielectric in self-aligned via structures
US6184121B1 (en) * 1997-07-10 2001-02-06 International Business Machines Corporation Chip interconnect wiring structure with low dielectric constant insulator and methods for fabricating the same
JP2971454B2 (ja) * 1997-08-21 1999-11-08 松下電子工業株式会社 半導体装置とその製造方法
US6333255B1 (en) * 1997-08-21 2001-12-25 Matsushita Electronics Corporation Method for making semiconductor device containing low carbon film for interconnect structures
US6242336B1 (en) * 1997-11-06 2001-06-05 Matsushita Electronics Corporation Semiconductor device having multilevel interconnection structure and method for fabricating the same
FR2784230B1 (fr) * 1998-10-05 2000-12-29 St Microelectronics Sa Procede de realisation d'un isolement inter et/ou intra-metallique par air dans un circuit integre et circuit integre obtenu
US6150232A (en) * 1999-02-05 2000-11-21 Chartered Semiconductor Manufacturing Ltd. Formation of low k dielectric
US6211057B1 (en) * 1999-09-03 2001-04-03 Taiwan Semiconductor Manufacturing Company Method for manufacturing arch air gap in multilevel interconnection
US6200900B1 (en) * 1999-10-08 2001-03-13 National Semiconductor Corporation Method for formation of an air gap in an integrated circuit architecture
JP5156155B2 (ja) * 1999-10-13 2013-03-06 アプライド マテリアルズ インコーポレイテッド 半導体集積回路を製造する方法
KR20010063852A (ko) * 1999-12-24 2001-07-09 박종섭 반도체소자의 자기정렬적인 콘택 형성방법
US6329279B1 (en) * 2000-03-20 2001-12-11 United Microelectronics Corp. Method of fabricating metal interconnect structure having outer air spacer
US6423629B1 (en) * 2000-05-31 2002-07-23 Kie Y. Ahn Multilevel copper interconnects with low-k dielectrics and air gaps
US6472266B1 (en) * 2001-06-18 2002-10-29 Taiwan Semiconductor Manufacturing Company Method to reduce bit line capacitance in cub drams
DE10140754A1 (de) * 2001-08-20 2003-03-27 Infineon Technologies Ag Leiterbahnanordnung und Verfahren zum Herstellen einer Leiterbahnanordnung
US7138329B2 (en) * 2002-11-15 2006-11-21 United Microelectronics Corporation Air gap for tungsten/aluminum plug applications
US6838354B2 (en) * 2002-12-20 2005-01-04 Freescale Semiconductor, Inc. Method for forming a passivation layer for air gap formation

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6211561B1 (en) * 1998-11-16 2001-04-03 Conexant Systems, Inc. Interconnect structure and method employing air gaps between metal lines and between metal layers
US6177329B1 (en) * 1999-04-15 2001-01-23 Kurt Pang Integrated circuit structures having gas pockets and method for forming integrated circuit structures having gas pockets
US20020158337A1 (en) * 2000-02-08 2002-10-31 Babich Katherina E. Multilayer interconnect structure containing air gaps and method for making
US6413852B1 (en) * 2000-08-31 2002-07-02 International Business Machines Corporation Method of forming multilevel interconnect structure containing air gaps including utilizing both sacrificial and placeholder material

Also Published As

Publication number Publication date
US7071532B2 (en) 2006-07-04
TWI319903B (en) 2010-01-21
US7358148B2 (en) 2008-04-15
US20050067673A1 (en) 2005-03-31
JP2007507903A (ja) 2007-03-29
KR100800360B1 (ko) 2008-02-04
TW200520154A (en) 2005-06-16
US20060202302A1 (en) 2006-09-14
KR20060072129A (ko) 2006-06-27
CN1856872A (zh) 2006-11-01
WO2005034200A3 (en) 2005-09-29
WO2005034200A2 (en) 2005-04-14
JP5004585B2 (ja) 2012-08-22
EP1668692A2 (en) 2006-06-14
EP1668692A4 (en) 2007-05-09
ATE547809T1 (de) 2012-03-15
EP1668692B1 (en) 2012-02-29

Similar Documents

Publication Publication Date Title
CN100466219C (zh) 用于低电容布线的可调节自对准空气间隙介质
US7037851B2 (en) Methods for selective integration of airgaps and devices made by such methods
US10546743B2 (en) Advanced interconnect with air gap
CN100424867C (zh) 集成电路的内连线结构
US8592303B2 (en) Wiring structure and method for manufacturing the same
TW441015B (en) Dual-damascene interconnect structures and methods for fabricating same
US7534696B2 (en) Multilayer interconnect structure containing air gaps and method for making
EP1975999A1 (en) Electronic device and method of manufacturing the same
US20040232552A1 (en) Air gap dual damascene process and structure
US6762120B2 (en) Semiconductor device and method for fabricating the same
CN102171797A (zh) 用于改进的间隙填充、可靠性以及减小的电容的双金属互连
KR100706380B1 (ko) 물결무늬 금속화층 생성을 위한 리소그래피 방법
US7629690B2 (en) Dual damascene process without an etch stop layer
US8084355B2 (en) Methods of forming copper-comprising conductive lines in the fabrication of integrated circuitry
CN101043022B (zh) 半导体元件的制造方法及其半导体元件
TWI251898B (en) Damascene process for fabricating interconnect layers in an integrated circuit
US20020055243A1 (en) Gap-type metallic interconnect and method of manufacture
CN100423226C (zh) 双镶嵌结构的制造方法
CN102054755B (zh) 互连结构及其形成方法
JP2005129937A (ja) 低k集積回路相互接続構造
US20040256733A1 (en) Method for manufacturing a semiconductor device and a semiconductor device
US6750544B1 (en) Metallization system for use in a semiconductor component
EP1608013B1 (en) Method of formation of airgaps around interconnecting line
KR100753132B1 (ko) 듀얼 다마신 공정을 이용한 금속배선 형성 방법
CN112382608A (zh) 铜互连线的制造方法

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
TR01 Transfer of patent right

Effective date of registration: 20171101

Address after: Grand Cayman, Cayman Islands

Patentee after: GLOBALFOUNDRIES INC.

Address before: American New York

Patentee before: Core USA second LLC

Effective date of registration: 20171101

Address after: American New York

Patentee after: Core USA second LLC

Address before: American New York

Patentee before: International Business Machines Corp.

TR01 Transfer of patent right
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20090304

Termination date: 20180930

CF01 Termination of patent right due to non-payment of annual fee