CN100471984C - 沉积材料层的方法 - Google Patents

沉积材料层的方法 Download PDF

Info

Publication number
CN100471984C
CN100471984C CNB028199596A CN02819959A CN100471984C CN 100471984 C CN100471984 C CN 100471984C CN B028199596 A CNB028199596 A CN B028199596A CN 02819959 A CN02819959 A CN 02819959A CN 100471984 C CN100471984 C CN 100471984C
Authority
CN
China
Prior art keywords
substrate
chamber
holes
pressure
chemical milling
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
CNB028199596A
Other languages
English (en)
Other versions
CN1568376A (zh
Inventor
L-Y·陈
D·A·卡尔
I·拜恩格拉斯
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of CN1568376A publication Critical patent/CN1568376A/zh
Application granted granted Critical
Publication of CN100471984C publication Critical patent/CN100471984C/zh
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/04Coating on selected surface areas, e.g. using masks
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45587Mechanical means for changing the gas flow
    • C23C16/45589Movable means, e.g. fans
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/04Coating on selected surface areas, e.g. using masks
    • C23C14/046Coating cavities or hollow spaces, e.g. interior of tubes; Infiltration of porous substrates
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/045Coating cavities or hollow spaces, e.g. interior of tubes; Infiltration of porous substrates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
    • H01L21/2855Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System by physical means, e.g. sputtering, evaporation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76865Selective removal of parts of the layer

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Mechanical Engineering (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Materials Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Chemical Vapour Deposition (AREA)
  • Element Separation (AREA)
  • Physical Vapour Deposition (AREA)

Abstract

一种在衬底上形成具有高高宽比部件的层的方法。由包括一种或多种工艺气体和一种或多种蚀刻物质的气体混合物形成该层。一种或多种工艺气体反应在衬底上沉积材料层。结合材料层的沉积,蚀刻物质选择性地去除邻近高高宽比部件开口沉积的材料层的部分,以无空隙和/或无缝隙的方式填充该部件。可以利用物理气相沉积(PVD)和/或化学气相沉积(CVD)技术在衬底上沉积该材料层。

Description

沉积材料层的方法
技术领域
本发明关于沉积材料层的方法,更具体的是关于沉积用于集成电路制造的材料层的方法。
背景技术
可靠地制造亚半(sub-half)微米或更小部件是新一代超大规模集成(VLSI)以及超大规模集成(ULSI)电路的一种关键技术。特别的是,随着电路技术前沿的推进,缩小VLSI和ULSI中的互连部件尺寸的技术对加工能力提出了额外的要求。例如,多级互连部件需要高高宽比(aspect ratio)结构(例如部件高度对部件宽度的比值)的精细加工,诸如通路、线和触点结构的精细加工。可靠地形成这些部件对于继续致力于提高电路密度和集成电路质量是非常重要的。
随着电路密度增加,通路、线和触点的宽度会减小至亚微米尺寸(例如,小于0.25微米或更小),但是这些结构之间的电介质材料层的厚度一般基本保持不变,增加了这种结构的高宽比。许多传统的沉积工艺很难填充高宽比超出4:1的亚微米结构,尤其是高宽比超出10:1的亚微米结构。
图1A-B示出在衬底1上的高高宽比部件6中的材料层沉积的可能的结果。高高宽比部件6可以是任意开口,诸如在相邻部件2之间形成的间隔、触点、通路或限定在层2中的沟槽。如图1A所示,利用常规沉积技术沉积的材料层11趋向于以比在底部6B或侧面6S高的速率沉积在部件6的顶部边缘6T,因此产生突起(overhang)。此突起或材料的过沉积有时称之为凸起(crowning)。这种过量材料在部件6的顶部边缘6T上继续积累直到开口由在其中形成空隙14的沉积材料11封闭。此外,如图1B中所示,当沉积在开口6的两侧面6S上的材料层11汇合时,形成缝隙8。空隙或缝隙的存在会导致集成电路性能不可靠。
因此,需要一种在衬底上沉积材料层以提供高高宽比开口的无空隙和无缝隙填充的方法。
发明内容
一种在衬底上形成具有高高宽比部件的层的方法。由包括一种或多种工艺气体和一种或多种蚀刻物质的气体混合物形成该层。该一种或多种工艺气体发生反应以在基片上沉积一层材料层。结合材料层的沉积,蚀刻物质选择性地去除邻近高高宽比部件开口的沉积材料层的部分,以无空隙和/或无缝隙的方式填充这些部件。可以利用物理气相沉积(PVD)和/或化学气相沉积(CVD)技术在衬底上沉积该材料层。
该材料层沉积工艺与集成电路制造技术是一致的。在一种集成电路制造技术中,可以沉积用作沟槽隔离的绝缘材料的氧化物层。对于这种实施例,优选的工艺顺序包括:提供在其上具有在有源半导体区域(active semiconductor region)之间形成的沟槽区的衬底。之后,用氧化物层填充该沟槽区,此氧化物层由包括一种或多种工艺气体和一种或多种蚀刻物质的气体混合物形成。一种或多种工艺气体发生反应以在沟槽区中沉积此氧化物层。结合氧化物层的沉积,蚀刻物质选择性地去除邻近高高宽比的沟槽开口的此氧化物层的部分。
在另一种集成电路制造技术中,制造了一种集成电路互连结构。对于这种实施例,优选的工艺顺序包括提供一个其上具有一个或多个介质层的硅衬底,在介质层中限定有孔。在该孔表面上保形沉积(conformably depositing)一个或多个阻挡层。所述一个或多个阻挡层由一种气体混合物形成,该气体混合物包括一种或多种工艺气体和一种或多种蚀刻物质。所述一种或多种工艺气体发生反应以在所述孔中沉积阻挡材料。结合阻挡层的沉积,蚀刻物质选择性地去除邻近高高宽比孔口(aperture opening)的该阻挡材料的部分。沉积一层或多层阻挡层之后,当在所述一层或多层阻挡层上保形沉积至少一层金属层时,完成该互连结构。金属层由包括一种或多种工艺气体和一种或多种蚀刻物质的气体混合物形成。所述一种或多种工艺气体发生反应以在所述孔中沉积此金属层。结合此金属层的沉积,蚀刻物质选择性地去除邻近高高宽比孔口的此金属层的部分。
附图说明
通过下述详细描述并结合附图可以更清楚地理解本发明的精神,其中:
图1A-1B是利用常规现有技术沉积工艺填充的高高宽比部件的可能的沉积结果的剖视图;
图2示意性示出用于本文中描述的实施例实践的设备;
图3示出物理气相沉积(PVD)室的示意性剖视图;
图4示出包括多个孔的气体组件的俯视图;
图5示出化学气相沉积(CVD)室的示意性剖视图;
图6A-6D示出集成电路制造过程中的不同阶段的衬底的示意性剖视图;
图7示出利用本文所描述的方法沉积材料层的工艺步骤的顺序;和
图8A-8B示出集成电路制造过程中的不同阶段的衬底的示意性剖面图。
具体实施方式
图2是晶片处理系统35的示意性表示,该系统可以用于根据本文所描述的实施例进行集成电路的金属化。晶片处理系统35一般包括工艺室36、38、40、41、排气(degas)室44、装载锁定(load lock)室46、传送室48、50、贯通(pass-through)室52、微处理器控制器54与其它硬件元件,诸如电源(未示出)和真空泵(未示出)。这种晶片处理系统35的一个实例是美国加利福尼亚州圣克拉拉市的应用材料有限公司的商用
Figure C02819959D0007144349QIETU
系统。
在1993年2月16日公开的题目为“Staged-Vacuum SubstrateProcessing System and Method(分级式真空衬底处理系统和方法)”的共同受让的美国专利No.5186718中描述了这种晶片处理系统35的细节,这里将其收编以供参考。下面对此晶片处理系统35的主要部件进行简单描述。
晶片处理系统35包括两个传送室48、50,每一个含有传送机械手49、51。传送室48、50被贯通室52互相分开。
传送室48与装载锁定室46、排气室44、预净化室42和贯通室52耦合。衬底(未示出)通过装载锁定室46被装载进晶片处理系统35中。之后,衬底依次分别在排气室44和预净化室42中排气和净化。传送机械手49在排气室44与预净化室42之间移动衬底。
传送室50与一组工艺室36、38、40、41。将净化的衬底从传送室48经由贯通室52移动到传送室50。之后,传送机械手51在一个或多个工艺室36、38、40、41之间移动衬底。
工艺室36、38、40和41用于进行各种集成电路制造工序。例如,工艺室36、38、40、41可以包括物理气相沉积(PVD)室、离子化金属等离子体物理气相沉积(IMP PVD)室、化学气相沉积(CVD)室、快速热处理(RTP)室和消反射膜(ARC,anti-reflective coating)室,等。
图3示出晶片处理系统35的溅射型物理气相沉积(PVD)工艺室36的示意性剖视图。这种PVD工艺室36的一个实例是美国加利福尼亚州圣克拉拉市的应用材料有限公司的商用IMP VECTRATM室。
PVD室36与气体源104、泵系统106和靶电源108。PVD室36中有靶110、位于可垂直移动的基座(pedestal)112上的衬底120,以及包含反应区118的罩114。升降机构(lift mechanism)116与基座112耦合,以相对于靶110定位基座112。
气体源104将一种或多种工艺气体供给PVD室36。所述一种或多种工艺气体可以包括诸如氮(N2)、氦(He)、氖(Ne)、氩(Ar)或一些其它惰性气体。泵系统106控制PVD室36中的压力。
所述一种或多种工艺气体还包括诸如氮(N2)或氧(O2)的反应物。所述反应物能够与来自靶110的溅射的并且离子化的粒子发生反应以在衬底120上形成材料层122。
靶110一般悬挂在PVD室36的顶部。靶110包括在晶片处理系统35操作期间被溅射的材料。虽然作为要沉积的的材料,靶可以包括绝缘体或半导体,靶110一般包括金属。例如,靶可以由钽(Ta)、钨(W)、铜(Cu)或其它现有技术公知的其它材料形成。
在PVD室36中,基座112支撑衬底120。在处理期间,基座一般设置在离靶110一固定距离处。然而,靶110与衬底120之间的此距离在处理期间也可以改变。基座112由升降机构116支撑,该升降机构沿着PVD室36内垂直运动的范围移动基座112。
用靶电源108注入一种或多种具有能量的工艺气体,该靶电源108可以包括直流(DC)源、射频(RF)源、直流(DC)-脉冲源或微波源。向工艺气体施加DC或RF功率在反应区118中产生电场。该电场电离反应区118中的工艺气体,以形成包括工艺气体离子、电子和工艺气体原子(中子)的等离子体。另外,电场加速向靶110的工艺气体离子,以从靶110溅射靶粒子。当等离子体中的电子与溅射靶粒子碰撞时,这些靶粒子被电离。
PVD室36的结构能够将来自靶110溅射的并且离子化的靶粒子沉积在衬底120上以在此衬底上形成膜122。罩114将溅射粒子和非反应物气体限定在PVD室36内的反应区中。同样地,罩114阻止靶粒子沉积在不期望的位置,例如在基座112之下或靶110之后。
PVD室36可以包括用于提高溅射粒子沉积到衬底120上的其它部件。例如,PVD室36可以包括用于偏置衬底120的偏压电源124。偏压电源124与基座112耦合,以控制沉积到衬底120上的材料层。偏压电源124一般为具有例如大约400kHz频率的交流(AC)电源。
当将来自电源124的偏压功率(bias power)施加到衬底120上时,等离子体中的电子向衬底120积聚,在衬底120和基座112上产生负DC偏移量。施加到衬底120的偏压功率吸引离子化的溅射靶粒子。这些离子化的靶粒子一般在基本垂直于衬底的方向中被吸引到衬底120。同样地,偏压电源124提高靶粒子在衬底120上的沉积。
PVD室36还可以包括设置在靶110之后的磁体126或磁局部装配(subassembly),用于产生最接近靶110的磁场。PVD室36还包括设置在靶110与衬底120之间的罩114内的线圈130。线圈130可以包括单匝线圈或多匝线圈,通电时,该线圈电离溅射粒子。该工艺为公知的离子金属等离子体(IMP)沉积。线圈130一般与具有例如大约2MHz频率的AC源132耦合。
将蚀刻物质从远程源170提供PVD室36。经由蚀刻气体组件175中的一个或多个孔172将蚀刻物质提供给室36。蚀刻物质可以包括通过远程电源174产生的赋能粒子(energized particle),该远程电源与远程源170耦合。远程电源优选为RF能量源。将RF电源施加到蚀刻气体产生电场,该电场电离蚀刻物质,以形成包括离子、电子和原子的等离子体。
图4提供在PVD室36内部的衬底120的俯视图。蚀刻气体组件175设置在衬底之上。蚀刻气体组件175包括一个或多个孔172。通过一个或多个孔172将蚀刻物质提供给PVD室36。
孔172设置在衬底120的上方,用于以平行气流的形式将蚀刻物质输送到衬底120上。适合的气流机构已经由Schmitt et al.在下列专利中进行了描述:1998年3月10日公开的,题目为“Apparatus for the HighSpeed,Low Pressure Gas Jet Depostion of Conducting and Dielectric ThinSolid Films”的美国专利No.5725672;以及1993年10月26日公开的题目为“Microwave Plasma Assisted Supersonic Gas Jet Deposition ofThin Film Materials”的美国专利No.5256205,它们被收编在此以供参考。
一个或多个孔172一般特征为具有内部腔和喷嘴开口(未示出)。内部腔与远程源170耦合。远程源170将蚀刻物质提供给蚀刻气体组件175的内部腔。
孔172设置在衬底120的上方,以便于以平行方式向衬底提供蚀刻物质。孔172可以沿轴180彼此间隔开设置,以将蚀刻物质均匀输送到衬底120上。虽然图4显示蚀刻气体组件175仅有八个孔172,有位于不同于图4中示出的那些位置的更多或更少的孔172的组件175也包括在本发明的范围内。
蚀刻气体组件175可以选择地通过相对于衬底120的平移或旋转运动来移动。蚀刻气体组件175在PVD室36中,相对于衬底的运动通常有利于提高蚀刻物质输送到衬底的均匀度。蚀刻气体组件可以沿着例如轴190作线性运动或作圆周运动。蚀刻气体组件175优选相对于衬底以大于大约0.1cm/min的速率运动。
图5示出晶片处理系统35的化学气相沉积(CVD)工艺室38的示意性剖视图。这种CVD室38的实例包括美国加利福尼亚州圣克拉拉市的应用材料有限公司生产的商用TXZTM室、WXZTM室和PRECISION 室。
CVD室38一般容纳用于支撑衬底290的晶片支撑基座250。晶片支撑基座250可以利用位移机构(displacement mechansim未示出)在CVD室38中,在垂直方向移动。
取决于具体的CVD工艺,在沉积之前或沉积期间,将衬底290加热到期望的温度。例如,通过埋入加热元件270加热晶片支撑基座250。通过将来自AC电源206的电流施加到加热元件270上可以以电阻加热方式加热晶片支撑基座250。然后,基座250加热衬底290。
还可以将诸如热电偶的温度传感器272埋入晶片支撑基座250中,以常规方式监测基座250的温度。此测量的温度用在反馈回路中以控制用于加热元件270的AC电源206,以使衬底温度保持或控制在适合于具体工艺应用的期望的温度。还可以选择用辐射热(未示出)加热晶片支撑基座250。
使用真空泵202抽空CVD室38,并且在CVD室38内保持适当的气流和压力。喷头(showerhead)220位于晶片支撑基座250的上方,通过该喷头将工艺气体引入CVD室38中。此外,该喷头还将蚀刻物质引入工艺气体。喷头220与气体控制板(gas panel)230连接,该喷头控制并供给提供给CVD室38的各种工艺气体以及蚀刻物质。
通过质量流量控制器(mass flow controller)(未示出)和微处理器控制器54(图2)正确地控制和调节穿过气体控制板230的气流。喷头220使工艺气体从此气体控制板230被均匀地引入和分布在CVD室38中。
蚀刻剂存储于其中的远程室280与CVD室38连接。蚀刻剂包括穿过喷头220上的一个或多个孔172(图4)提供给CVD室38的蚀刻物质。可以借助于远程电源274给蚀刻物质通电。远程电源274优选为RF能量源。向蚀刻物质施加RF功率产生电场。该电场电离蚀刻物质,以形成包括离子、电子和原子(中子)的等离子体。
虽然图5示出穿过喷头220输送蚀刻物质,通过分离的气体组件(未示出)向CVD室38输送蚀刻物质也包括在本发明的范围内,例如,该分离的气体组件可以邻近喷头220,或可选择地围绕喷头220设置(未示出)。
例如,喷头220的孔172可以按图4中示出的以及按上述有关PVD室36的描述的结构中组装。虽然图4示出的组件175仅具有八个孔172,该组件可以具有位于不同于图4中示出的那些位置的更多或更少的孔172。此外,喷头可选择地通过相对于衬底120的平移或旋转运动来移动。喷头220在CVD室38中相对于衬底的运动通常有利于提高蚀刻物质输送到衬底的均匀度。喷头220可以沿着例如轴190(图4)作线性运动或作圆周运动。喷头优选以大于大约0.1cm/min的速率相对于衬底运动。
CVD室38可以包括用于提高在衬底290上层沉积的其它元件。例如,喷头220和晶片支撑基座250还可以形成一对间隔开的电极。当在这些电极之间产生电场时,被引入CVD室38中的工艺气体点火(ignite)成等离子体。
一般地,通过将晶片支撑基座250通过匹配网络(未示出)与射频(RF)电源耦合(未示出)产生电场。可选择地,RF电源与匹配网络可以与喷头220耦合,或与喷头220和晶片支撑基座250两者耦合。
通过向衬底表面附近的反应区施加此电场,等离子体增强化学气相沉积(PEVCVD)技术促进反应物气体的激发(excitation)和/或离解(disassociation),产生各种反应物质(reactive species)的等离子体。等离子体中的各种粒子的反应性降低将要发生的化学反应所需要的能量,结果降低用于这种PECVD工艺所需要的温度。
参考图2,上述的PVD工艺室36和CVD工艺室38各自由微处理器控制器54来控制。微处理器控制器54可以是任何形式的一般用途的计算机处理器(CPU),这些计算机处理器(CPU)可能以设定成用于控制各种室或子处理器的形式使用。计算机可以使用任何适合的存储器,诸如随机存储器、只读存储器、软磁盘驱动器、硬磁盘或其它形式的数字存储器,本地的或远程的。各种支持电路可以与CPU耦合以用常规方式支持此处理器。需要的软件例程可以存储在存储器中或由设置在远处的第二CPU执行。
将衬底设置在基座上之后,执行软件例程。当执行软件例程时,其将通用计算机转变为用于控制室操作以便于执行室工艺的特定工艺计算机。可选择地,软件例程可以以硬件执行(perform in hardware),作为一个应用的特殊集成电路或其它类型的硬件实现(hardwareimplemention),或软件或硬件的组合。
材料层沉积
集成阻挡层结构
在一个实施例中,通过在高高宽比结构中形成集成阻挡层结构随后沉积一层或多层金属层来金属化集成电路。可以通过在衬底上保形沉积一层或多层包括例如钛(Ti)、氮化钛(TiN)、钽(Ta)、氮化钽(TaNx)、钨(W)或氮化钨(WNx)等的阻挡层,来形成集成阻挡层结构。可以利用物理气相沉积(PVD)或化学气相沉积(CVD)在衬底上保形沉积一层或多层阻挡层。
PVD沉积
通常,可以用下面的沉积工艺参数,利用PVD方法保形形成阻挡层。这些工艺参数范围如下所述:晶片温度大约为20℃至大约300℃、室压大约为0.1毫托至大约100托、直流电源功率大约为1千瓦至大约20千瓦的DC电源、以及偏压功率大约为1瓦至大约500瓦。
当要形成氮化物基阻挡层时,向PVD沉积室提供氮气(N2)。当形成TiNx、TaNx或WNx时,向PVD室提供流速大约为100sccm至大约2000sccm范围内的N2气体。
同样,可以向PVD沉积室提供诸如氦(He)或氩(Ar)的惰性气体,以将室压保持在期望的压力范围。可以以大约100sccm至大约5000sccm范围内的流速向此沉积室提供此惰性气体。
上述PVD工艺参数为一层或多层阻挡层提供了大约在50
Figure C02819959D0013144012QIETU
/min至大约500/min范围内的沉积速率。
结合阻挡层的沉积,向PVD室36供给蚀刻物质。将蚀刻物质以平行方式流向衬底400,以便于在高高宽比结构的顶部保持蚀刻物质的高浓度。平行输送是指蚀刻物质在垂直于衬底表面的方向运动。
取决于要沉积的阻挡材料,可以用含氟和/或氯的气体作为蚀刻物质。例如,可以使用六氟化硫(SF6)、四氯化碳(CCl4)、三氟甲烷(CHF3)、二氟甲烷(CH2F2)和氟氯甲烷(CH2FCl)等。
蚀刻物质的平行输送优选减小在蚀刻物质向衬底运动过程中发生碰撞的次数和/或频率。气体的随机的无方向性的动能可以转化为导向衬底的动能。同样,蚀刻物质更有可能在它们最活泼的化学状态下,到达高高宽比结构的顶部,因此增强在该区域的蚀刻。
为了使蚀刻物质足够准直,远程源170中的压力大于PVD室中的压力。在这些条件下,穿过孔172的蚀刻物质流被导向衬底120。例如,远程源170中的气体压力可以在大约1至10托的范围内,而PVD室中的压力可以在例如大约1毫托(militorr)至大约1托的范围内。
CVD沉积
利用CVD技术,通过热分解例如钨前体、含钛前体或含钽前体来形成一层或多层阻挡层。钨前体可以选自六氟化钨(WF6)和羰基钨(W(CO)6)。可以选择含钽的前体,例如可以从五氯化钽(TaCl5)、五(二乙基酰胺)钽(PDEAT)(Ta(Net2)5)、五(乙基甲基酰胺)钽(PEMAT)(Ta(N(Et)(Me))5),以及五(二甲基酰胺)钽(PDMAT)(Ta(Nme2)5)等组成的组中选择。可以选择含钛的前体,例如可以从四氯化钛(TiCl4)、四(二乙基酰胺)钛(TDEAT)(Ti(Net2)4)、四(乙基甲基酰胺)钛(TEMAT)(Ti(N(Et)(Me))4),以及四(二甲基酰胺)钛(TDMAT)(Ti(NMe2)4)等的组中选择。
诸如氢(H2)、氦(He)、氩(Ar)和氮(N2)等的载体气体(carriergas)可以与坦、钛或钨前体混合。
通常,可以使用下面的工艺参数利用CVD技术,在类似于图5中示出的工艺室中,形成一层或多层阻挡层。这些工艺参数范围如下所述:晶片温度低于大约450℃、室压大约为0.1毫托(mtorr)至大约10托、钽、钛或钨前体流速大约为50sccm至大约7000sccm,以及载体气体流速大约为100sccm至大约1slm。上述工艺参数一般提供的用于CVD方法沉积一层或多层阻挡层的沉积速率大约为10
Figure C02819959D0014144122QIETU
/min至大约2000/min范围内。
取决于要沉积的阻挡材料,可以使用含氟和/或氯的气体作为蚀刻物质。例如,六氟化硫(SF6)、四氯化碳(CCl4)、三氟甲烷(CHF3)、二氟甲烷(CH2F2)和氟氯甲烷(CH2FCl)等。
蚀刻物质的平行输送优选减少/减小在蚀刻物质向衬底运动过程中发生碰撞的次数和/或频率。气体的随机的无方向性的动能可以转化为导向衬底的动能。同样,蚀刻物质更有可能在它们最活泼的化学状态下,到达高高宽比结构的顶部,因此增强在该区域的蚀刻。
为了使蚀刻物质足够准直,源280中的压力大于CVD室中的压力。在这种条件下,穿过孔172的蚀刻物质流被导向衬底120。例如,远程源280中的气体压力可以在大约1至10托的范围内,而CVD室中的压力可以在例如大约1毫托至大约1托的范围内。
上述工艺参数适合在来自美国加利福尼亚州圣克拉拉市的应用材料有限公司的商用的沉积室中的200mm(毫米)衬底上实施。其它沉积室也包括在本发明的范围内,并且上面列出的参数可以根据所使用的具体沉积室来改变,以形成一层或多层阻挡层。例如,其它沉积室的体积可能较大(例如室构成造成容纳300mm的衬底)或较小,因此需要的气体流速或功率须大于或小于应用材料公司生产的商用的沉积室所列出的那些气体流速或功率。
阻挡层形成后,在集成阻挡层结构上保形沉积一层或多层金属层。利用化学气相沉积(CVD)、物理气相沉积(PVD)和/或其结合来保形沉积一层或多层金属层。例如,可以由含有Cu+2(hfac)2(六氟乙酰丙酮化铜)、Cu+2(hod)2(七氟二甲基辛烷二烯铜)、Cu+1hfac TMVS(六氟乙酰丙酮三甲基乙烯硅烷铜)或其组合沉积CVD铜层。
取决于要沉积的阻挡材料,可以使用含氟和/或氯的气体作为蚀刻物质。例如,六氟化硫(SF6)、四氯化碳(CCl4)、三氟甲烷(CHF3)、二氟甲烷(CH2F2)和氟氯甲烷(CH2FCl)等。
蚀刻物质的平行输送优选减少/减小在蚀刻物质向衬底运动过程中发生碰撞的次数和/或频率。气体的随机的无方向性的动能可以转化为导向衬底的动能。同样,蚀刻物质更有可能在它们最活泼的化学状态下,到达高高宽比结构的顶部,因此增强在该区域的蚀刻。
为了使蚀刻物质足够准直,源170中的压力大于PVD或CVD室中的压力。在这种条件下,穿过孔172的蚀刻物质流导向衬底120。例如,远程源170、280中的气体压力可以在大约1至10托的范围内,而PVD或CVD室中的压力可以在例如大约1毫托至大约1托的范围内。
图6A-6D是经历集成阻挡层沉积各个阶段的衬底的示意性剖视图。取决于处理的具体阶段,衬底可以相应于硅晶片或在其上已经形成的其它材料层。
图6A示出衬底400的剖视图,其中衬底400上形成有层402。层402至少有一个开口406,在开口406露出部分衬底400的表面。开口406一般表示诸如通路的互连部件。该互连部件具有大于大约4:1的高宽比(部件深度与部件宽度的比)。
图7示出工艺流程图,此流程图显示执行用于在开口406中沉积集成阻挡层结构的典型工艺程序。在步骤600中,衬底400被放进工艺室中。例如,工艺室可以是安装在工具组35中的化学气相沉积室38或物理气相沉积室36,诸如图2-3和5中示出的。
如图6A中和图7的步骤610-620中示出的,一种或多种工艺气体490供给室。对于PVD室,一种或多种工艺气体490可以包括一种或多种惰性气体,该气体用于将来自PVD溅射靶的材料溅射到至少一个开口406中的衬底400上。惰性气体可以是,例如氩(Ar)和氦(He)。
对于CVD室,一种或多种工艺气体490可以包括一种或多种发生反应以在衬底400上形成阻挡层410的气体。例如一种或多种工艺气体490可以包括四氯化钛(TiCl4)和氨气(NH3)。工艺气体490用于在开口460内侧,在衬底400上沉积阻挡层410。工艺气体还包括蚀刻物质。蚀刻物质可以包括赋能粒子。
参考图6B,工艺气体490中的蚀刻物质以大于在开口底部406B或侧面406S沉积材料的速率的速率去除沉积在开口顶部406T周围的材料。这使沉积在开口406的底部406B或侧面406S进行,而开口406顶部406T不会由于过量沉积被过早地封闭。
如图6C所示,在形成阻挡层410后,开口406用金属412填充。由工艺气体495沉积金属层412。金属层412沉积在先前在开口406内形成的阻挡层410上。取决于要沉积的金属层,工艺气体490的成分可以与工艺气体495的成分相似。工艺气体495中的蚀刻物质再次优先去除沉积在开口406顶部406T周围的过量金属412,以便于开口406以自下到上(bottom-up)的方式填充,如图6D中示出。
沟槽绝缘体结构
图8A-8B示出集成电路制造工序中不同阶段的衬底800的示意性剖视图,所述制造工序包括将氧化物层作为沟槽绝缘材料。通常,衬底800是指在其上执行处理的任何工件,而衬底结构850一般用于表示衬底和在衬底800上形成的其它材料层。取决于处理的具体阶段,衬底800可以相应于硅衬底,或在此衬底上已经形成的其它材料层。
例如,图8A示出沟槽结构850的剖视图。沟槽结构包括有源半导体区(active semiconductor region)803和沟槽区804。沟槽区804的深度可以大约为5-10微米。
图8B示出在图8A的沟槽结构850上形成的氧化物层805。氧化物层805填充沟槽结构850的沟槽区804。
氧化物层804可以是硅酸盐,诸如通过使包括硅烷化合物、氧气源和惰性气体的气体混合物发生反应而形成的低介电常数的有机硅酸盐层。硅烷化合物的通式可以是Si(CH3)aH4-a,其中a的范围为1到4。例如,甲基硅烷(SiCH6)、二甲基硅烷(SiC2H8)、三甲基硅烷(SiC3H10)和四甲基硅烷(SiC4H12)可以用作有机硅烷化合物。
氧气(O2)、臭氧(O3)、一氧化二氮(N2O)、一氧化碳(CO)、二氧化碳(CO2)或其组合等,可以用作氧气源。氦(He)、氩(Ar)和其组合等,可以用作惰性气体。
通常,用下面沉积工艺参数,用与图5中示出的CVD工艺室类似的工艺室,形成硅酸盐层。所述工艺参数范围如下:晶片温度大约为50℃至大约250℃,室压大约为1托至大约500托,硅烷化合物气体流速大约为50sccm至大约1000sccm,氧源气体流速大约为10sccm至大约1000sccm,以及惰性气流速大约为1000sccm至大约10,000sccm。当在美国应用材料有限公司生产的沉积室中的200mm(毫米)的衬底上实施时,上面的工艺参数提供的有机硅酸盐层的沉积速率大约在0.1微米/分钟至大约2微米/分钟的范围内。
取决于要沉积的氧化物材料的成分,可以用含氟和/或氯的气体作为蚀刻物质。例如,六氟化硫(SF6)、三氟甲烷(CHF3)、二氟甲烷(CH2F2)和氟氯甲烷(CH2FCl)等。
蚀刻物质的平行输送优选减少/减小在蚀刻物质向衬底运动过程中发生碰撞的次数和/或频率。气体的随机的无方向性的动能可以转化为导向衬底的动能。同样,蚀刻物质更有可能在它们最活泼的化学状态下,到达高高宽比结构的顶部,因此增强在该区域的蚀刻。
为了使蚀刻物质足够准直,远程源280(图5)中的压力大于CVD室中的压力。在这种条件下,穿过孔172的蚀刻物质流被导向衬底290。例如,远程源280中的气体压力可以在大约1至10托的范围内,而CVD室中的压力可以在例如大约1毫托至大约1托的范围内。
参考图8B,工艺气体中的蚀刻物质以大于在开口底部或侧面沉积材料的速率的速率去除沉积在开口顶部804周围的材料805。这使沉积在沟槽的底部或侧面进行,而顶部区域不会由于过量沉积被过早地封闭。
虽然已经示出并详细描述了几个包含在本发明精神中的优选实施例,本领域技术人员可以容易想出许多其它的实施例,这些实施例仍包含在本发明的精神范围内。

Claims (17)

1、一种薄膜沉积方法,包括:
将具有高高宽比部件的衬底放置在化学气相沉积室中;
向所述沉积室提供气体混合物,其中所述气体混合物包括用于在所述衬底上沉积材料的一种或多种工艺气体和用于对沉积在所述衬底上的材料进行化学蚀刻的一种或多种蚀刻物质;以及
在低于450℃的温度,在所述衬底上沉积材料层,其中,在沉积所述材料层的同时,所述气体混合物中的所述一种或多种化学蚀刻物质选择性地去除邻近所述高高宽比部件沉积的所述材料层的部分,并且其中所述一种或多种化学蚀刻物质穿过一个或多个孔,提供给所述室,所述一个或多个孔能够将所述一种或多种化学蚀刻物质以平行方式导向所述衬底。
2、如权利要求1所述的方法,其中跨越这些孔存在一压力梯度,压力包括来自所述一个或多个孔的上游的第一压力和来自这些孔的下游的第二压力。
3、如权利要求2所述的方法,其中第一压力大于所述第二压力。
4、如权利要求1所述的方法,其中所述一个或多个孔可相对于所述衬底移动。
5、如权利要求4所述的方法,其中所述一个或多个孔相对于所述衬底以大于0.1cm/min的速率移动。
6、如权利要求1所述的方法,其中所述一种或多种化学蚀刻物质被远程电源离子化。
7、一种形成互连结构的方法,包括:
将具有高高宽比部件的衬底放置在化学气相沉积室中;
向所述沉积室提供第一气体混合物,其中所述第一气体混合物包括用于在所述衬底上沉积阻挡层材料的一种或多种第一工艺气体和用于对在所述衬底上沉积的阻挡层材料进行化学蚀刻的一种或多种第一化学蚀刻物质;
在低于450℃的温度,在所述衬底上沉积阻挡层,其中,在沉积所述阻挡层的同时,所述第一气体混合物中的所述一种或多种第一化学蚀刻物质选择性地去除邻近所述高高宽比部件沉积的所述阻挡层的部分;
向所述沉积室提供第二气体混合物,其中所述第二气体混合物包括用于在所述衬底上沉积金属的一种或多种第二工艺气体和用于对沉积在所述衬底上的金属进行化学蚀刻的一种或多种第二化学蚀刻物质;以及
在低于450℃的温度,在所述阻挡层上沉积金属层,其中所述第二气体混合物中的所述一种或多种第二化学蚀刻物质选择性地去除邻近所述高高宽比部件沉积的所述金属层的部分。
8、如权利要求7所述的方法,其中所述高高宽比部件的高度与宽度的比值大于4:1。
9、如权利要求7所述的方法,其中所述一种或多种第一和第二化学蚀刻物质穿过一个或多个孔被提供给所述室,所述一个或多个孔能够将这些蚀刻物质以平行方式导向所述衬底。
10、如权利要求9所述的方法,其中跨越这些孔存在一压力梯度,压力包括来自这些孔的上游的第一压力和来自这些孔的下游的第二压力。
11、如权利要求10所述的方法,其中第一压力大于所述第二压力。
12、如权利要求7所述的方法,其中所述阻挡层由选自包括金属和金属氮化物组成的组中选择的材料形成。
13.如权利要求7所述的方法,其中所述一种或多种第一和第二化学蚀刻物质被远程电源离子化,并通过一个或多个能够引导该蚀刻物质的孔、以平行方式提供给所述室中的所述衬底。
14、一种薄膜沉积方法,包括:
将具有高高宽比部件的衬底放置在物理气相沉积室中;
向所述物理气相沉积室提供气体混合物,其中所述气体混合物包括用于在所述衬底上沉积材料的一种或多种工艺气体和用于对在所述衬底上沉积的材料进行化学蚀刻的一种或多种化学蚀刻物质;以及
在20℃和300℃之间的温度,在所述衬底上沉积材料层,其中,在沉积所述材料层的同时,所述气体混合物中的所述一种或多种化学蚀刻物质选择性地去除邻近所述高高宽比部件沉积的所述材料层的部分,并且其中所述一种或多种化学蚀刻物质穿过一个或多个孔提供给所述室,所述一个或多个孔能够将这些蚀刻物质以平行或准直方式导向所述衬底。
15、如权利要求14所述的方法,其中跨越这些孔存在一压力梯度,压力包括来自这些孔的上游的第一压力和来自这些孔的下游的第二压力。
16、如权利要求15所述的方法,其中所述第一压力大于所述第二压力。
17.如权利要求14所述的方法,其中所述一种或多种化学蚀刻物质被远程电源离子化。
CNB028199596A 2001-10-09 2002-09-24 沉积材料层的方法 Expired - Fee Related CN100471984C (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US09/974,535 2001-10-09
US09/974,535 US7115516B2 (en) 2001-10-09 2001-10-09 Method of depositing a material layer

Publications (2)

Publication Number Publication Date
CN1568376A CN1568376A (zh) 2005-01-19
CN100471984C true CN100471984C (zh) 2009-03-25

Family

ID=25522146

Family Applications (1)

Application Number Title Priority Date Filing Date
CNB028199596A Expired - Fee Related CN100471984C (zh) 2001-10-09 2002-09-24 沉积材料层的方法

Country Status (5)

Country Link
US (3) US7115516B2 (zh)
JP (1) JP2005505925A (zh)
KR (1) KR100960761B1 (zh)
CN (1) CN100471984C (zh)
WO (1) WO2003031674A1 (zh)

Families Citing this family (60)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7115516B2 (en) * 2001-10-09 2006-10-03 Applied Materials, Inc. Method of depositing a material layer
US7404877B2 (en) * 2001-11-09 2008-07-29 Springworks, Llc Low temperature zirconia based thermal barrier layer by PVD
US7378356B2 (en) * 2002-03-16 2008-05-27 Springworks, Llc Biased pulse DC reactive sputtering of oxide films
US6884327B2 (en) * 2002-03-16 2005-04-26 Tao Pan Mode size converter for a planar waveguide
US20030175142A1 (en) * 2002-03-16 2003-09-18 Vassiliki Milonopoulou Rare-earth pre-alloyed PVD targets for dielectric planar applications
US8236443B2 (en) 2002-08-09 2012-08-07 Infinite Power Solutions, Inc. Metal film encapsulation
US8535396B2 (en) 2002-08-09 2013-09-17 Infinite Power Solutions, Inc. Electrochemical apparatus with barrier layer protected substrate
US20070264564A1 (en) 2006-03-16 2007-11-15 Infinite Power Solutions, Inc. Thin film battery on an integrated circuit or circuit board and method thereof
US8445130B2 (en) 2002-08-09 2013-05-21 Infinite Power Solutions, Inc. Hybrid thin-film battery
US8394522B2 (en) 2002-08-09 2013-03-12 Infinite Power Solutions, Inc. Robust metal film encapsulation
US8404376B2 (en) 2002-08-09 2013-03-26 Infinite Power Solutions, Inc. Metal film encapsulation
US8021778B2 (en) 2002-08-09 2011-09-20 Infinite Power Solutions, Inc. Electrochemical apparatus with barrier layer protected substrate
US8431264B2 (en) 2002-08-09 2013-04-30 Infinite Power Solutions, Inc. Hybrid thin-film battery
TWI274199B (en) * 2002-08-27 2007-02-21 Symmorphix Inc Optically coupling into highly uniform waveguides
CN1756856B (zh) * 2003-02-27 2011-10-12 希莫菲克斯公司 电介质阻挡层膜
US7238628B2 (en) * 2003-05-23 2007-07-03 Symmorphix, Inc. Energy conversion and storage films and devices by physical vapor deposition of titanium and titanium oxides and sub-oxides
US8728285B2 (en) 2003-05-23 2014-05-20 Demaray, Llc Transparent conductive oxides
CN100341047C (zh) * 2003-09-17 2007-10-03 新科实业有限公司 薄膜形成方法和系统
JP5011631B2 (ja) * 2004-06-01 2012-08-29 富士ゼロックス株式会社 半導体製造装置および半導体製造システム
US7959769B2 (en) 2004-12-08 2011-06-14 Infinite Power Solutions, Inc. Deposition of LiCoO2
US8636876B2 (en) 2004-12-08 2014-01-28 R. Ernest Demaray Deposition of LiCoO2
US7838133B2 (en) * 2005-09-02 2010-11-23 Springworks, Llc Deposition of perovskite and other compound ceramic films for dielectric applications
KR100800799B1 (ko) * 2005-12-28 2008-02-04 동부일렉트로닉스 주식회사 Pvd를 이용한 반도체 기판 상 금속박막 증착 방법
US8062708B2 (en) 2006-09-29 2011-11-22 Infinite Power Solutions, Inc. Masking of and material constraint for depositing battery layers on flexible substrates
US8197781B2 (en) 2006-11-07 2012-06-12 Infinite Power Solutions, Inc. Sputtering target of Li3PO4 and method for producing same
US7589020B2 (en) * 2007-05-02 2009-09-15 Tokyo Electron Limited Method for depositing titanium nitride films for semiconductor manufacturing
US7776733B2 (en) * 2007-05-02 2010-08-17 Tokyo Electron Limited Method for depositing titanium nitride films for semiconductor manufacturing
US8268488B2 (en) 2007-12-21 2012-09-18 Infinite Power Solutions, Inc. Thin film electrolyte for thin film batteries
US9334557B2 (en) 2007-12-21 2016-05-10 Sapurast Research Llc Method for sputter targets for electrolyte films
JP5705549B2 (ja) 2008-01-11 2015-04-22 インフィニット パワー ソリューションズ, インコーポレイテッド 薄膜電池および他のデバイスのための薄膜カプセル化
CN101983469B (zh) 2008-04-02 2014-06-04 无穷动力解决方案股份有限公司 与能量采集关联的储能装置的无源过电压/欠电压控制和保护
EP2319101B1 (en) 2008-08-11 2015-11-04 Sapurast Research LLC Energy device with integral collector surface for electromagnetic energy harvesting and method thereof
JP5650646B2 (ja) 2008-09-12 2015-01-07 インフィニット パワー ソリューションズ, インコーポレイテッド 電磁エネルギーを介したデータ通信のための一体型伝導性表面を有するエネルギーデバイスおよび電磁エネルギーを介したデータ通信のための方法
US8508193B2 (en) 2008-10-08 2013-08-13 Infinite Power Solutions, Inc. Environmentally-powered wireless sensor module
US7994002B2 (en) * 2008-11-24 2011-08-09 Applied Materials, Inc. Method and apparatus for trench and via profile modification
US8129270B1 (en) * 2008-12-10 2012-03-06 Novellus Systems, Inc. Method for depositing tungsten film having low resistivity, low roughness and high reflectivity
US10256142B2 (en) 2009-08-04 2019-04-09 Novellus Systems, Inc. Tungsten feature fill with nucleation inhibition
US9548228B2 (en) 2009-08-04 2017-01-17 Lam Research Corporation Void free tungsten fill in different sized features
US9034768B2 (en) * 2010-07-09 2015-05-19 Novellus Systems, Inc. Depositing tungsten into high aspect ratio features
US8124531B2 (en) 2009-08-04 2012-02-28 Novellus Systems, Inc. Depositing tungsten into high aspect ratio features
EP2474056B1 (en) 2009-09-01 2016-05-04 Sapurast Research LLC Printed circuit board with integrated thin film battery
US20110108792A1 (en) * 2009-11-11 2011-05-12 International Business Machines Corporation Single Crystal Phase Change Material
US8017432B2 (en) * 2010-01-08 2011-09-13 International Business Machines Corporation Deposition of amorphous phase change material
US8563095B2 (en) * 2010-03-15 2013-10-22 Applied Materials, Inc. Silicon nitride passivation layer for covering high aspect ratio features
US20110300432A1 (en) 2010-06-07 2011-12-08 Snyder Shawn W Rechargeable, High-Density Electrochemical Device
KR20120012593A (ko) 2010-08-02 2012-02-10 주식회사 하이닉스반도체 반도체 소자 및 그 제조 방법
TWI602283B (zh) 2012-03-27 2017-10-11 諾發系統有限公司 鎢特徵部塡充
US9082826B2 (en) 2013-05-24 2015-07-14 Lam Research Corporation Methods and apparatuses for void-free tungsten fill in three-dimensional semiconductor features
WO2016158054A1 (ja) * 2015-03-30 2016-10-06 東京エレクトロン株式会社 処理装置および処理方法、ならびにガスクラスター発生装置および発生方法
JP6545053B2 (ja) * 2015-03-30 2019-07-17 東京エレクトロン株式会社 処理装置および処理方法、ならびにガスクラスター発生装置および発生方法
US9806252B2 (en) 2015-04-20 2017-10-31 Lam Research Corporation Dry plasma etch method to pattern MRAM stack
US9870899B2 (en) 2015-04-24 2018-01-16 Lam Research Corporation Cobalt etch back
US9972504B2 (en) 2015-08-07 2018-05-15 Lam Research Corporation Atomic layer etching of tungsten for enhanced tungsten deposition fill
US9978610B2 (en) 2015-08-21 2018-05-22 Lam Research Corporation Pulsing RF power in etch process to enhance tungsten gapfill performance
JP6583081B2 (ja) * 2016-03-22 2019-10-02 東京エレクトロン株式会社 半導体装置の製造方法
CN107437503A (zh) * 2016-05-26 2017-12-05 灿美工程股份有限公司 基板处理方法
US10566211B2 (en) 2016-08-30 2020-02-18 Lam Research Corporation Continuous and pulsed RF plasma for etching metals
US10566212B2 (en) 2016-12-19 2020-02-18 Lam Research Corporation Designer atomic layer etching
EP3776636A4 (en) 2018-03-30 2021-12-22 Lam Research Corporation ATOMIC LAYER ENGRAVING AND SMOOTHING OF REFRACTORY METALS AND OTHER HIGH SURFACE BOND ENERGY MATERIALS
US11913107B2 (en) 2019-11-08 2024-02-27 Applied Materials, Inc. Methods and apparatus for processing a substrate

Family Cites Families (57)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4599135A (en) * 1983-09-30 1986-07-08 Hitachi, Ltd. Thin film deposition
WO1985003460A1 (en) 1984-02-13 1985-08-15 Schmitt Jerome J Iii Method and apparatus for the gas jet deposition of conducting and dielectric thin solid films and products produced thereby
US5108543A (en) * 1984-11-07 1992-04-28 Hitachi, Ltd. Method of surface treatment
US4851308A (en) * 1988-01-19 1989-07-25 Chronar Corp. Solid-state energy storage cell wherein the electrolyte comprises an organic support and an inorganic salt
US4874493A (en) 1988-03-28 1989-10-17 Microelectronics And Computer Technology Corporation Method of deposition of metal into cavities on a substrate
KR0170391B1 (ko) 1989-06-16 1999-03-30 다카시마 히로시 피처리체 처리장치 및 처리방법
JPH047825A (ja) * 1990-04-25 1992-01-13 Sony Corp 半導体装置の製造方法
US5356672A (en) 1990-05-09 1994-10-18 Jet Process Corporation Method for microwave plasma assisted supersonic gas jet deposition of thin films
US5256205A (en) 1990-05-09 1993-10-26 Jet Process Corporation Microwave plasma assisted supersonic gas jet deposition of thin film materials
US5089442A (en) * 1990-09-20 1992-02-18 At&T Bell Laboratories Silicon dioxide deposition method using a magnetic field and both sputter deposition and plasma-enhanced cvd
US5356673A (en) 1991-03-18 1994-10-18 Jet Process Corporation Evaporation system and method for gas jet deposition of thin film materials
US5203978A (en) * 1991-11-14 1993-04-20 The Dow Chemical Company Membrane-electrode structure for electrochemical cells
US5290358A (en) 1992-09-30 1994-03-01 International Business Machines Corporation Apparatus for directional low pressure chemical vapor deposition (DLPCVD)
US5614055A (en) * 1993-08-27 1997-03-25 Applied Materials, Inc. High density plasma CVD and etching reactor
JPH07268622A (ja) * 1994-03-01 1995-10-17 Applied Sci & Technol Inc マイクロ波プラズマ付着源
US5759634A (en) 1994-03-11 1998-06-02 Jet Process Corporation Jet vapor deposition of nanocluster embedded thin films
US5650197A (en) * 1994-03-11 1997-07-22 Jet Process Corporation Jet vapor deposition of organic molecule guest-inorganic host thin films
US5571332A (en) 1995-02-10 1996-11-05 Jet Process Corporation Electron jet vapor deposition system
JP3122601B2 (ja) * 1995-06-15 2001-01-09 東京エレクトロン株式会社 プラズマ成膜方法及びその装置
US5976970A (en) * 1996-03-29 1999-11-02 International Business Machines Corporation Method of making and laterally filling key hole structure for ultra fine pitch conductor lines
US5833817A (en) 1996-04-22 1998-11-10 Taiwan Semiconductor Manufacturing Company, Ltd. Method for improving conformity and contact bottom coverage of sputtered titanium nitride barrier layers
US5893758A (en) * 1996-06-26 1999-04-13 Micron Technology, Inc. Etching method for reducing cusping at openings
ATE251341T1 (de) * 1996-08-01 2003-10-15 Surface Technology Systems Plc Verfahren zur ätzung von substraten
GB9616225D0 (en) * 1996-08-01 1996-09-11 Surface Tech Sys Ltd Method of surface treatment of semiconductor substrates
JPH10154699A (ja) 1996-11-25 1998-06-09 Anelva Corp リモートプラズマ型プラズマ処理装置
US5963808A (en) * 1997-01-15 1999-10-05 Macronix International Co., Ltd. Method of forming an asymmetric bird's beak cell for a flash EEPROM
US5983906A (en) * 1997-01-24 1999-11-16 Applied Materials, Inc. Methods and apparatus for a cleaning process in a high temperature, corrosive, plasma environment
US6582617B1 (en) * 1997-02-28 2003-06-24 Candescent Technologies Corporation Plasma etching using polycarbonate mask and low-pressure high density plasma
US5972235A (en) * 1997-02-28 1999-10-26 Candescent Technologies Corporation Plasma etching using polycarbonate mask and low pressure-high density plasma
US6077786A (en) 1997-05-08 2000-06-20 International Business Machines Corporation Methods and apparatus for filling high aspect ratio structures with silicate glass
US5814564A (en) 1997-05-15 1998-09-29 Vanguard International Semiconductor Corporation Etch back method to planarize an interlayer having a critical HDP-CVD deposition process
JPH10321556A (ja) 1997-05-17 1998-12-04 Tokyo Electron Ltd 成膜方法
US6136685A (en) 1997-06-03 2000-10-24 Applied Materials, Inc. High deposition rate recipe for low dielectric constant films
US6187685B1 (en) * 1997-08-01 2001-02-13 Surface Technology Systems Limited Method and apparatus for etching a substrate
US5926737A (en) 1997-08-19 1999-07-20 Tokyo Electron Limited Use of TiCl4 etchback process during integrated CVD-Ti/TiN wafer processing
US6176983B1 (en) 1997-09-03 2001-01-23 Vlsi Technology, Inc. Methods of forming a semiconductor device
JP4947834B2 (ja) * 1997-11-26 2012-06-06 アプライド マテリアルズ インコーポレイテッド ダメージフリー被覆刻設堆積法
US6144894A (en) * 1998-02-13 2000-11-07 Applied Materials, Inc. Method of activating a magnetron generator within a remote plasma source of a semiconductor wafer processing system
US6194038B1 (en) 1998-03-20 2001-02-27 Applied Materials, Inc. Method for deposition of a conformal layer on a substrate
US6030881A (en) * 1998-05-05 2000-02-29 Novellus Systems, Inc. High throughput chemical vapor deposition process capable of filling high aspect ratio structures
US6432830B1 (en) * 1998-05-15 2002-08-13 Applied Materials, Inc. Semiconductor fabrication process
US6100200A (en) 1998-12-21 2000-08-08 Advanced Technology Materials, Inc. Sputtering process for the conformal deposition of a metallization or insulating layer
US6417013B1 (en) * 1999-01-29 2002-07-09 Plasma-Therm, Inc. Morphed processing of semiconductor devices
US6117781A (en) * 1999-04-22 2000-09-12 Advanced Micro Devices, Inc. Optimized trench/via profile for damascene processing
JP2000345350A (ja) 1999-06-07 2000-12-12 Tatsuo Morita 薄膜半導体製造装置
US6211040B1 (en) * 1999-09-20 2001-04-03 Chartered Semiconductor Manufacturing Ltd. Two-step, low argon, HDP CVD oxide deposition process
US6348420B1 (en) * 1999-12-23 2002-02-19 Asm America, Inc. Situ dielectric stacks
US7115516B2 (en) * 2001-10-09 2006-10-03 Applied Materials, Inc. Method of depositing a material layer
US20030092280A1 (en) * 2001-11-09 2003-05-15 Applied Materials, Inc. Method for etching tungsten using NF3 and Cl2
US20050211546A1 (en) * 2004-03-26 2005-09-29 Applied Materials, Inc. Reactive sputter deposition plasma process using an ion shower grid
US20050211171A1 (en) * 2004-03-26 2005-09-29 Applied Materials, Inc. Chemical vapor deposition plasma reactor having an ion shower grid
US7244474B2 (en) * 2004-03-26 2007-07-17 Applied Materials, Inc. Chemical vapor deposition plasma process using an ion shower grid
US20050211547A1 (en) * 2004-03-26 2005-09-29 Applied Materials, Inc. Reactive sputter deposition plasma reactor and process using plural ion shower grids
US7695590B2 (en) * 2004-03-26 2010-04-13 Applied Materials, Inc. Chemical vapor deposition plasma reactor having plural ion shower grids
US7291360B2 (en) * 2004-03-26 2007-11-06 Applied Materials, Inc. Chemical vapor deposition plasma process using plural ion shower grids
US20060162661A1 (en) * 2005-01-22 2006-07-27 Applied Materials, Inc. Mixing energized and non-energized gases for silicon nitride deposition
US7939422B2 (en) * 2006-12-07 2011-05-10 Applied Materials, Inc. Methods of thin film process

Also Published As

Publication number Publication date
US7427568B2 (en) 2008-09-23
US20060223323A1 (en) 2006-10-05
WO2003031674A1 (en) 2003-04-17
US7115516B2 (en) 2006-10-03
US20040077161A1 (en) 2004-04-22
US20060223322A1 (en) 2006-10-05
CN1568376A (zh) 2005-01-19
US7772121B2 (en) 2010-08-10
KR100960761B1 (ko) 2010-06-01
KR20040048949A (ko) 2004-06-10
JP2005505925A (ja) 2005-02-24

Similar Documents

Publication Publication Date Title
CN100471984C (zh) 沉积材料层的方法
US6998014B2 (en) Apparatus and method for plasma assisted deposition
US7494908B2 (en) Apparatus for integration of barrier layer and seed layer
US7244683B2 (en) Integration of ALD/CVD barriers with porous low k materials
KR101151192B1 (ko) 혼합 화학 프로세스를 위한 장치 및 방법
US7041335B2 (en) Titanium tantalum nitride silicide layer
US6720027B2 (en) Cyclical deposition of a variable content titanium silicon nitride layer
US20030059538A1 (en) Integration of barrier layer and seed layer
US20030057526A1 (en) Integration of barrier layer and seed layer
US20020117399A1 (en) Atomically thin highly resistive barrier layer in a copper via
US20080081464A1 (en) Method of integrated substrated processing using a hot filament hydrogen radical souce
US20080078325A1 (en) Processing system containing a hot filament hydrogen radical source for integrated substrate processing
JP2001319894A (ja) 金属窒化物層のプラズマcvd
EP1094504A2 (en) PVD-IMP tungsten and tungsten nitride as a liner, barrier, and/or seed layer
CN102361004B (zh) 阻挡层和籽层的集成
US20020132473A1 (en) Integrated barrier layer structure for copper contact level metallization
US9633861B2 (en) Cu/barrier interface enhancement
US20190074218A1 (en) Process of filling the high aspect ratio trenches by co-flowing ligands during thermal cvd
KR20240052872A (ko) 반도체 프로세싱 동안 프로세스 가스 램핑
WO2023038905A1 (en) Process gas ramp during semiconductor processing

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20090325

Termination date: 20140924

EXPY Termination of patent right or utility model