CN100483698C - 多孔低k介质互连结构 - Google Patents

多孔低k介质互连结构 Download PDF

Info

Publication number
CN100483698C
CN100483698C CNB028204360A CN02820436A CN100483698C CN 100483698 C CN100483698 C CN 100483698C CN B028204360 A CNB028204360 A CN B028204360A CN 02820436 A CN02820436 A CN 02820436A CN 100483698 C CN100483698 C CN 100483698C
Authority
CN
China
Prior art keywords
dielectric layer
layer
porous dielectric
porous
etch stop
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Lifetime
Application number
CNB028204360A
Other languages
English (en)
Other versions
CN1788347A (zh
Inventor
安·R·福尔诺夫
杰弗里·C·海德里克
李康旭
凯利·马罗尼
克里斯蒂·S·迪伯格
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Core Usa Second LLC
GlobalFoundries Inc
Original Assignee
International Business Machines Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by International Business Machines Corp filed Critical International Business Machines Corp
Publication of CN1788347A publication Critical patent/CN1788347A/zh
Application granted granted Critical
Publication of CN100483698C publication Critical patent/CN100483698C/zh
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/22Diffusion of impurity materials, e.g. doping materials, electrode materials, into or out of a semiconductor body, or between semiconductor regions; Interactions between two or more impurities; Redistribution of impurities
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02118Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer carbon based polymeric organic or inorganic material, e.g. polyimides, poly cyclobutene or PVC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/022Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being a laminate, i.e. composed of sublayers, e.g. stacks of alternating high-k metal oxides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02203Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being porous
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02282Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process liquid deposition, e.g. spin-coating, sol-gel techniques, spray coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02362Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment formation of intermediate layers, e.g. capping layers or diffusion barriers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31695Deposition of porous oxides or porous glassy oxides or oxide based porous glass
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/7682Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing the dielectric comprising air gaps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76832Multiple layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76835Combinations of two or more different dielectric layers having a low dielectric constant
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5222Capacitive arrangements or effects of, or between wiring layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/5329Insulating materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/5329Insulating materials
    • H01L23/53295Stacked insulating layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/10Applying interconnections to be used for carrying current between separate components within a device
    • H01L2221/1005Formation and after-treatment of dielectrics
    • H01L2221/1042Formation and after-treatment of dielectrics the dielectric comprising air gaps
    • H01L2221/1047Formation and after-treatment of dielectrics the dielectric comprising air gaps the air gaps being formed by pores in the dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/31504Composite [nonstructural laminate]
    • Y10T428/31652Of asbestos
    • Y10T428/31663As siloxane, silicone or silane

Abstract

本发明在衬底上提供了一种电互连结构,它包括具有从中已经清除了成孔源的表面区的第一多孔介电层;以及排列在第一多孔介电层上的腐蚀停止层,致使腐蚀停止层延伸,以便部分地填充从中已经清除了成孔源的第一多孔介电层表面区中的孔,从而在后续加工中改善粘合性。另一种结构包含衬底;排列在衬底上的多个多孔介电层;排列在第一介电层与第二介电层之间的腐蚀停止层;以及排列在至少一个多孔介电层与腐蚀停止层之间的至少一个薄的韧性非多孔介电层。还提供了制作这些结构的方法。

Description

多孔低K介质互连结构
技术领域
本发明涉及到高速微处理器的互连结构、专用集成电路(ASIC)、以及其它高速集成电路。本发明提供了超低介电常数(低k)互连结构,它具有提高了的电路速度、精确的导体电阻值、以及改进的了机械完整性。与常规结构相比,本发明的结构具有改进了的韧性和粘合性以及对金属线条电阻改进了的控制。本发明还提供了许多其它的优点,如下面所述,这些优点将变得明显。
背景技术
本申请涉及到2001年2月28日提交的受让于本申请相同受让人的题为Low-k Dielectric Interconnected Structure Comprised of aMulti Layer of Spin-On Porous Dielectrics的申请09/795431,其内容在此处被列为参考。
许多低k介质加上铜的双重镶嵌型互连结构,是众所周知的。如此处完整地提出的那样,对于其中SiLKTM可以被用作低k介电材料的双重镶嵌工艺的例子,可参考受让于本发明相同受让人的此处列为参考的美国专利No.6383920。为了在新一代集成电路中获得必需的RC延迟的降低,多孔材料必须被用作介质。此外,由于多孔有机材料具有5-20nm的孔尺寸,故为了提供平滑的金属线条底部,埋置的腐蚀停止层是必需的。这些结构必须经历几个工艺步骤,包括铜的化学机械抛光(CMP),这就在介质叠层中产生了应力,会导致剥落。由于腐蚀停止层与介质之间界面的不良粘合性而能够出现此剥落。
发明内容
本发明的目的是提供一种超低k介质加上铜的双重镶嵌型互连结构,它具有对铜导体电阻的精确而均匀的控制和增强的粘合性,从而防止CMP过程中的剥落。
本发明的目的是提供一种基于多层旋涂介质的具有粘合性得到了改善的埋置RIE停止层的多孔介质叠层。
本发明的目的是提供一种基于多层旋涂介质层的对铜导体电阻具有精确而均匀的控制的低k介质加上铜的互连结构,它具有改进了的刚性和粘合性以及降低了的线粗糙度。
本发明的另一目的是提供制作这些本发明结构的方法。
根据本发明,衬底上的电互连结构包含具有从中已经清除了成孔源(porogen)的表面区的第一多孔介电层以及排列在第一多孔介电层上的腐蚀停止层,使腐蚀停止层延伸以部分填充从中已经清除了成孔源的第一多孔介电层表面区中的孔。此结构还可以包含排列在第一多孔介电层上的第二多孔介电层。第一多孔介电层和第二多孔介电层中的至少一个可以由多孔SiLKTM、GX-3pTM、或多孔性由牺牲成孔源的分解而形成的其它多孔低k介电材料组成,成孔源可以是制造厂家提供的一种材料组分。在其整个内容此处列为参考的受让于DowChemical Company的Kenneth,J.Bruza等人的题为A compositioncontaining a cross-linkable matrix precusor and a porogen,and aporous matrix prepared therefrom的PCT国际专利申请WO00/31183中,描述了这种材料。腐蚀停止层可以由HOSPTM、HOSPBEStTM、EnsembleTM Etch Stop、EnsembleTM Hard Mask、有机硅倍半氧烷(organo silsesquioranes)、氢化硅倍半氧烷(hydridosilsesquioxanes)、氢化有机硅倍半氧烷(hydrido-organosilsesquioranes)、硅氧烷、或对多孔介质具有腐蚀选择性的其它旋涂材料组成。在其整个内容此处被列为参考的受让于AlliedSignal Inc.,的Nigel P.Hacker等人的题为Dielectric films fromorganohydridosiloxane resins with high organic content的美国专利US6218020以及受让于AlliedSignal Inc.,的Nigel P.Hacker等人的题为Dielectric films from organohydridosiloxane resins with loworganic content的美国专利US6177199中,描述了这种材料。
此结构可以包含形成在衬底上多孔介电层的多层叠层中的多个图形化的金属导体,此叠层包括至少第一多孔介电层和第二多孔介电层。位于第一多孔介电层中的至少一个图形化的金属导体可以是电学通道。位于第二多孔介电层中的至少一个图形化的金属导体可以是连接到通道的线条。此结构可以包括涂敷到从中已经清除了成孔源的第二介质表面区的顶部硬掩模或抛光停止层。硬掩模或抛光的停止层可以由HOSPTM、HOSP BEStTM、EnsembleTM Etch Stop、EnsembleTMHard Mask、有机硅倍半氧烷、氢化硅倍半氧烷、氢化有机硅倍半氧烷、硅氧烷、或对多孔介质具有腐蚀选择性的其它旋涂材料组成。在其整个内容此处被列为参考的受让于AlliedSignal Inc.,的NigelP.Hacker等人的题为Dielectric films from organohydridosiloxaneresins with high organic content的美国专利US6218020以及受让于AlliedSignal Inc.,的Nigel P.Hacker等人的题为Dielectric films fromorganohydridosiloxane resins with low organic content的美国专利US6177199中,描述了这种材料。
本发明的另一目的是一种在衬底上制作电互连结构的方法,它包含提供已经从其表面区清除了成孔源的第一多孔介电层以及在第一多孔介电层上形成腐蚀停止层,使腐蚀停止层延伸以部分填充从中已经清除了成孔源的第一多孔介电层表面区中的孔。此方法还可以包含从第一表面区清除成孔源。可以用加热的方法,确切地说是用热表面上烘焙的方法,来清除成孔源。此方法还可以包含在第一多孔介电层上形成第二多孔介电层。第一多孔介电层和第二多孔介电层中的至少一个可以由多孔SiLKTM、GX-3pTM、或多孔性由牺牲成孔源的分解而形成的其它多孔低k介电材料组成。此方法还可以包含在第一多孔介电层中形成金属通道以及在第二多孔介电层中形成金属线条。
此方法还可以包含在衬底上的多孔介电层叠层内形成多个图形化金属导体,此叠层包括至少第一多孔介电层和第二多孔介电层。可以增加额外的介电层;并可以借助于增加导体来完成此结构。顶部硬掩模或抛光停止层可以被涂敷到其中已经清除了成孔源的第二介质的表面区。
此方法还可以包含固化介电层使之多孔。最好在单一设备中相继涂敷之后,在单一步骤中使叠层中的各个介电层固化。此介质涂敷设备可以是包含高温热板烘焙工作室的旋涂设备,且固化步骤可以是在大约300-500℃的温度下进行大约15分钟到大约3小时的炉子固化。
于是,本发明的另一目的是一种粘合性改进了的具有旋涂埋置RIE停止层的金属布线加上多孔低介电常数(低k)的双镶嵌型互连结构。本发明结构的这种情况由A)在单一设备中相继涂敷且然后在单一炉子固化步骤中被固化的所有旋涂介电材料的多层结构以及B)此介电多层结构中的多个图形化金属导体组成。此改进了的粘合性是借助于在涂敷腐蚀停止层之前部分地烧去通道层次的Porous SiLK表面附近的成孔源而得到的。
由于表面处成孔源部分地烧去而增大了多孔SiLK与腐蚀停止层之间的接触面积,故本发明的结构具有比常规旋涂埋置的腐蚀停止层结构改进了的粘合性。本发明结构的特点在于,在成孔源烧去之前,它具有借助于部分地烧去表面附近的牺牲成孔源而得到的PorousSiLK层。这导致孔的顶部层被旋涂埋置的腐蚀停止层局部填充,导致介质与腐蚀停止层之间的粘合性提高。
在另一情况下,本发明的结构的特点在于,在多孔介质与埋置的腐蚀停止层之间具有超薄的非多孔韧性介电层。此刚性超薄非多孔介电层用于几个目的:改进互连结构的韧性、粘合性、以及可靠性。为了改善粘合性,非多孔层是一种断裂韧度大于0.3MPa-m1/2的多孔介质,它与多孔介质形成共价键以产生网络,同时借助于消除表面处的孔而增大与腐蚀停止层的接触表面积。借助于将界面附近的韧性材料组合在结构中提高了应力的区域中,获得了提高的韧度。这种韧性材料不具有支持多孔介质所要求的非常小的孔的必要性质,因而通常无法用作多孔介质的基质。最后,借助于将非多孔介电层组合在腐蚀停止层与多孔介电层之间,由于消除了腐蚀停止层底部处的孔而能够得到更平滑的线条。
于是,本发明的目的是一种具有改进了的韧度和粘合性的带有旋涂埋置RIE停止层的双重镶嵌型的金属布线加上多孔低介电常数(低k)的互连结构。本发明的结构由a)在单一设备中相继涂敷且然后在单一炉子固化步骤中被固化的所有旋涂介电材料的多层以及b)此介电多层中的多个图形化金属导体组成。此改进了的韧度和粘合性是借助于将断裂韧度大于0.3MPa-m1/2的薄的非多孔介电层组合在多孔介电层与腐蚀停止层之间、腐蚀停止层与多孔介质之间、或兼而有之,而得到的。
根据本发明,结构,确切地说是电互连结构包含:衬底;排列在衬底上的多个多孔介电层;排列在第一介电层与第二介电层之间的腐蚀停止层;以及至少一个排列在至少一个多孔介电层与腐蚀停止层之间的薄的非多孔介电层。薄的非多孔介电层的厚度可以大约为25-150埃。薄的非多孔介电层的组成最好具有与多孔介电层相同的反应功能,特别是与多孔介电层的组成形成共价键。薄的非多孔介电层可以由选自SiLKTM、GX-3TM、或呈现大于0.3MPa-m1/2的断裂韧度最好是大于0.35MPa-m1/2的与多孔介电层共价键合的其它低k介电材料组成。在受让于Dow Chemical Company的Edward O.Shaffer等人的题为LowDielectric Constant Polymers Having Adhesion and Toughness andArticles Made With Such Polymers的PCT国际专利申请WO00/40637中,描述了这种材料。
至少一个多孔介电层由选自SiLKTM、GX-3pTM、或其它多孔低k介电层的材料组成。在其整个内容此处列为参考的受让于DowChemical Company的Kenneth,J.Bruza等人的题为A compositioncontaining a cross-linkable matrix precusor and a porogen,and aporous matrix prepared therefrom的PCT国际专利申请WO00/31183中,描述了这种材料。其厚度可以约为600-5000埃。通常,至少一个多孔介电层具有与另一个多孔介电层相同的化学组成。至少一个多孔介电层的厚度可以与另一个多孔介电层基本上相同,大致为600-5000埃。
腐蚀停止层可以由HOSPTM、HOSPBEStTM、EnsembleTM EtchStop、EnsembleTMHard Mask、有机硅倍半氧烷、氢化硅倍半氧烷、氢化有机硅倍半氧烷、硅氧烷、或对多孔介质具有腐蚀选择性的其它旋涂材料组成。在其整个内容此处被列为参考的受让于AlliedSignalInc.,的Nigel P.Hacker等人的题为Dielectric films fromorganohydridosiloxane resins with high organic content的美国专利US6218020以及受让于AlliedSignal Inc.,的Nigel P.Hacker等人的题为Dielectric films from organohydridosiloxane resins with loworganic content的美国专利US6177199中,描述了这种材料。其厚度可以约为200-600埃。
此结构还可以包含形成在衬底上的多孔介电层多层叠层中的图形化金属导体,此叠层包括多个多孔介电层。至少一个图形化金属导体可以是电通道或连接到电通道的线条。
本发明的另一目的是一种在衬底上形成电互连结构的方法,此衬底具有排列在衬底上的多个多孔介电层以及第一介电层与第二介电层之间的腐蚀停止层。此方法包含在至少一个多孔介电层与腐蚀停止层之间形成至少一个薄的非多孔介电层。此方法还包含在衬底上形成多孔介电层的多层叠层以及在多层叠层中形成多个图形化金属导体,此叠层包括多个多孔介电层、至少一个图形化金属导体可以被形成为电通道。至少一个图形化金属导体可以是连接到通道的线条。
用旋涂方法,多层介电叠层被涂敷到衬底上。此方法还可以包含在热板上烘焙多层介电叠层的各个层。此方法还可以包含对多层介电叠层进行固化。可以在单一步骤中用炉子来完成多层介电叠层的固化。
此方法还包括将多层介电叠层涂敷到衬底并对多层介电叠层进行烘焙,致使在单一旋涂设备中完成涂敷和烘焙。可以增加额外的介电层,并可以在额外的层中形成双重镶嵌导体。
结合附图参照下列的描述,可以理解本发明的其它和进一步目的、优点和特点,在这些附图中,相似的零件具有相似的参考号、
附图说明
图1A和图1B是在RIE和金属化之前具有埋置的腐蚀停止层的现有技术多孔介质的示意图。
图2A-2D是在RIE和金属化之前在通道层次表面附近部分烧去成孔源的本发明结构的示意图。
图3是RIE和金属化之后的本发明结构的示意图。
图4是制作图2结构的方法的工艺流程图。
图5是在RIE和金属化之前根据现有技术的具有埋置腐蚀停止层的多孔介质的示意图。
图6A是在RIE和金属化之前在腐蚀停止层下方具有薄层的根据本发明的结构的示意图。
图6B是在RIE和金属化之前在腐蚀停止层上方具有薄层的根据本发明的结构的示意图。
图6C是在RIE和金属化之前在腐蚀停止层上方和下方都具有薄层的根据本发明的结构的示意图。
图7是RIE和金属化之后的根据本发明结构的示意图。
具体实施方式
根据本发明的结构
参照图1A和图1B,硅衬底1上具有第一多孔低k介电层5、腐蚀停止层7、以及第二多孔低k介电层9。炉子固化可以产生多孔低k介电层5与腐蚀停止层7之间的弱界面。这是因为在对具有旋涂埋置腐蚀停止层的多孔SiLKTM(Dow Chemical Company所有的有机超低k层间介电树脂)进行的这种加工过程中,直至线条和通道层次的多孔SiLKTM与埋置的腐蚀停止层一起都已经被涂敷,成孔源未被从多孔SiLKTM烧去。通道层次多孔SiLKTM中成孔源的完全烧去可能要求在430℃下保持40分钟以便所有的成孔源从多孔SiLKTM膜扩散出来,大幅度提高了原料的加工时间。因此,SiLKTM的底层在热板上被烘焙1-3分钟,以便SiLKTM膜部分地反应而不清除成孔源。若存在最后固化过程中被清除的成孔源的高的近表面浓度,则这一烘焙周期能够导致通道层次多孔SiLKTM与腐蚀停止层之间的弱界面。
参照图2A-2D,且如下面更详细地所述,根据本发明,借助于在涂敷腐蚀停止层之前部分地烧去靠近通道层次多孔SiLKTM表面的成孔源,得到了改进了的粘合性(图2B)。借助于提高中间热板烘焙的时间或温度,能够部分地清除靠近表面的成孔源。这导致通道层次多孔SiLKTM与腐蚀停止层之间的更大的接触表面积,导致改进了的粘合性。
参照图3,衬底1可以包含诸如晶体管的电子器件和导体元件阵列。根据本发明的互连结构3被形成在衬底1上。结构3由厚度为600-5000埃的第一多孔SiLKTM介电层5组成,它可以具有对大约425℃热稳定的高度芳香族结构,具有高于450℃的玻璃转换温度以及2.2的低介电常数。根据实施的技术,在此宽广的范围内选择厚度。
厚度为200-600埃(200-300埃更优选)和具有提供至少10:1的对多孔介质的腐蚀选择性的原子组成的HOSPTM(旋涂混合有机-无机低k介质)腐蚀停止层7,被排列在第一多孔SiLKTM层5上。这种材料具有对非多孔SiLKTM的良好粘合性和对高于425℃的温度的热稳定性以及3.2或以下的低介电常数。
第二多孔SiLKTM介电层9被排列在腐蚀停止层7上,厚度为600-5000埃,是为对大约425℃热稳定的高度芳香族结构,具有高于450℃的玻璃转换温度以及2.2的低介电常数。
顶部硬掩模或抛光停止层11可以被涂敷在以此处所述方式从中已经清除了成孔源的第二多孔介电层9的表面区上。
利用双重镶嵌工艺形成的图形化金属线条13和通孔14,被形成在上述的介电多层结构中。
其它的低k旋涂材料可以被用于介电层5和9以及腐蚀停止层7。能够被用于层5和9的其它材料的例子是GX-3pTM或多孔性由于牺牲成孔源的分解而形成的其它多孔低k介电材料。能够被用于层7的其它材料的例子是HOSP BEStTM、EnsembleTM Etch Stop、EnsembleTMHard Mask、有机硅倍半氧烷、氢化硅倍半氧烷、氢化有机硅倍半氧烷、硅氧烷、或对多孔介质具有腐蚀选择性的其它旋涂材料。
根据本发明的方法
A.形成介电层叠层
可以用旋涂技术将根据本发明的互连结构3涂敷到衬底1或晶片。结构3中的第一层5最好是具有所需厚度600-5000埃的多孔低k介质。利用旋涂技术,以1000-4000rpm的旋转速度,来涂敷这种低k介质。在旋转之后,衬底在热板上于100-350℃下被烘焙30-120秒钟,以便清除低k介质的溶剂。然后将衬底1置于氧受到控制的热板上,并在400℃下固化5-10分钟,或者在400℃下2分钟,随之以在430℃下2分钟固化。这种时间和温度足以使第一层5的膜不可溶解并清除膜表面处的成孔源。
在冷却之后,用旋涂技术,以1000-4000rpm的旋转速度,来涂敷具有所需厚度200-300埃的埋置的腐蚀停止层7。然后将晶片置于热板上,并在100-300℃下烘焙30-120秒钟,以便清除溶剂。然后被置于氧受到控制的热板上1-2分钟。这一时间促成了充分的交联,使膜不可溶解。在冷却之后,以相似的方式来涂敷顶部介电层9。层9的组成与层5相同,厚度稍大。顶部低k介电层9的所需厚度为600-5000埃。此层以1000-4000rpm旋转,晶片然后在热板上于100-350℃下被烘焙30-120秒钟,以便清除溶剂。
B.在单一固化步骤中固化介电层叠层
单一固化步骤
此时,晶片被置于纯氮(其氧和水浓度非常低)气氛中的炉子中,并在350-450℃下被固化3小时,以便交联叠层并烧去牺牲成孔源。牺牲成孔源热退化,然后通过介电层和腐蚀停止层的自由体积从介质叠层扩散出去,在叠层中留下多孔介电层。
C.额外的介电层为双重镶嵌图形化而被加入(分布硬掩模)
如上所述,当加入额外的层时,例如,美国专利No.6383920所述的双重镶嵌工艺可以被采用。
D.图3的双重镶嵌结构被完成(用标准的工艺步骤)
这是标准的双重镶嵌BEOL(线条尾端)加工,它包括在多层旋涂介质的底部介质中形成通道以及在顶部介质中形成沟槽;用至少一种导电金属填充沟槽;整平导电金属,停止于硬掩模或抛光停止层上。
实施例1:产生多孔多孔SiLKTM/HOSPTM/多孔SiLKTM结构
A.如图1形成介电层叠层
 
工艺 条件
旋涂 粘合促进剂
热板烘焙 185℃/90秒钟
旋涂 第一ILD层(多孔SiLK)
热板烘焙 150℃/2分钟400℃/5分钟或150℃/2分钟400℃/2分钟430℃/2分钟
旋涂 埋置腐蚀停止层(HOSP)
热板烘焙 150℃/2分钟400℃/2分钟
旋涂 第二ILD层(多孔SiLK)
热板烘焙 150℃/2分钟
固化 炉子-430℃/80分钟
表I-工艺流程
参照上面的表I和图4,在20处,借助于将AP 4000的溶液涂敷到晶片,随之以在3000rpm下旋转30秒钟,200mm直径的硅晶片衬底被粘合性促进剂处理,然后在22处将晶片置于185℃的热板上90秒钟,作为第一热板烘焙。
在将晶片冷却到室温之后,在24处,第一低k介质层(多孔SiLKTM)被涂敷(图1中层5)。SiLKTM溶液被置于晶片上,并以3000rpm旋转30秒钟。在旋转之后,在26处,晶片被置于150℃热板上2分钟,以便部分地干燥溶剂(第二热板烘焙)。然后传送到400℃热板上5分钟。作为变通,在26处,在26处,晶片被置于150℃热板上2分钟,以便部分地干燥溶剂,传送到400℃热板上2分钟,然后传送到430℃热板上2分钟。这一时间和温度方案应该足以使膜不可溶解并烧去靠近表面的牺牲成孔源。
然后使晶片能够被冷却,并回到旋涂机。在28处,为在3000rpm的旋转速度下得到250埃的膜厚度而稀释的HOSPTM溶液,被涂敷到晶片,并在3000rpm下旋转30秒钟,以便产生腐蚀停止层7(图1)。在旋转之后,在30处(第三热板烘焙),晶片被置于150℃热板上2分钟,以便部分地干燥溶剂。然后被移动到400℃热板2分钟,以便部分地交联此膜。这一时间和温度足以使膜不可溶解。
在32处,以相似于第一层的方式,第二层多孔SiLK被涂敷,以便产生层9(图1)。多孔SiLK被涂敷到晶片,且晶片以3000rpm被旋转30秒钟。在34处(第四电炉烘焙),晶片被置于150℃热板上2分钟,以便部分地干燥溶剂。
在36处,晶片被置于氧受到控制的炉子中,并在430℃下被固化80分钟,以便固化SiLK和腐蚀停止层,促进各个层之间的交联,并使成孔源热退化和烧去。
C.为双重镶嵌图形化而加入额外的介电层(分布硬掩模)
包含上述各层的固化了的晶片被置于PECVD反应器中,并在350℃下淀积350埃的氮化硅层,然后在350℃下淀积1500埃的二氧化硅层。这就完成了实施例1的介质多层的制作。
D.完成图3的双重镶嵌结构
然后可以如美国专利No.6383920所述执行光刻和腐蚀处理。然后用业界所知的标准工艺方法来完成双重镶嵌结构(腐蚀的沟槽和通道窗口被衬里然后被Cu填充,且Cu被CMP整平)。
在最后的CMP工艺中,步骤C中淀积的二氧化硅层被清除,留下图3所示的结构。
有利的是,在3个层于单一旋转/涂敷设备中的相继涂敷之后,图3所示的所有介电层(5、7、9)已经在单一炉子固化步骤中被固化。
根据本发明的另一结构
参照图5,例如其上可以制造集成电路的结构包括衬底101、第一多孔介电层105、以及第二多孔介电层113。如被技术领域熟知的那样,腐蚀停止层109可以被排列在介电层105与113之间。衬底101通常由硅组成,并可以包括介质、金属区、粘合促进剂、或它们的任何组合。衬底101可以是不同组分的半导体晶片,多孔介电层105和113可以由以多孔SiLKTM商标出售的材料(一种Dow ChemicalCompany所有的有机超低k层间介电树脂)组成。其它可能的材料包括GX-3pTM或其它多孔低k介质材料。
参照图6A,根据本发明,断裂韧度大于0.3Mpa-m1/2的非多孔介电层107,被提供在多孔介电层105与腐蚀停止层109之间。介电层107的厚度可以约为25-150埃。如上面国际专利申请WO 00/40637所述,由于网络密度降低了,故介电层107具有比多孔SiLKTM提高了的断裂韧度。此结构具有与多孔SiLKTM层相同的反应功能,并能够与多孔SiLKTM层交联。层107最好具有对大约425℃热稳定的具有高于430℃的玻璃转换温度以及约为2.65的低介电常数的高度芳香族结构。
图6B的结构相似于图6A的结构,但不包括层107。6B的结构代之以包括排列在腐蚀停止层109与多孔介电层113之间的层111。层111除了在位置之外的所有方面相似于层107。
参照图6C,其中所示的结构包括具有上述特性的层107和层111二者。
下面参照图7来描述更具体的实施例。
实施例2.衬底/多孔SiLKTM/薄SiLKTM层/HOSP BEStTM/薄SiLKTM层/多孔SiLKTM
图7示意地说明了本发明的另一具体实施方案。衬底101可以包含晶体管和导体元件的阵列。根据本发明的互连结构103被排列在衬底101上。结构103包含厚度为600-5000埃的具有对大约425℃热稳定的具有高于430℃的玻璃转换温度以及约为2.2的低介电常数的高度芳香族结构的第一多孔SiLKTM介电层。
断裂韧度大于0.30MPa-m1/2且厚度约为25-150埃的薄的非多孔SiLKTM层107,被排列在第一多孔SiLK层105上。如上所述,由于网络密度降低了,故层107具有比多孔SiLK提高了的断裂韧度。此结构具有与多孔SiLK层105相同的反应功能,并能够与多孔SiLK层交联。层107是具有对大约425℃热稳定的具有高于430℃的玻璃转换温度以及约为2.65的低介电常数的高度芳香族结构。
厚度为200-600埃(200-300埃更优选)且具有对多孔介质提供至少10:1的腐蚀选择性的原子组分的HOSP BEStTM(一种旋涂混合有机-无机低k介质)腐蚀停止层109,被排列在薄的SiLKTM层107上。层109的材料具有对SiLKTM的良好粘合性、对大约450℃的热稳定性、以及约为2.7的低介电常数。
断裂韧度大于0.30MPa-m1/2且厚度约为25-150埃的薄的非多孔SiLK层111,被排列在腐蚀停止层109上。由于网络密度降低了,故层111具有比多孔SiLKTM提高了的断裂韧度。层111具有与多孔SiLKTM层相同的反应功能,并能够与多孔SiLKTM层交联。层111具有对大约425℃热稳定的具有高于430℃的玻璃转换温度以及约为2.65的低介电常数的高度芳香族结构。
厚度约为600-5000埃且具有对大约425℃热稳定的具有高于430℃的玻璃转换温度以及约为2.2的低介电常数的高度芳香族结构的第二多孔SiLK介电层113,被排列在薄SiLKTM层111上。
诸如上面参照美国专利No.6383920所述的用双重镶嵌工艺制作的图形化金属线条117和通孔118,被形成在图7的介质多层中。
如本技术领域熟练人员所知,其它的低k旋涂介电材料可以用于介电层105和113、用于腐蚀停止层109、以及用于薄的韧化层107和111。
制造本发明另一种结构的一般方法
一般方法中的步骤
A.涂敷介电层叠层
用旋涂技术将本发明的互连结构103涂敷到衬底101。此结构中的第一层105最好是具有所需厚度600-5000埃的多孔低k介质。用1000-4000rpm的旋涂速度来涂敷此低k介质。在旋转之后,低k介质在200-400℃下被热板烘焙1-2分钟,以便干燥溶剂并使膜不可溶解。这一时间和温度足以使膜不可溶解而不消除成孔源。在冷却之后,断裂韧度大于0.30MPa-m1/2的能够与底部多孔介电层交联的且厚度约为25-150埃的薄的介质层107,被用旋涂方法涂敷。在旋转之后,此介质在200-400℃下被热板烘焙1-2分钟,以便干燥溶剂并使膜不可溶解。在冷却之后,用1000-4000rpm旋转速度的旋涂技术来涂敷具有约为200-600埃的所需厚度的埋置RIE腐蚀停止层109。此腐蚀停止层在200-400℃下被热板烘焙1-2分钟,以便干燥溶剂并使膜不可溶解。这一时间促进了充分的交联,使膜不可溶解。在冷却之后,断裂韧度大于0.30MPa-m1/2的能够与顶部多孔介电层交联的厚度约为25-150埃的薄的第二介质层111,被用旋涂方法涂敷。在旋转之后,此低k介质在200-400℃下被热板烘焙1-2分钟,以便干燥溶剂并使膜不可溶解。在冷却之后,以相似的方式涂敷顶部介电层113。层113的组成可以与层105相同,但厚度稍大。顶部低k介电层113的所需厚度约为600-5000埃。此层在1000-4000rpm下被旋转,然后在大约100-400℃下被热板烘焙大约30-120秒钟,以便部分地干燥溶剂。
B.在单一固化步骤中固化介电层叠层
此时,晶片被置于纯氮气氛(氧和水的浓度非常低)的炉子中,并在大约300-450℃下被固化大约15分钟到3小时,以便交联叠层并烧去牺牲成孔源。
C.为双重镶嵌图形化而加入额外的介电层(分布硬掩模)
对于此步骤和一下步骤,可参考美国专利No.6383920。
D.完成图7的双重镶嵌结构(利用标准工艺步骤)
执行优选实施方案的方法
(多孔SiLKTM/薄SiLKTM层/HOSPBEStTM/薄SiLKTM层/多孔SiLKTM)
A.涂敷介电层叠层
用旋涂方法,将第一层低k介质多孔SiLKTM涂敷到衬底(图7中的层107)。在旋转之后,晶片被置于250℃热板上2分钟,以便部分地干燥溶剂。然后被传送到310℃热板2分钟,再传送到400℃热板2分钟。这一时间和温度足以使膜不可溶解。
诸如国际专利申请WO00/40637第17页表II所规定组分的为了在3000rpm的旋转速度下获得大约100埃的膜厚度而稀释的树脂I的SiLKTM溶液,被涂敷到晶片,并在3000rpm下被旋转30秒钟,以便产生层107(图7)。在旋转之后,晶片被置于310℃热板上1分钟,以便干燥溶剂。然后被移动到400℃热板2分钟,以便部分地交联此膜。这一时间和温度足以使膜不可溶解。
为了在3000rpm的旋转速度下获得250埃的膜厚度而稀释的HOSP BEStTM溶液,被涂敷到晶片,并在3000rpm下被旋转30秒钟,以便产生层109(图7)。在旋转之后,晶片被置于310℃热板上2分钟,以便干燥并部分地交联此膜。这一时间和温度足以使膜不可溶解。
为了在3000rpm的旋转速度下获得100埃的膜厚度而稀释的SiLKTM溶液,被涂敷到晶片,并在3000rpm下被旋转30秒钟,以便产生层111(图7)。在旋转之后,晶片被置于310℃热板上1分钟,以便部分地干燥溶剂。然后被移动到400℃热板2分钟,以便部分地交联此膜。这一时间和温度足以使膜不可溶解。然后使晶片能够冷却,并回到旋涂机。
以相似于第一层的方式,涂敷第二层多孔SiLK TM,以便产生层113(图7)。多孔SiLKTM被涂敷到晶片,并在3000rpm下被旋转30秒钟。晶片被置于250℃热板上2分钟,以便部分地干燥溶剂。
此时,晶片被置于氧被控制了的炉子中,并在430℃下被固化80分钟,以便固化SiLK和腐蚀停止层,促进各层之间的交联,并使成孔源热退化和烧去。
C.为双重镶嵌图形化而加入额外的介电层(分布硬掩模)
包含上述各层的被固化了的晶片,被置于PECVD反应器中,并在350℃下淀积350埃的氮化硅层115,然后在350℃下淀积1500埃的二氧化硅层。这就完成了实施例2的介质多层的制作。
D.完成图7的双重镶嵌结构
然后如上面美国专利No.6383920所述,执行光刻和腐蚀处理。然后用业界所知的标准工艺方法来完成双重镶嵌结构(腐蚀的沟槽和通道窗口被衬里然后被铜填充,且铜被CMP整平)。
在最后的CMP工艺过程中,步骤C中淀积的二氧化硅层被清除,留下图7所示的结构。应该指出的是,在5个层于单一旋转/涂敷设备中的相继涂敷之后,图7所示的所有介电层(105、107、109、111、113)已经在单一炉子固化步骤中被固化。
这样,由于借助于消除表面处的孔并与多孔介质形成共价键以产生一个网络,非多孔层会增大与腐蚀停止层的接触表面积,故本发明的结构相对于常规埋置腐蚀停止层结构已经改善了粘合性。
借助于将界面附近的韧性材料组合在介质叠层中应力增大了的区域中,得到了提高的韧性。这种韧性材料可能不具有支持多孔介质所要求的非常小的孔所必须的性质,因而无法用作多孔介质的基质。
将非多孔介电层组合在腐蚀停止与多孔介质层之间,使得能够借助于消除腐蚀停止层底部处的孔而得到平滑的线条。具体地说,在双重镶嵌工艺中,包括帽层开口步骤的RIE工艺的最后步骤可能导致线条底部腐蚀穿过腐蚀停止层且停留在直接位于腐蚀停止层下方的介质的顶部上。在通道层次多孔介质与腐蚀停止层之间组合薄的致密介质,将导致比多孔介质直接位于腐蚀停止层下方的常规结构更小的线条粗糙度。
虽然根据本发明已经描述了几个实施方案,但对于本技术领域的熟练人员来说,显然有可能作出各种改变。因此,不希望局限于所述的细节,而认为所有的改变和修正都在所附权利要求的范围内。

Claims (68)

1.一种衬底上的低k介质加上铜的双重镶嵌型电互连结构,它包含:
第一多孔介电层,它具有从中已经清除了成孔源的表面区;以及
腐蚀停止层,它排列在所述第一多孔介电层上,致使所述腐蚀停止层延伸,从而部分地填充从中已经清除了成孔源的所述第一多孔介电层表面区中的孔。
2.权利要求1的电互连结构,还包含排列在所述腐蚀停止层上的第二多孔介电层。
3.权利要求2的电互连结构,其中,第一多孔介电层和第二多孔介电层中的至少一个,由有机介电材料组成。
4.权利要求2的电互连结构,其中,第一多孔介电层和第二多孔介电层中的至少一个的组成材料的多孔性是由于牺牲成孔源的分解而形成的。
5.权利要求2的电互连结构,其中,第一多孔介电层的厚度为600-5000埃。
6.权利要求2的电互连结构,其中,第二多孔介电层的厚度为600-5000埃。
7.权利要求2的电互连结构,其中,所述腐蚀停止层由对多孔介电层具有腐蚀选择性的旋涂材料组成。
8.权利要求2的电互连结构,其中,所述腐蚀停止层由选自有机硅倍半氧烷、氢化硅倍半氧烷、氢化有机硅倍半氧烷、以及硅氧烷的材料组成。
9.权利要求2的电互连结构,其中,腐蚀停止层的厚度为200-600埃。
10.权利要求2的电互连结构,还包含形成在衬底上多孔介电层的多层叠层中的多个图形化的金属导体,所述叠层至少包括第一多孔介电层、腐蚀停止层、以及第二多孔介电层。
11.权利要求10的电互连结构,其中,至少一个图形化的金属导体是电通道。
12.权利要求11的电互连结构,其中,至少一个图形化的金属导体是连接到所述电通道的线条。
13.权利要求2的电互连结构,其中,第一多孔介电层中形成有金属通道。
14.权利要求2的电互连结构,其中,第二多孔介电层中形成有金属线条。
15.权利要求2的电互连结构,还包含硬掩模层,它排列在所述第二多孔介电层上,致使所述硬掩模层延伸,从而部分地填充从中已经清除了所述成孔源的所述第二多孔介电层表面区中的孔。
16.权利要求15的电互连结构,其中,所述硬掩模层由对多孔介质具有腐蚀选择性的材料组成。
17.权利要求15的电互连结构,其中,所述硬掩模层由选自有机硅倍半氧烷、氢化硅倍半氧烷、氢化有机硅倍半氧烷、以及硅氧烷的材料组成。
18.权利要求2的电互连结构,其中,所述第一和第二多孔介电层由有机介质组成,且所述腐蚀停止层是无机低k介电材料和有机-无机混合材料中的一种。
19.权利要求18的电互连结构,其中,所述无机低k介电材料是多孔的。
20.权利要求1的电互连结构,其中,第一多孔介电层由有机介电材料组成。
21.权利要求1的电互连结构,其中,第一多孔介电层由其多孔性由于牺牲成孔源分解而形成的材料组成。
22.权利要求1的电互连结构,其中,第一多孔介电层的厚度为600-5000埃。
23.权利要求1的电互连结构,其中,所述腐蚀停止层由对介电层的多孔介质具有腐蚀选择性的旋涂材料组成。
24.权利要求1的电互连结构,其中,所述腐蚀停止层由选自有机硅倍半氧烷、氢化硅倍半氧烷、氢化有机硅倍半氧烷、以及硅氧烷的材料组成。
25.权利要求1的电互连结构,其中,腐蚀停止层的厚度为200-600埃。
26.权利要求1的电互连结构,其中,所述衬底是其上形成有粘合促进剂层的半导体晶片。
27.一种在衬底上形成低k介质加上铜的双重镶嵌型电互连结构的方法,它包含:
提供第一多孔介电层,它具有从中已经清除了成孔源的表面区;以及
形成腐蚀停止层,它排列在所述第一多孔介电层上,致使所述腐蚀停止层延伸,从而部分地填充从中已经清除了成孔源的所述第一多孔介电层的表面区中的孔。
28.权利要求27的方法,还包含从所述第一多孔介电层的表面区清除成孔源的步骤。
29.权利要求28的方法,其中,用加热方法来清除成孔源。
30.权利要求27的方法,其中,用热板烘焙工作室中的烘焙方法来清除成孔源。
31.权利要求27的方法,还包含在所述腐蚀停止层上形成第二多孔介电层。
32.权利要求31的方法,其中,第一多孔介电层和第二多孔介电层中的至少一个,由有机介电材料组成。
33.权利要求31的方法,还包含在第一多孔介电层和第二多孔介电层中的至少一个中,借助于原本在所述层中的牺牲成孔源的分解而形成多孔性。
34.权利要求27的方法,其中,第一多孔介电层的厚度为600-5000埃。
35.权利要求31的方法,其中,第二多孔介电层的厚度为600-5000埃。
36.权利要求30的方法,其中,所述腐蚀停止层由对多孔介电层具有腐蚀选择性的材料组成。
37.权利要求30的方法,其中,所述腐蚀停止层由选自有机硅倍半氧烷、氢化硅倍半氧烷、氢化有机硅倍半氧烷、以及硅氧烷的材料组成。
38.权利要求30的方法,其中,腐蚀停止层的厚度为200-600埃。
39.权利要求30的方法,还包含在第一多孔介电层中形成金属通道。
40.权利要求31的方法,还包含在第二多孔介电层中形成金属线条。
41.权利要求31的方法,还包含在衬底上多孔介电层的多层叠层中形成多个图形化的金属导体,所述叠层至少包括第一多孔介电层、所述腐蚀停止层、以及第二多孔介电层。
42.权利要求41的方法,还包含:
在叠层中增加额外的介电层;以及
在额外的介电层中形成双重镶嵌导体。
43.权利要求42的方法,还包含对介电层进行固化,以便使介电层成为多孔的。
44.权利要求43的方法,其中,叠层中的所述第一多孔介质、所述腐蚀停止层、以及第二多孔介电层在单一固化步骤中被固化。
45.权利要求44的方法,其中,所述固化是在300-450℃的温度下进行的15分钟到3小时的炉子固化步骤。
46.权利要求43的方法,其中,在所述固化步骤中,保留的成孔源从第一和第二多孔介电层被清除。
47.权利要求43的方法,其中,在所述固化步骤中,保留的成孔源退化成低分子量化合物,并通过第一和第二多孔介电层的自由体积以及埋置的腐蚀停止层从层中扩散出去。
48.权利要求43的方法,其中,在单一设备中的相继涂敷之后,叠层中的各个介电层被固化。
49.权利要求48的方法,其中的设备是包含高温热板烘焙工作室的旋涂设备。
50.权利要求41的方法,还包含形成至少一个图形化的金属导体作为电通道。
51.权利要求50的方法,还包含形成至少一个图形化的金属导体作为连接到所述通道的线条。
52.权利要求31的方法,还包含在所述第二多孔介电层上形成硬掩模层,使所述硬掩模层延伸,以便部分地填充从中已经清除了所述成孔源的所述第二多孔介电层的表面区中的孔。
53.权利要求52的方法,其中,所述硬掩模层是化学机械抛光的抛光停止层。
54.权利要求52的方法,还包含利用牺牲成孔源的分解来形成第一多孔介电层和第二多孔介电层中的至少一个的多孔性。
55.权利要求52的方法,其中,所述硬掩模层由对多孔介电层具有腐蚀选择性的旋涂材料组成。
56.权利要求52的方法,其中,所述硬掩模层由选自有机硅倍半氧烷、氢化硅倍半氧烷、氢化有机硅倍半氧烷、以及硅氧烷的材料组成。
57.权利要求51的方法,其中,第一多孔介电层和第二多孔介电层中的至少一个由有机介电材料组成。
58.权利要求52的方法,其中,第一多孔介电层、腐蚀停止层、第二多孔介电层、以及硬掩模层,在单一固化步骤中被固化。
59.权利要求58的方法,其中,所述固化是在300-450℃的温度下进行的15分钟到3小时的炉子固化步骤。
60.权利要求58的方法,其中,在固化步骤中,保留的成孔源退化成低分子量化合物,并通过第一和第二多孔介电层的自由体积、埋置的腐蚀停止层、以及硬掩模层,从结构中扩散出去。
61.权利要求52的方法,其中,硬掩模层的厚度为300-1000埃。
62.权利要求31的方法,还包含在所述第二多孔介电层上形成抛光停止层,使所述抛光停止层延伸,以便部分地填充从中已经清除了所述成孔源的所述第二多孔介电层的表面区中的孔。
63.权利要求27的方法,其中,第一多孔介电层由有机介电材料组成。
64.权利要求27的方法,还包含借助于分解成孔源而在第一多孔介电层中形成多孔性。
65.权利要求27的方法,其中,第一多孔介电层的厚度为600-5000埃。
66.权利要求27的方法,其中,所述腐蚀停止层由对多孔介电层具有腐蚀选择性的旋涂材料组成。
67.权利要求27的方法,其中,所述腐蚀停止层由选自有机硅倍半氧烷、氢化硅倍半氧烷、氢化有机硅倍半氧烷、以及硅氧烷的材料组成。
68.权利要求27的方法,其中,腐蚀停止层的厚度为200-600埃。
CNB028204360A 2001-12-13 2002-12-13 多孔低k介质互连结构 Expired - Lifetime CN100483698C (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US33973401P 2001-12-13 2001-12-13
US60/339,734 2001-12-13
US10/290,682 2002-11-08
US10/290,616 2002-11-08

Publications (2)

Publication Number Publication Date
CN1788347A CN1788347A (zh) 2006-06-14
CN100483698C true CN100483698C (zh) 2009-04-29

Family

ID=36785140

Family Applications (1)

Application Number Title Priority Date Filing Date
CNB028204360A Expired - Lifetime CN100483698C (zh) 2001-12-13 2002-12-13 多孔低k介质互连结构

Country Status (4)

Country Link
US (4) US6933586B2 (zh)
KR (1) KR100581815B1 (zh)
CN (1) CN100483698C (zh)
TW (1) TW580755B (zh)

Families Citing this family (44)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3715626B2 (ja) * 2003-01-17 2005-11-09 株式会社東芝 半導体装置の製造方法および半導体装置
US6737365B1 (en) * 2003-03-24 2004-05-18 Intel Corporation Forming a porous dielectric layer
US7208389B1 (en) * 2003-03-31 2007-04-24 Novellus Systems, Inc. Method of porogen removal from porous low-k films using UV radiation
US7241704B1 (en) * 2003-03-31 2007-07-10 Novellus Systems, Inc. Methods for producing low stress porous low-k dielectric materials using precursors with organic functional groups
US7390537B1 (en) 2003-11-20 2008-06-24 Novellus Systems, Inc. Methods for producing low-k CDO films with low residual stress
US7342315B2 (en) * 2003-12-18 2008-03-11 Texas Instruments Incorporated Method to increase mechanical fracture robustness of porous low k dielectric materials
JP4194508B2 (ja) * 2004-02-26 2008-12-10 三洋電機株式会社 半導体装置の製造方法
US7381662B1 (en) 2004-03-11 2008-06-03 Novellus Systems, Inc. Methods for improving the cracking resistance of low-k dielectric materials
US7341761B1 (en) 2004-03-11 2008-03-11 Novellus Systems, Inc. Methods for producing low-k CDO films
US7557035B1 (en) 2004-04-06 2009-07-07 Advanced Micro Devices, Inc. Method of forming semiconductor devices by microwave curing of low-k dielectric films
US7781351B1 (en) 2004-04-07 2010-08-24 Novellus Systems, Inc. Methods for producing low-k carbon doped oxide films with low residual stress
US7344972B2 (en) * 2004-04-21 2008-03-18 Intel Corporation Photosensitive dielectric layer
US7115974B2 (en) * 2004-04-27 2006-10-03 Taiwan Semiconductor Manfacturing Company, Ltd. Silicon oxycarbide and silicon carbonitride based materials for MOS devices
US7622400B1 (en) 2004-05-18 2009-11-24 Novellus Systems, Inc. Method for improving mechanical properties of low dielectric constant materials
US7078814B2 (en) * 2004-05-25 2006-07-18 International Business Machines Corporation Method of forming a semiconductor device having air gaps and the structure so formed
US7326444B1 (en) 2004-09-14 2008-02-05 Novellus Systems, Inc. Methods for improving integration performance of low stress CDO films
US7695765B1 (en) 2004-11-12 2010-04-13 Novellus Systems, Inc. Methods for producing low-stress carbon-doped oxide films with improved integration properties
US7166531B1 (en) 2005-01-31 2007-01-23 Novellus Systems, Inc. VLSI fabrication processes for introducing pores into dielectric materials
US8728289B2 (en) * 2005-12-15 2014-05-20 Medtronic, Inc. Monolithic electrodes and pH transducers
JP4788415B2 (ja) * 2006-03-15 2011-10-05 ソニー株式会社 半導体装置の製造方法
US20070232046A1 (en) * 2006-03-31 2007-10-04 Koji Miyata Damascene interconnection having porous low K layer with improved mechanical properties
US8399349B2 (en) * 2006-04-18 2013-03-19 Air Products And Chemicals, Inc. Materials and methods of forming controlled void
US7906174B1 (en) 2006-12-07 2011-03-15 Novellus Systems, Inc. PECVD methods for producing ultra low-k dielectric films using UV treatment
US7947565B2 (en) * 2007-02-07 2011-05-24 United Microelectronics Corp. Forming method of porous low-k layer and interconnect process
US7615482B2 (en) * 2007-03-23 2009-11-10 International Business Machines Corporation Structure and method for porous SiCOH dielectric layers and adhesion promoting or etch stop layers having increased interfacial and mechanical strength
JP4977508B2 (ja) * 2007-03-26 2012-07-18 アイメック ダメージの入った多孔性誘電体の処理方法
US9329822B2 (en) * 2007-04-04 2016-05-03 Xerox Corporation Methods and apparatus for improved operation of networked printing system
CN101999165B (zh) * 2008-01-14 2015-09-16 加利福尼亚大学董事会 垂直除气通道
US20100015816A1 (en) * 2008-07-15 2010-01-21 Kelvin Chan Methods to promote adhesion between barrier layer and porous low-k film deposited from multiple liquid precursors
US20100176513A1 (en) * 2009-01-09 2010-07-15 International Business Machines Corporation Structure and method of forming metal interconnect structures in ultra low-k dielectrics
US8889544B2 (en) * 2011-02-16 2014-11-18 Taiwan Semiconductor Manufacturing Company, Ltd. Dielectric protection layer as a chemical-mechanical polishing stop layer
US9054110B2 (en) 2011-08-05 2015-06-09 Taiwan Semiconductor Manufacturing Company, Ltd. Low-K dielectric layer and porogen
US9330989B2 (en) 2012-09-28 2016-05-03 Taiwan Semiconductor Manufacturing Company, Ltd. System and method for chemical-mechanical planarization of a metal layer
CN103871961B (zh) 2012-12-17 2017-08-25 中芯国际集成电路制造(上海)有限公司 互连结构及其制造方法
US8765546B1 (en) 2013-06-24 2014-07-01 United Microelectronics Corp. Method for fabricating fin-shaped field-effect transistor
JP2015115446A (ja) * 2013-12-11 2015-06-22 株式会社東芝 半導体装置の製造方法
CN105097658B (zh) * 2014-05-15 2018-05-25 中芯国际集成电路制造(上海)有限公司 半导体器件、互连层和互连层的制作方法
JP6710204B2 (ja) 2014-10-15 2020-06-17 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated プラズマ損傷保護のための多層誘電体スタック
US10008382B2 (en) * 2015-07-30 2018-06-26 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device having a porous low-k structure
US10083904B2 (en) * 2016-01-12 2018-09-25 Globalfoundries Inc. Metholodogy for profile control and capacitance reduction
US9997451B2 (en) * 2016-06-30 2018-06-12 International Business Machines Corporation Semiconductor device including a porous dielectric layer, and method of forming the semiconductor device
US9824982B1 (en) 2016-08-09 2017-11-21 International Business Machines Corporation Structure and fabrication method for enhanced mechanical strength crack stop
US10529660B2 (en) 2016-09-30 2020-01-07 Intel Corporation Pore-filled dielectric materials for semiconductor structure fabrication and their methods of fabrication
US10679892B1 (en) 2019-02-28 2020-06-09 International Business Machines Corporation Multi-buried ULK field in BEOL structure

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6069071A (en) * 1996-12-26 2000-05-30 Kabushiki Kaisha Toshiba Method of manufacturing an interconnect by dissolving an intermetallic compound film into a main component of a metal film
US6071809A (en) * 1998-09-25 2000-06-06 Rockwell Semiconductor Systems, Inc. Methods for forming high-performing dual-damascene interconnect structures
US6156648A (en) * 1999-03-10 2000-12-05 United Microelectronics Corp. Method for fabricating dual damascene

Family Cites Families (27)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CA2048339C (en) * 1990-08-03 1997-11-25 Takao Yonehara Semiconductor member and process for preparing semiconductor member
US5470802A (en) * 1994-05-20 1995-11-28 Texas Instruments Incorporated Method of making a semiconductor device using a low dielectric constant material
JPH10173179A (ja) 1996-12-11 1998-06-26 Toshiba Corp 半導体装置及び半導体装置の製造方法
US6017804A (en) * 1998-01-09 2000-01-25 Lucent Technologies Inc. Method and apparatus for cleaving semiconductor material
US6218020B1 (en) 1999-01-07 2001-04-17 Alliedsignal Inc. Dielectric films from organohydridosiloxane resins with high organic content
US6177199B1 (en) 1999-01-07 2001-01-23 Alliedsignal Inc. Dielectric films from organohydridosiloxane resins with low organic content
CN1250945A (zh) * 1998-09-04 2000-04-19 佳能株式会社 半导体基片及其制造方法
US6284149B1 (en) * 1998-09-18 2001-09-04 Applied Materials, Inc. High-density plasma etching of carbon-based low-k materials in a integrated circuit
US6171945B1 (en) 1998-10-22 2001-01-09 Applied Materials, Inc. CVD nanoporous silica low dielectric constant films
IL143207A0 (en) 1998-11-24 2002-04-21 Dow Chemical Co A composition containing a cross-linkable matrix precursor and a poragen, and a porous matrix prepared therefrom
EP1157059A1 (en) 1999-01-08 2001-11-28 The Dow Chemical Company Low dielectric constant polymers having good adhesion and toughness and articles made with such polymers
US6271127B1 (en) * 1999-06-10 2001-08-07 Conexant Systems, Inc. Method for dual damascene process using electron beam and ion implantation cure methods for low dielectric constant materials
US6362091B1 (en) * 2000-03-14 2002-03-26 Intel Corporation Method for making a semiconductor device having a low-k dielectric layer
US6482733B2 (en) * 2000-05-15 2002-11-19 Asm Microchemistry Oy Protective layers prior to alternating layer deposition
US6358842B1 (en) * 2000-08-07 2002-03-19 Chartered Semiconductor Manufacturing Ltd. Method to form damascene interconnects with sidewall passivation to protect organic dielectrics
EP1314193A2 (en) * 2000-08-21 2003-05-28 Dow Global Technologies Inc. Organosilicate resins as hardmasks for organic polymer dielectrics in fabrication of microelectronic devices
US6472306B1 (en) * 2000-09-05 2002-10-29 Industrial Technology Research Institute Method of forming a dual damascene opening using CVD Low-K material and spin-on-polymer
US6451712B1 (en) * 2000-12-18 2002-09-17 International Business Machines Corporation Method for forming a porous dielectric material layer in a semiconductor device and device formed
US6383920B1 (en) * 2001-01-10 2002-05-07 International Business Machines Corporation Process of enclosing via for improved reliability in dual damascene interconnects
US6524947B1 (en) * 2001-02-01 2003-02-25 Advanced Micro Devices, Inc. Slotted trench dual inlaid structure and method of forming thereof
US6603204B2 (en) * 2001-02-28 2003-08-05 International Business Machines Corporation Low-k interconnect structure comprised of a multilayer of spin-on porous dielectrics
US6878615B2 (en) * 2001-05-24 2005-04-12 Taiwan Semiconductor Manufacturing Company, Ltd. Method to solve via poisoning for porous low-k dielectric
US6798043B2 (en) * 2001-06-28 2004-09-28 Agere Systems, Inc. Structure and method for isolating porous low-k dielectric films
US6879046B2 (en) * 2001-06-28 2005-04-12 Agere Systems Inc. Split barrier layer including nitrogen-containing portion and oxygen-containing portion
US6797605B2 (en) * 2001-07-26 2004-09-28 Chartered Semiconductor Manufacturing Ltd. Method to improve adhesion of dielectric films in damascene interconnects
EP1493182B1 (en) * 2002-04-02 2013-01-23 Dow Global Technologies LLC Tri-layer masking architecture for patterning dual damascene interconnects
US20040137153A1 (en) * 2002-04-16 2004-07-15 Michael Thomas Layered stacks and methods of production thereof

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6069071A (en) * 1996-12-26 2000-05-30 Kabushiki Kaisha Toshiba Method of manufacturing an interconnect by dissolving an intermetallic compound film into a main component of a metal film
US6071809A (en) * 1998-09-25 2000-06-06 Rockwell Semiconductor Systems, Inc. Methods for forming high-performing dual-damascene interconnect structures
US6156648A (en) * 1999-03-10 2000-12-05 United Microelectronics Corp. Method for fabricating dual damascene

Also Published As

Publication number Publication date
US20040018717A1 (en) 2004-01-29
US20030114013A1 (en) 2003-06-19
CN1788347A (zh) 2006-06-14
US6783862B2 (en) 2004-08-31
KR20040079899A (ko) 2004-09-16
USRE45781E1 (en) 2015-10-27
TW580755B (en) 2004-03-21
US20030111263A1 (en) 2003-06-19
US6933586B2 (en) 2005-08-23
TW200305253A (en) 2003-10-16
KR100581815B1 (ko) 2006-05-23
US6844257B2 (en) 2005-01-18

Similar Documents

Publication Publication Date Title
CN100483698C (zh) 多孔低k介质互连结构
CN100382302C (zh) 双重镶嵌互连结构及其制作方法
JP3418458B2 (ja) 半導体装置の製造方法
US6577011B1 (en) Chip interconnect wiring structure with low dielectric constant insulator and methods for fabricating the same
TWI278962B (en) Semiconductor device
CN1309074C (zh) 衬底上的电互连结构及其制作方法
TWI278968B (en) Method for forming a multi-layer low-k dual damascene
TW565927B (en) Semiconductor device having multi-layer wiring structure and its manufacturing method
US8330276B2 (en) Semiconductor device and method for manufacturing the same
JPH0513960A (ja) 多層配線基板の製造方法
US20050170641A1 (en) Multilayered wiring structure, method of forming buried wiring, semiconductor device, method of manufacturing semiconductor device, semiconductor mounted device, and method of manufacturing semiconductor mounted device
JP4223012B2 (ja) 絶縁膜の形成方法、多層構造の形成方法および半導体装置の製造方法
JP2003068851A (ja) 半導体装置及びその製造方法
JP4437922B2 (ja) 基板上の電気的相互接続構造およびその形成方法
JP4493278B2 (ja) 多孔性樹脂絶縁膜、電子装置及びそれらの製造方法
JP4167388B2 (ja) 半導体装置の製造方法
JPH11274296A (ja) 多層配線構造及びその形成方法
JP2003031580A (ja) 半導体装置の製造方法
JP2004165658A (ja) 表面の細孔形成剤の部分燃焼によって生成される接着性を改善したポーラス低誘電率誘電体の相互接続
JP2000040740A (ja) 有機樹脂系絶縁膜を有する電子装置の製造方法
JPS6227745B2 (zh)
JPS61154149A (ja) 半導体装置及びその製造方法

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
TR01 Transfer of patent right
TR01 Transfer of patent right

Effective date of registration: 20171122

Address after: Grand Cayman, Cayman Islands

Patentee after: GLOBALFOUNDRIES INC.

Address before: American New York

Patentee before: Core USA second LLC

Effective date of registration: 20171122

Address after: American New York

Patentee after: Core USA second LLC

Address before: American New York

Patentee before: International Business Machines Corp.

CX01 Expiry of patent term
CX01 Expiry of patent term

Granted publication date: 20090429