CN100530559C - 半导体结构的形成方法 - Google Patents

半导体结构的形成方法 Download PDF

Info

Publication number
CN100530559C
CN100530559C CNB2007100052453A CN200710005245A CN100530559C CN 100530559 C CN100530559 C CN 100530559C CN B2007100052453 A CNB2007100052453 A CN B2007100052453A CN 200710005245 A CN200710005245 A CN 200710005245A CN 100530559 C CN100530559 C CN 100530559C
Authority
CN
China
Prior art keywords
stress
metal oxide
oxide semiconductor
formation method
stressor layers
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CNB2007100052453A
Other languages
English (en)
Other versions
CN101150062A (zh
Inventor
余振华
蔡宏骏
张惠林
沈定宇
卢永诚
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of CN101150062A publication Critical patent/CN101150062A/zh
Application granted granted Critical
Publication of CN100530559C publication Critical patent/CN100530559C/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823807Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7843Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being an applied insulating layer

Abstract

为解决现有技术工艺成本较高和电子电容延迟恶化的问题,本发明提供一种半导体结构的形成方法,包括:提供具有第一元件区的基板;形成金属氧化物半导体(MOS)元件于第一元件区;形成应力层于金属氧化物半导体元件上;以及提供后处理调整应力层的应力。后处理基本上选自下列工艺:紫外线(UV)硬化、激光硬化、电子束硬化、或上述的组合。本发明可简化工艺。并且本发明的应力层可降低寄生电容以及RC延迟的问题。

Description

半导体结构的形成方法
技术领域
本发明涉及一种金属氧化物半导体元件,更特别涉及具有应力沟道区的金属氧化物半导体元件,以及其形成方法。
背景技术
在大型集成电路(VLSI)的尺寸不断缩小,且运算速度不断提高的要求下,改善元件的驱动电流越来越重要。元件电流与栅极长度、栅极电容、载流子移动能力息息相关。缩短栅极长度、增加栅极电容、以及增加载流子移动能力可改善元件电流表现。栅极长度可随着电路体积越来越小的趋势缩短。栅极电容可通过薄化栅极介电层或采用较高介电常数的栅极介电层等方法增加。为了进一步改善元件电流,需要增加载流子移动能力。目前的主要方法是形成具有应力的硅沟道,此种作法不需改变栅极长度,可降低电路工艺或设计的复杂度。
NMOS元件的表现可通过施加拉伸应力于沟道区来改善,PMOS元件的表现则可通过施加压缩应力于沟道区来改善。应力的来源可为具有应力的盖层(capping layer),如金属氧化物半导体元件上的接触孔蚀刻停止层(contactetch stop layer,以下简称CESL)。图1为现有技术的半导体结构,PMOS元件2与NMOS元件4上具有CESL。具有压缩应力的CESL 6形成于PMOS元件2上,而具有拉伸应力的CESL 8形成于NMOS元件4上。由于CESL 6与CESL 8具有不同应力,需要分别的沉积两次CESL、两次光刻工艺、以及两次蚀刻工艺,两组独立的工艺分别形成CESL 6以及CESL 8。两组工艺使不同应力的CESL的成本提高。现有技术的另一个问题在于一般使用的CESL为具有高介电常数的氮化硅。如此一来将使集成电路的电阻电容延迟(RCdelay)问题恶化。
在相关技术中,可由另一种方法形成CESL。此方法包括形成具有应力的CESL层于NMOS元件与PMOS元件上,接着进行离子注入或等离子体处理于PMOS上的CESL,使PMOS上的CESL层产生应力变化。然而并非所有的CESL材料均适用等离子体处理。因此,目前急需新的方法来形成CESL,以提供适当的应力NMOS元件与PMOS元件。
发明内容
本发明为解决上述问题,使半导体结构的不同元件区具有不同应力,以及调整不同元件区的应力,提供一种半导体结构的形成方法,包括提供基板;形成应力层于基板上,且应力层具有第一型应力;形成图案化掩模层于应力层上;以及进行电磁射线处理,使未被图案化掩模层所遮蔽的部份应力层的第一型应力转变成第二型应力。
上述半导体结构的形成方法中,该电磁射线为UV射线。
上述半导体结构的形成方法中,该第一型应力为拉伸应力,且该第二型应力为压缩应力。
上述半导体结构的形成方法中,该应力层的介电常数约介于3与7之间。
上述半导体结构的形成方法中,该应力层包括掺杂碳的介电材料。
本发明还提供一种半导体结构的形成方法,包括提供基板,包括第一元件区;形成第一金属氧化物半导体元件于第一元件区;形成应力层于第一金属氧化物半导体元件上;以及进行后处理调整应力层的应力,其中后处理基本上择自下列工艺:UV硬化、激光硬化、电子束硬化、或上述的组合。
上述半导体结构的形成方法中,该应力层的应力为由压缩应力转变至拉伸应力,或由拉伸应力转变至压缩应力。
上述半导体结构的形成方法中,该后处理增加该应力层的应力。
上述半导体结构的形成方法中,该基板还包括:第二元件区;以及额外金属氧化物半导体元件形成于该第二元件区,且该额外金属氧化物半导体元件的型态与该金属氧化物半导体元件的型态相反;其中该应力层覆盖该额外金属氧化物半导体元件与该第二元件区;当进行该后处理时,覆盖该额外金属氧化物半导体元件与该第二元件区的该应力层被掩模层遮蔽。
上述半导体结构的形成方法中,在该后处理后还包括:遮蔽该第一元件区;以及进行额外后处理调整该第二元件区的应力层的应力,其中该后处理与该额外后处理的工艺参数实质上不同。
上述半导体结构的形成方法中,该应力层包括掺杂碳的氧化硅、掺杂碳的氮化硅、氮化硅、氮氧化硅、或上述的组合。
上述半导体结构的形成方法中,在该后处理前还包括离子注入步骤,用以释放该应力层的应力。
本发明还提供一种半导体结构的形成方法,包括提供基板,具有主动区;形成金属氧化物半导体元件于主动区;形成接触孔蚀刻停止层于金属氧化物半导体元件上,其中接触孔蚀刻停止层包括掺杂碳的氧化硅、掺杂碳的氮化硅、氮化硅、碳化硅、氮氧化硅、或上述的组合;以及进行UV硬化工艺于接触孔蚀刻停止层。
上述半导体结构的形成方法中,该UV硬化工艺的波长约介于200纳米至400纳米之间。
上述半导体结构的形成方法中,该UV硬化工艺使该接触孔蚀刻停止层的应力趋于拉伸方向。
上述半导体结构的形成方法中,该UV硬化工艺使该接触孔蚀刻停止层的应力趋于压缩方向。
本发明还提供一种半导体结构的形成方法,包括提供基板,具有第一主动区与第二主动区;形成第一金属氧化物半导体元件于第一主动区;形成第二金属氧化物半导体元件于第二主动区,且第二金属氧化物半导体元件的型态与第一金属氧化物半导体元件的型态相反;形成接触孔蚀刻停止层于第一与第二金属氧化物半导体元件上;形成掩模层,遮蔽第一金属氧化物半导体元件;以及进行硬化工艺,将第二金属氧化物半导体元件上的接触孔蚀刻停止层的应力转换成相反型态;其中硬化工艺基本上由下列工艺组成:UV硬化、激光硬化、电子束硬化、或上述的组成。
上述半导体结构的形成方法中,该第一金属氧化物半导体元件为p型金属氧化物半导体元件,且该第二金属氧化物半导体元件为n型金属氧化物半导体元件。
上述半导体结构的形成方法中,还包括:去除该掩模层;形成额外掩模层,遮蔽该第二金属氧化物半导体元件;以及进行额外硬化工艺,其中该硬化工艺与该额外硬化工艺的参数实质上不同。
上述半导体结构的形成方法中,还包括在形成该掩模层之后且在该硬化工艺之前,进行离子注入于该接触孔蚀刻停止层。
通过本发明的方法,只需形成接触孔蚀刻停止层。在NMOS元件上的蚀刻停止层具有拉伸应力,而在PMOS元件上的蚀刻停止层具有压缩应力。
本发明的优点是,虽然只形成单一的应力层(CESL),仍可分别于NMOS与PMOS的元件区得到想要的应力型态,因此可简化工艺。本发明的应力层可降低寄生电容以及RC延迟的问题。
附图说明
图1为现有技术中,具有PMOS元件与NMOS元件的金属氧化物半导体元件剖视图,其中PMOS元件上的CESL具有压缩应力,而NMOS元件上的CESL具有拉伸应力;
图2-7为本发明较佳实施例的工艺剖视图,其中PMOS元件与NMOS元件对应的CESL,其应力被调整至适当值;
图8为本发明较佳实施例中,应力层的应力可能改变的范围示意图;
图9为本发明的CESL的调整实验结果。
主要元件符号说明:
2~PMOS元件;4~NMOS元件;6~CESL;8~CESL;40~基板;42~间隔物层;100、200~元件区;124、224~栅极介电层;126、226~栅极;128、228~LDD区;132、232~侧壁间隔物;144、244~源/漏极;146、246~金属硅化区域;148、1481、1482~应力层;150~保护层;160、162、164、176~压缩应力;166、170、172、174~拉伸应力。
具体实施方式
图2-7显示在形成应力层后,调整应力的方法。在接下来的实施例与附图中,同样的标号将用以表示相同的元件。
在图2中,首先提供基板40。基板40可为一般的基板材料,如硅、硅化锗、位于硅化锗上的应力硅、绝缘层上硅(SOI)、绝缘层上硅化锗(SGOI)、绝缘层上锗(GOI)、或类似的组成。基板40较佳具有元件区100与200以形成金属氧化物半导体元件。接下来的说明中,PMOS元件形成于元件区100,而NMOS形成于元件区200。
具有栅极介电层124与栅极126的第一栅极结构形成于元件区100。具有栅极介电层224与栅极226的第二栅极结构形成于元件区200。为了完成上述栅极结构,本领域技术人员自可依公知常识依序形成栅极介电层与栅极层于基板上。栅极介电层可为氧化硅或介电常数大于5的介电材料。栅极层可为多晶硅、金属、金属氮化物、金属硅化物、或其他类似组成。接着图案化栅极介电层与栅极层以分别形成栅极介电层124、224与栅极126、226于元件区100、200。
接着分别于元件区100与200形成浅掺杂源/漏极(以下简称LDD)区128与228。为形成LDD区128,需先形成光阻层(未图示)遮蔽NMOS的元件区200,再以P型掺杂剂如硼和/或铟注入元件区100。与上述步骤类似,为形成LDD区228,需先遮蔽PMOS的元件区100,再以N型掺杂剂如磷和/或砷注入元件区200。
在图3中,间隔物层42毯覆性地形成于图2所示的结构。在较佳实施例中,间隔物层42可为氮化硅层形成于氧化硅层上。在其他实施例中,间隔物层42选自氮化物、氮氧化物、氧化物、或上述的组合。在其他实施例中,间隔物层42可为氧化物-氮化物-氧化物(ONO)结构、ONN结构、或类似的结构。形成间隔物层42的较佳方式可为等离子体增强式化学气相沉积(PECVD)、低压气相沉积(LPCVD)、快速热化学气相沉积(RTCVD)、原子层沉积(ALD)、物理气相沉积(PVD)、或类似的方法。
在图4中,侧壁间隔物132与232分别形成于元件区100与200。较佳的方式为非等向蚀刻,更佳的形成方式为反应性离子蚀刻(RIE),用以去除水平表面上的间隔物层42。
在图5中,源/漏极区144与244分别形成于元件区100与200。源/漏极区144与244可低于或高于(比如利用磊晶成长形成的源/漏极)基板40的表面,后续形成的应力诱导层也可低于或高于基板40的表面。在较佳实施例中,源/漏极区144与244可通过离子注入适当的掺杂剂至基板40的方式来完成。为形成源/漏极区144,需先以光阻(未图示)遮蔽NMOS的元件区200后,再将P型掺杂剂如硼和/或铟离子注入至元件区100。同样,为形成源/漏极区244,需先遮蔽PMOS的元件区100后,再将N型掺杂剂如磷和/或砷离子注入至元件区200。栅极126与226也可与源/漏极区同时进行离子注入以降低面电阻(sheet resistance)。
在图5中,金属硅化区域146与226较佳由金属硅化工艺分别形成于源/漏极区144与244上。如同本领域技术人员所熟知的方法,需先沉积金属薄层如钴、镍、钛、或类似金属于金属硅化区。接着进行回火工艺使沉积的金属层与露出的硅表面形成金属硅化区域。之后去除未反应的金属。
在图6中,应力层148形成于图5的结构上,分为PMOS的元件区100的应力层1481与NMOS的元件区200的应力层1482。虽然此层可称作CESL,但其并不作为蚀刻停止层,而是可为任何应力层或多层的应力层。应力层148可为含有CESL及其他层的复合层。较佳的应力层包括但不限定于掺杂碳的氧化硅、掺杂碳的氮化硅、氮化硅、碳化硅、氮氧化硅、或其他类似物。可以理解的是,不同材料对紫外线的反应不同,并产生不同的应力变化。掺杂碳的氮化硅对紫外线硬化非常敏感,因此极适用于本发明。应力层148可由PECVD或其他常见方法如ALD或LPCVD形成,其厚度较佳介于50
Figure C20071000524500101
至1500
Figure C20071000524500102
在本发明一较佳实施例中,应力层148为掺杂碳的氮化硅,其形成气体包括氨气和/或氮气,以及含碳和硅的前体,较佳为四甲基硅甲烷(4MS)或三甲基硅甲烷(3MS)。在另一较佳实施例中,应力层148为掺杂碳的氧化硅,其形成气体包括二氧化碳与含碳和硅的前体,较佳为3MS或4MS。
应力层148较佳具有高应力,比如高于300MPa。本领域技术人员自可通过选择材料以及形成方法来调整应力层148的应力。不同的工艺条件如温度、沉积速度、功率等参数都会影响应力层148的应力。本领域技术人员可通过例行实验找出应力层148的应力与对应工艺条件之间的关系。在一实施例中,应力层148的材质为掺杂碳的氮化硅,其工艺条件的基板温度约为350℃,腔室压力约为2.3托,功率约为1500瓦,上述条件形成的应力层具有压缩应力。若工艺条件的基板温度约为400℃,腔室压力约为4.5托,功率约为500瓦,形成的应力层148将具有拉伸应力。在接下来的说明中,应力层148将被当作具有压缩应力。
在图7中,掩模层150形成于PMOS的元件区100,用以保护应力层148不受后续的离子注入和/或后处理工艺影响。在较佳实施例中,掩模层150为反射层以反射后续施加的能量,其较佳材料包括但不限定于抗反射涂层、金属硅化物如TiN、TaN、或其他类似金属硅化物。在其他实施例中,掩模层150为吸收层以吸收后续施加的能量,其较佳材料包括但不限定于非晶碳、非晶硅、光阻、或类似材料。在更佳实施例中,掩模层150吸收并反射后续施加的能量,可降低穿透掩模层150到达应力层1481的能量。掩模层150可为一层以上的多层结构,每一层可各自具有吸收或反射的功能。
接下来可视情况进行释放应力的步骤,如图中的箭头标号所示。此步骤较佳为将离子注入至露出的应力层148。在较佳实施例中,离子注入的掺杂剂为锗,用以破坏氮-硅键结并释放应力。如此一来,应力层1482的应力值降低,可由高降至实质上为0。此外,也可使用其他重离子如砷、氙、铟、锑、或其他类似的重离子。在其他实施例中,硅、氮、氧、或碳也可作为掺杂剂。在此离子注入步骤中,被掩模层150保护的应力层1481的应力实质上不会改变。
接着进行后处理。较佳的后处理为紫外线硬化。此外,后处理也可为其他硬化工艺如激光硬化、电子束硬化、或其他类似的硬化工艺。实验结果显示,不同的工艺条件可使压缩应力转变为拉伸应力,也可使拉伸应力转变为压缩应力。在应力层148为掺杂碳的氮化硅的实施例中,使压缩应力转换成拉伸应力的紫外线硬化条件如下:紫外线能量介于约300W/m2至2000W/m2之间;基板温度介于约50℃至550℃之间;后处理时间介于约2分钟至120分钟之间;工艺气体可为氦、氩、或氢。若应力层为其他材料,本领域技术人员自可调整适当的工艺条件来调整应力。
后处理可调整露出的应力层1482的应力,而被掩模层150保护的应力层1481的应力则不受后处理影响。在实施例中,元件区100的元件为PMOS元件,而元件区200的元件为NMOS元件,而应力层1482的应力将由压缩应力调整至拉伸应力。在较佳实施例中,紫外线硬化的条件如下:紫外线波长介于200nm至400nm之间;紫外线能量介于约300W/m2至2000W/m2之间;基板温度介于约50℃至550℃之间;后处理时间介于约2分钟至120分钟之间;工艺气体可为氦、氩、或氢。
在上述的实施例中,应力层148原本具有压缩应力,而NMOS元件上的应力层1482则被调整至具有拉伸应力。在其他实施例中,应力层148原本具有拉伸应力,而PMOS元件上的应力层1481则被调整至具有压缩应力,而NMOS元件区上的应力层1482则被掩模层(未图示)保护而不受影响。同样地,在后处理前可对应力层1481进行离子注入以释放应力。离子注入的掺杂剂可为锗、砷、氙、铟、锑、硅、氮、氧、碳、或其他类似离子。释放应力的步骤结合后处理可使拉伸应力调整至高压缩应力。在一实施例中,应力层148为掺杂碳的氮化硅,将拉伸应力转换成压缩应力的紫外线硬化条件如下:紫外线能量介于300W/m2至2000W/m2之间;基板温度介于约50℃至400℃之间;后处理时间介于约2分钟至120分钟之间;工艺气体可为氦、氩、或氢。
在另一实施例中,可通过分开的调整工艺与掩模层,将应力层1481与1482的应力改变至理想状态。举例来说,在图7中,将应力层1482的应力自压缩型态调整至拉伸型态后,可再利用额外的掩模层(未图示)保护NMOS的元件区200,并以后处理增加应力层1481的压缩应力。
可以理解的是,掩模层150的反射/吸收性质与其组成、厚度、以及后处理的能量来源(比如紫外线)的波长有关。为了得到最佳效果,掩模层150的厚度最好考虑到本身材料与能量来源的波长。在一实施例中,掩模层为非晶碳且能量来源的波长为200nm,则掩模层150厚度较佳大于100nm。
在图8中,显示应力层在后处理后可能产生的应力变化。在调整应力前,应力层可能具有压缩应力160。在调整应力后,应力层的压缩应力可能提高(如162)或降低(如164),甚至转换成拉伸应力(如166)。另一方面,若应力层在调整应力前的应力为拉伸应力170,在调整应力后可能提高(如172)或降低(如174),甚至转换成压缩应力(如176)。至于应力要往哪个方向调整,可通过改变后处理工艺的条件完成,比如能量、基板温度、处理时间、工艺气体、以及腔室压力等等。以掺杂碳的氮化硅的应力层为例,其工艺条件已见于前述的说明。本领域技术人员自可通过例行实验找到适当的工艺条件来调整应力层的应力。
在图9中,显示了调整应力层(掺杂碳的氮化硅)的三组实验结果。在后处理前,应力层具有2E9达因/cm2至4E9达因/cm2之间的压缩应力(阴影部份)。在紫外线硬化的后处理后,应力层具有3E9达因/cm2至7E9达因/cm2之间的拉伸应力(非阴影部份)。
本发明的较佳实施例具有多种优点如下所述。虽然只形成单一的应力层(CESL),仍可分别于NMOS与PMOS的元件区得到想要的应力型态,此特征可简化工艺。在较佳实施例中,应力层的材料可为掺杂碳的氮化硅、氮氧化硅、和/或氮化硅。含碳的氮化硅对紫外线的敏感度比氮化硅高。掺杂碳的氮化硅、氮氧化硅、和/或氮化硅为主的材料的介电常数(约介于3.0至7.0之间),比氮化硅的介电常数(一般介于7.5至8.0之间)低。综上所述,本发明的应力层可降低寄生电容以及RC延迟的问题。
虽然本发明已以数个较佳实施例揭示如上,然其并非用以限定本发明,任何所属技术领域中普通技术人员,在不脱离本发明的精神和范围内,当可作任意的改动与修改,因此本发明的保护范围当视所附权利要求所界定者为准。

Claims (20)

1.一种半导体结构的形成方法,包括:
提供基板;
形成应力层于该基板上,且该应力层具有第一型应力;
形成图案化掩模层于该应力层上;以及
进行电磁射线处理,使未被该图案化掩模层所遮蔽的部份该应力层的该第一型应力转变成第二型应力。
2.如权利要求1所述的半导体结构的形成方法,其中该电磁射线为UV射线。
3.如权利要求1所述的半导体结构的形成方法,其中该第一型应力为拉伸应力,且该第二型应力为压缩应力。
4.如权利要求1所述的半导体结构的形成方法,其中该应力层的介电常数介于3与7之间。
5.如权利要求1所述的半导体结构的形成方法,其中该应力层包括掺杂碳的介电材料。
6.一种半导体结构的形成方法,包括:
提供基板,包括第一元件区;
形成第一金属氧化物半导体元件于该第一元件区;
形成应力层于该第一金属氧化物半导体元件上;以及
进行后处理调整该应力层的应力,其中该后处理选自下列工艺:UV硬化、激光硬化、电子束硬化、或上述的组合。
7.如权利要求6所述的半导体结构的形成方法,其中该应力层的经过该后处理调整后的应力为由压缩应力转变至拉伸应力,或由拉伸应力转变至压缩应力。
8.如权利要求6所述的半导体结构的形成方法,其中该后处理增加该应力层的应力。
9.如权利要求6所述的半导体结构的形成方法,其中该基板还包括:
第二元件区;以及
额外金属氧化物半导体元件形成于该第二元件区,且该额外金属氧化物半导体元件的型态与该金属氧化物半导体元件的型态相反;
其中该应力层覆盖该额外金属氧化物半导体元件与该第二元件区;
当进行该后处理时,覆盖该额外金属氧化物半导体元件与该第二元件区的该应力层被掩模层遮蔽。
10.如权利要求9所述的半导体结构的形成方法,在该后处理后还包括:
遮蔽该第一元件区;以及
进行额外后处理调整该第二元件区的应力层的应力,其中该后处理与该额外后处理的工艺参数不同。
11.如权利要求6所述的半导体结构的形成方法,其中该应力层包括掺杂碳的氧化硅、掺杂碳的氮化硅、氮化硅、氮氧化硅、或上述的组合。
12.如权利要求6所述的半导体结构的形成方法,在该后处理前还包括离子注入步骤,用以释放该应力层的应力。
13.一种半导体结构的形成方法,包括:
提供基板,具有主动区;
形成金属氧化物半导体元件于该主动区;
形成接触孔蚀刻停止层于该金属氧化物半导体元件上,其中该接触孔蚀刻停止层包括掺杂碳的氧化硅、掺杂碳的氮化硅、氮化硅、氮氧化硅、或上述的组合;以及
进行UV硬化工艺于该接触孔蚀刻停止层,以调整该接触孔蚀刻停止层的应力。
14.如权利要求13所述的半导体结构的形成方法,其中该UV硬化工艺的波长介于200纳米至400纳米之间。
15.如权利要求13所述的半导体结构的形成方法,其中该UV硬化工艺使该接触孔蚀刻停止层的应力趋于拉伸方向。
16.如权利要求13所述的半导体结构的形成方法,其中该UV硬化工艺使该接触孔蚀刻停止层的应力趋于压缩方向。
17.一种半导体结构的形成方法,包括:
提供基板,具有第一主动区与第二主动区;
形成第一金属氧化物半导体元件于该第一主动区;
形成第二金属氧化物半导体元件于该第二主动区,且该第二金属氧化物半导体元件的型态与该第一金属氧化物半导体元件的型态相反;
形成接触孔蚀刻停止层于该第一与第二金属氧化物半导体元件上;
形成掩模层,遮蔽该第一金属氧化物半导体元件;以及
进行硬化工艺,将该第二金属氧化物半导体元件上的该接触孔蚀刻停止层的应力转换成相反型态;
其中该硬化工艺由下列工艺组成:UV硬化、激光硬化、电子束硬化、或上述的组成。
18.如权利要求17所述的半导体结构的形成方法,其中该第一金属氧化物半导体元件为p型金属氧化物半导体元件,且该第二金属氧化物半导体元件为n型金属氧化物半导体元件。
19.如权利要求17所述的半导体结构的形成方法,还包括:
去除该掩模层;
形成额外掩模层,遮蔽该第二金属氧化物半导体元件;以及
进行额外硬化工艺,其中该硬化工艺与该额外硬化工艺的参数不同。
20.如权利要求17所述的半导体结构的形成方法,还包括在形成该掩模层之后且在该硬化工艺之前,进行离子注入于该接触孔蚀刻停止层。
CNB2007100052453A 2006-09-19 2007-02-12 半导体结构的形成方法 Active CN100530559C (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US11/523,674 US7629273B2 (en) 2006-09-19 2006-09-19 Method for modulating stresses of a contact etch stop layer
US11/523,674 2006-09-19

Publications (2)

Publication Number Publication Date
CN101150062A CN101150062A (zh) 2008-03-26
CN100530559C true CN100530559C (zh) 2009-08-19

Family

ID=39250504

Family Applications (1)

Application Number Title Priority Date Filing Date
CNB2007100052453A Active CN100530559C (zh) 2006-09-19 2007-02-12 半导体结构的形成方法

Country Status (2)

Country Link
US (1) US7629273B2 (zh)
CN (1) CN100530559C (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN104183550A (zh) * 2014-08-27 2014-12-03 上海华力微电子有限公司 一种选择性张应力接触孔刻蚀停止层的制作方法

Families Citing this family (56)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7902080B2 (en) * 2006-05-30 2011-03-08 Applied Materials, Inc. Deposition-plasma cure cycle process to enhance film quality of silicon dioxide
US20070277734A1 (en) * 2006-05-30 2007-12-06 Applied Materials, Inc. Process chamber for dielectric gapfill
US7790634B2 (en) * 2006-05-30 2010-09-07 Applied Materials, Inc Method for depositing and curing low-k films for gapfill and conformal film applications
US7825038B2 (en) * 2006-05-30 2010-11-02 Applied Materials, Inc. Chemical vapor deposition of high quality flow-like silicon dioxide using a silicon containing precursor and atomic oxygen
US8232176B2 (en) 2006-06-22 2012-07-31 Applied Materials, Inc. Dielectric deposition and etch back processes for bottom up gapfill
US20080185655A1 (en) * 2007-02-02 2008-08-07 United Microelectronics Corp. Smiconductor device, method for fabricating thereof and method for increasing film stress
US8859377B2 (en) * 2007-06-29 2014-10-14 Texas Instruments Incorporated Damage implantation of a cap layer
US7745352B2 (en) * 2007-08-27 2010-06-29 Applied Materials, Inc. Curing methods for silicon dioxide thin films deposited from alkoxysilane precursor with harp II process
US7867923B2 (en) * 2007-10-22 2011-01-11 Applied Materials, Inc. High quality silicon oxide films by remote plasma CVD from disilane precursors
US7943531B2 (en) * 2007-10-22 2011-05-17 Applied Materials, Inc. Methods for forming a silicon oxide layer over a substrate
US7803722B2 (en) * 2007-10-22 2010-09-28 Applied Materials, Inc Methods for forming a dielectric layer within trenches
US8357435B2 (en) 2008-05-09 2013-01-22 Applied Materials, Inc. Flowable dielectric equipment and processes
US20090289284A1 (en) * 2008-05-23 2009-11-26 Chartered Semiconductor Manufacturing, Ltd. High shrinkage stress silicon nitride (SiN) layer for NFET improvement
US8980382B2 (en) 2009-12-02 2015-03-17 Applied Materials, Inc. Oxygen-doping for non-carbon radical-component CVD films
US7935643B2 (en) * 2009-08-06 2011-05-03 Applied Materials, Inc. Stress management for tensile films
US8741788B2 (en) 2009-08-06 2014-06-03 Applied Materials, Inc. Formation of silicon oxide using non-carbon flowable CVD processes
US7989365B2 (en) * 2009-08-18 2011-08-02 Applied Materials, Inc. Remote plasma source seasoning
US20110136347A1 (en) * 2009-10-21 2011-06-09 Applied Materials, Inc. Point-of-use silylamine generation
US8449942B2 (en) 2009-11-12 2013-05-28 Applied Materials, Inc. Methods of curing non-carbon flowable CVD films
CN102687252A (zh) 2009-12-30 2012-09-19 应用材料公司 以可变的氮/氢比所制造的自由基来生长介电薄膜的方法
US8329262B2 (en) * 2010-01-05 2012-12-11 Applied Materials, Inc. Dielectric film formation using inert gas excitation
US8647992B2 (en) 2010-01-06 2014-02-11 Applied Materials, Inc. Flowable dielectric using oxide liner
SG182333A1 (en) 2010-01-07 2012-08-30 Applied Materials Inc In-situ ozone cure for radical-component cvd
KR101576529B1 (ko) * 2010-02-12 2015-12-11 삼성전자주식회사 습식 식각을 이용한 실리콘 파셋트를 갖는 반도체 장치 및 제조방법
WO2011109148A2 (en) * 2010-03-05 2011-09-09 Applied Materials, Inc. Conformal layers by radical-component cvd
US8236708B2 (en) 2010-03-09 2012-08-07 Applied Materials, Inc. Reduced pattern loading using bis(diethylamino)silane (C8H22N2Si) as silicon precursor
CN102201369B (zh) * 2010-03-22 2014-03-19 中芯国际集成电路制造(上海)有限公司 一种制作具有应力层的互补金属氧化物半导体器件的方法
US7994019B1 (en) 2010-04-01 2011-08-09 Applied Materials, Inc. Silicon-ozone CVD with reduced pattern loading using incubation period deposition
US8476142B2 (en) 2010-04-12 2013-07-02 Applied Materials, Inc. Preferential dielectric gapfill
US8524004B2 (en) 2010-06-16 2013-09-03 Applied Materials, Inc. Loadlock batch ozone cure
US8318584B2 (en) 2010-07-30 2012-11-27 Applied Materials, Inc. Oxide-rich liner layer for flowable CVD gapfill
US9285168B2 (en) 2010-10-05 2016-03-15 Applied Materials, Inc. Module for ozone cure and post-cure moisture treatment
US8664127B2 (en) 2010-10-15 2014-03-04 Applied Materials, Inc. Two silicon-containing precursors for gapfill enhancing dielectric liner
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8450191B2 (en) 2011-01-24 2013-05-28 Applied Materials, Inc. Polysilicon films by HDP-CVD
US8716154B2 (en) 2011-03-04 2014-05-06 Applied Materials, Inc. Reduced pattern loading using silicon oxide multi-layers
US8445078B2 (en) 2011-04-20 2013-05-21 Applied Materials, Inc. Low temperature silicon oxide conversion
US8466073B2 (en) 2011-06-03 2013-06-18 Applied Materials, Inc. Capping layer for reduced outgassing
US9404178B2 (en) 2011-07-15 2016-08-02 Applied Materials, Inc. Surface treatment and deposition for reduced outgassing
US8513143B2 (en) * 2011-08-18 2013-08-20 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structure and method of manufacturing
CN102956558A (zh) * 2011-08-23 2013-03-06 中芯国际集成电路制造(上海)有限公司 半导体器件的制作方法
US8617989B2 (en) 2011-09-26 2013-12-31 Applied Materials, Inc. Liner property improvement
US8551891B2 (en) 2011-10-04 2013-10-08 Applied Materials, Inc. Remote plasma burn-in
CN102637590A (zh) * 2012-04-06 2012-08-15 上海华力微电子有限公司 一种双应力薄膜的制备方法
CN102655087B (zh) * 2012-04-16 2016-01-27 上海华力微电子有限公司 一种调整前金属电介层应力的方法
CN102664150A (zh) * 2012-05-28 2012-09-12 上海华力微电子有限公司 提高接触刻蚀阻挡层工艺中pmos性能的方法
US20140044889A1 (en) * 2012-08-10 2014-02-13 Globalfoundries Inc. Methods of making stressed material layers and a system for forming such layers
US8889566B2 (en) 2012-09-11 2014-11-18 Applied Materials, Inc. Low cost flowable dielectric films
CN102915923B (zh) * 2012-11-12 2015-07-29 上海华力微电子有限公司 双应力薄膜的制造方法以及半导体器件
US9018108B2 (en) 2013-01-25 2015-04-28 Applied Materials, Inc. Low shrinkage dielectric films
KR101836417B1 (ko) * 2014-01-29 2018-03-09 어플라이드 머티어리얼스, 인코포레이티드 저온 경화 모듈러스 강화
CN103871867A (zh) * 2014-03-19 2014-06-18 武汉新芯集成电路制造有限公司 一种低应力氮化硅薄膜的形成方法
US9412581B2 (en) 2014-07-16 2016-08-09 Applied Materials, Inc. Low-K dielectric gapfill by flowable deposition
CN105742336B (zh) * 2014-12-08 2019-10-25 中芯国际集成电路制造(上海)有限公司 形成应力结构的方法
US9741853B2 (en) * 2015-10-29 2017-08-22 Globalfoundries Inc. Stress memorization techniques for transistor devices
FR3076077B1 (fr) * 2017-12-22 2020-02-28 Commissariat A L'energie Atomique Et Aux Energies Alternatives Realisation de transistors a canaux contraints

Family Cites Families (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2000243854A (ja) 1999-02-22 2000-09-08 Toshiba Corp 半導体装置及びその製造方法
US6939814B2 (en) 2003-10-30 2005-09-06 International Business Machines Corporation Increasing carrier mobility in NFET and PFET transistors on a common wafer
DE102004026142B3 (de) 2004-05-28 2006-02-09 Advanced Micro Devices, Inc., Sunnyvale Verfahren zum Steuern der mechanischen Spannung in einem Kanalgebiet durch das Entfernen von Abstandselementen und ein gemäß dem Verfahren gefertigtes Halbleiterbauelement
US7265066B2 (en) * 2005-03-29 2007-09-04 Tokyo Electron, Ltd. Method and system for increasing tensile stress in a thin film using collimated electromagnetic radiation
US20070082507A1 (en) * 2005-10-06 2007-04-12 Applied Materials, Inc. Method and apparatus for the low temperature deposition of doped silicon nitride films
US20080017931A1 (en) * 2006-07-19 2008-01-24 Hung-Lin Shih Metal-oxide-semiconductor transistor device, manufacturing method thereof, and method of improving drain current thereof
US20080044967A1 (en) * 2006-08-19 2008-02-21 Chartered Semiconductor Manufacturing Ltd. Integrated circuit system having strained transistor

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN104183550A (zh) * 2014-08-27 2014-12-03 上海华力微电子有限公司 一种选择性张应力接触孔刻蚀停止层的制作方法

Also Published As

Publication number Publication date
US20080085607A1 (en) 2008-04-10
US7629273B2 (en) 2009-12-08
CN101150062A (zh) 2008-03-26

Similar Documents

Publication Publication Date Title
CN100530559C (zh) 半导体结构的形成方法
CN100477128C (zh) 形成半导体结构的方法
US7566655B2 (en) Integration process for fabricating stressed transistor structure
US7732342B2 (en) Method to increase the compressive stress of PECVD silicon nitride films
CN100378957C (zh) 半导体结构以及形成半导体晶体管的方法
US20120196452A1 (en) Method to increase tensile stress of silicon nitride films using a post pecvd deposition uv cure
US20090289284A1 (en) High shrinkage stress silicon nitride (SiN) layer for NFET improvement
KR100839359B1 (ko) 피모스 트랜지스터 제조 방법 및 상보형 모스 트랜지스터제조 방법
CN101167165A (zh) 增加pecvd氮化硅膜层的压缩应力的方法
US20080200001A1 (en) Method of producing a transistor
US8815673B2 (en) Methods of forming MOSFET devices using nitrogen-injected oxide layers to form gate insulating layers having different thicknesses
US20100270622A1 (en) Semiconductor Device Having a Strain Inducing Sidewall Spacer and a Method of Manufacture Therefor
US20080153240A1 (en) Method for Fabricating Semiconductor Device
CN102054776A (zh) 应力记忆作用半导体器件的制造方法
CN101266949A (zh) 应变硅互补型金属氧化物半导体晶体管的制作方法
WO2009108366A2 (en) A semiconductor device comprising a metal gate stack of reduced height and method of forming the same
CN102130057B (zh) 制作互补金属氧化物半导体器件的方法和结构
US20090179308A1 (en) Method of Manufacturing a Semiconductor Device
US7605044B2 (en) Method of manufacturing semiconductor device
CN102280379B (zh) 一种应变硅nmos器件的制造方法
US7732311B2 (en) Methods of manufacturing semiconductor devices
CN103377933A (zh) Mos晶体管的制造方法
KR20060032454A (ko) 다결정 실리콘 제조방법
US8652893B2 (en) Semiconductor device and manufacturing method thereof
CN102468170A (zh) 一种改善nfet性能的应力层的形成方法

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant