CN100536142C - 形成凹进式通路装置的方法 - Google Patents

形成凹进式通路装置的方法 Download PDF

Info

Publication number
CN100536142C
CN100536142C CNB2006800091714A CN200680009171A CN100536142C CN 100536142 C CN100536142 C CN 100536142C CN B2006800091714 A CNB2006800091714 A CN B2006800091714A CN 200680009171 A CN200680009171 A CN 200680009171A CN 100536142 C CN100536142 C CN 100536142C
Authority
CN
China
Prior art keywords
access device
recessed
substrate
insulating material
electrical insulating
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CNB2006800091714A
Other languages
English (en)
Other versions
CN101147257A (zh
Inventor
库纳尔·R·帕雷克
苏拉杰·马修
吉吉什·D·特里维迪
约翰·K·扎胡拉克
珊·D·唐
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Micron Technology Inc
Original Assignee
Micron Technology Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Micron Technology Inc filed Critical Micron Technology Inc
Publication of CN101147257A publication Critical patent/CN101147257A/zh
Application granted granted Critical
Publication of CN100536142C publication Critical patent/CN100536142C/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B12/00Dynamic random access memory [DRAM] devices
    • H10B12/01Manufacture or treatment
    • H10B12/02Manufacture or treatment for one transistor one-capacitor [1T-1C] memory cells
    • H10B12/05Making the transistor
    • H10B12/053Making the transistor the transistor being at least partially in a trench in the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/76224Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B12/00Dynamic random access memory [DRAM] devices
    • H10B12/30DRAM devices comprising one-transistor - one-capacitor [1T-1C] memory cells
    • H10B12/34DRAM devices comprising one-transistor - one-capacitor [1T-1C] memory cells the transistor being at least partially in a trench in the substrate

Abstract

本发明包含形成凹进式通路装置(180、182、184、186)的方法。提供衬底(102)以在其中具有凹进式通路装置沟渠。一对所述凹进式通路装置沟渠(110)彼此邻近。在所述凹进式通路装置沟渠内形成导电材料(144),且在所述导电材料附近形成源极/漏极区(170、172、174、176、178、180)。将所述导电材料和源极/漏极区一起并入到一对邻近的凹进式通路装置中。在所述衬底内形成所述凹进式通路装置沟渠之后,在所述邻近的凹进式通路装置之间形成隔离区沟渠(130),并用电绝缘材料(136)填充以形成沟渠式隔离区。

Description

形成凹进式通路装置的方法
技术领域
本发明涉及形成与半导体构造相关联的凹进式通路装置的方法。
背景技术
半导体晶体管装置是一种包括栅极的装置,所述栅极通过由所述栅极控制的沟道将一对源极/漏极区彼此互连。晶体管装置是半导体构造的常见电路装置。举例来说,晶体管装置可并入到存储器结构中,所述存储器结构包含(例如)动态随机存取存储器(DRAM)和静态随机存取存储器(SRAM)。
半导体制造中的持续目标是增加集成度,且因此减小装置所消耗的半导体占地空间量。然而,减小晶体管装置的大小导致许多困难。举例来说,当晶体管装置的沟道长度减小时,试图控制沟道相对侧上的源极/漏极区之间的电子流动时出现许多问题。这些问题一般称为短沟道效应。
一种对于克服短沟道问题可能有效的方法是,使晶体管装置凹进在衬底内,以使得与其为非凹进式时相比,装置消耗较小占地空间且仍具有相对较长的沟道。图1展示非凹进式晶体管装置,且图2展示凹进式装置以供与非凹进式装置进行比较。
首先参看图1,半导体构造10经说明为包括衬底12。所述衬底12可包括(例如)轻度掺杂有本底p型掺杂剂的单晶硅。为了帮助解释所附权利要求书,术语“半导电衬底”和“半导体衬底”经定义为意指包括半导电材料的任何构造,所述半导电材料包含(但不限于)例如半导电晶片的块状半导电材料(单独或以组合件形式而在其上包括其它材料)和半导电材料层(单独或以组合件形式包括其它材料)。术语“衬底”是指任何支撑结构,包含(但不限于)上述半导电衬底。
晶体管装置14由衬底支撑。所述晶体管装置包含栅极16,其通过介电材料18而与衬底12间隔开;沿着栅极侧壁包含侧壁间隔物24;在栅极的相对侧上包含一对源极/漏极区20;且在所述源极/漏极区之间包含沟道区22。
栅极16可包括各种导电材料,包含(例如)各种金属、金属合成物和/或导电掺杂硅或其它导电掺杂半导体材料。介电材料18可包括任何适宜的材料或材料组合,且通常将包括二氧化硅,基本上由二氧化硅组成,或由二氧化硅组成。侧壁间隔物24可包括任何适宜的合成物或合成物组合,且通常将包括氮化硅和二氧化硅中的一者或两者。源极/漏极区20可包括处于单晶硅衬底12内的导电掺杂区,且可包括具有轻度掺杂延伸的重度掺杂区。举例来说,源极/漏极区20可包括重度n型掺杂区或重度p型掺杂区,且可包括在侧壁24下方延伸的轻度掺杂部分。沟道区22掺杂有阈值电压植入物,且当足够的电流通过栅极16时将源极/漏极区20可操作地彼此互连。
图2展示包括半导体衬底32和由衬底支撑的晶体管34的构造30。晶体管包括在衬底内延伸的栅极36、在栅极与衬底之间的介电材料38、在衬底内处于栅极附近的源极/漏极区40和在栅极的最低部分周围延伸并将源极/漏极区40彼此互连的沟道区42。尽管未图示,但可在栅极36附近提供侧壁间隔物,所述侧壁间隔物类似于上文参看图1论述的间隔物24。
衬底32、介电材料38、栅极36和源极/漏极区40可包括与上文关于图1的衬底12、栅极16、介电材料18和源极/漏极区20论述的那些材料相同的材料。并且,可在沟道区42内提供阈值电压植入物,所述植入物类似于在图1的区22内提供的阈值电压植入物。
图2的凹进式装置构造与图1的非凹进式装置构造之间的差异是,图2的装置的沟道区42由于沟道区在栅极36的凹进部分周围延伸而得以加长。相对于图1的装置14,这可减小图2的晶体管装置34的短沟道效应。
尽管凹进式通路装置相对于非凹进式装置在可在避免短沟道效应的同时实现的组装密度方面具有优点,但在凹进式通路装置的大规模制造过程中遇到了各种问题,如果凹进式通路装置要在商业上变得可行的话,就应解决所述问题。因此,需要开发用于大规模制造凹进式通路装置的新方法。凹进式通路装置的一种应用是在存储器阵列中,例如DRAM阵列。因此,如果为大规模制造凹进式通路装置而开发的方法适用于制造存储器阵列,那么将更加合乎需要。
发明内容
在一个方面,本发明包含一种形成凹进式通路装置的方法。提供半导体衬底。在所述衬底内形成凹进式通路装置沟渠。一对凹进式通路装置沟渠彼此邻近。在所述凹进式通路装置沟渠内形成导电栅极材料。在所述导电栅极材料附近形成源极/漏极区。导电栅极材料和源极/漏极区一起形成一对邻近的凹进式通路装置(具体地说,一对凹进式通路晶体管)。在衬底内形成凹进式通路装置沟渠之后,在邻近的凹进式通路装置之间形成隔离区沟渠。用电绝缘材料填充所述隔离区沟渠以形成沟渠式隔离区。
在一个方面,本发明包含另一种形成凹进式通路装置的方法。提供半导体构造,且在衬底内形成凹进式通路装置沟渠。用第一电绝缘材料填充凹进式通路装置沟渠。第一电绝缘材料经图案化成为界定多个通路装置区的掩模。通路装置区是由隔离区包围的岛状物。通路装置区仅包括凹进式通路装置沟渠的若干部分。在隔离区内蚀刻衬底以使隔离区的衬底凹进。用第二电绝缘材料覆盖凹进的衬底以覆盖隔离区。去除第一电绝缘材料的至少大部分,同时留下第二电绝缘材料的至少大部分。随后,在凹进式通路装置沟渠的由通路装置区包括的部分内形成栅极材料。
在一个方面,本发明包含又一种形成凹进式通路装置的方法。提供半导体衬底,且在所述衬底上形成第一图案化掩模。所述第一图案化掩模具有穿过其中的开口,所述开口界定凹进式通路装置的沟渠的第一位置。在所述第一位置中蚀刻衬底以形成延伸到衬底中的凹进式通路装置沟渠。用栅极材料填充凹进式通路装置沟渠。在第一图案化掩模上方以及在栅极材料上方形成第一电绝缘材料。所述第一电绝缘材料经图案化成为界定多个通路装置区的掩模。通路装置区是由隔离区包围的岛状物。通路装置区仅包括凹进式通路装置沟渠的若干部分。蚀刻衬底以使所述隔离区的衬底凹进。用第二电绝缘材料覆盖凹进的衬底。去除第一电绝缘材料。随后,形成多个导电线。各个导电线延伸越过多个通路装置区并将所述多个通路装置区的栅极材料彼此电互连。
附图说明
下文参看以下附图来描述本发明的优选实施例。
图1是半导体晶片片断的图解横截面图,其说明现有技术晶体管装置。
图2是半导体晶片片断的图解横截面图,其说明另一现有技术晶体管装置。
图3和4是在本发明的示范性方面的初步处理阶段说明的半导体晶片片断的图解俯视图和图解横截面侧视图。图4的横截面沿着图3的线4-4。
图5和6分别说明在图3和4的处理阶段之后的处理阶段处图3和4的片断。图6的横截面沿着图5的线6-6。
图7和8分别说明在图5和6的处理阶段之后的处理阶段处图3和4的片断。图8的横截面沿着图7的线8-8。
图9和10分别说明在图7和8的处理阶段之后的处理阶段处图3和4的片断。图10的横截面沿着图9的线10-10。
图11和12分别说明在图9和10的处理阶段之后的处理阶段处图3和4的片断。图12的横截面沿着图11的线12-12。
图13和14分别说明在图11和12的处理阶段之后的处理阶段处图3和4的片断。图14的横截面沿着图13的线14-14。
图15和16分别说明在图13和14的处理阶段之后的处理阶段处图3和4的片断。图16的横截面沿着图15的线16-16。
图17和18分别说明在图15和16的处理阶段之后的处理阶段处图3和4的片断。图18的横截面沿着图17的线18-18。
图19和20分别说明在图17和18的处理阶段之后的处理阶段处图3和4的片断。图20的横截面沿着图19的线20-20。
图21和22分别说明在图19和20的处理阶段之后的处理阶段处图3和4的片断。图22的横截面沿着图21的线22-22。
图23和24是在本发明第二实施例的示范性方面的初步处理阶段展示的半导体晶片片断的图解俯视图和图解横截面侧视图。图24的横截面沿着图23的线24-24。
图25和26分别说明在图23和24的处理阶段之后的处理阶段处图23和24的片断。图26的横截面沿着图25的线26-26。
图27和28分别说明在图25和26的处理阶段之后的处理阶段处图23和24的片断。图28的横截面沿着图27的线28-28。
图29和30分别说明在图27和28的处理阶段之后的处理阶段处图23和24的片断。图30的横截面沿着图29的线30-30。
图31和32分别说明在图29和30的处理阶段之后的处理阶段处图23和24的片断。图32的横截面沿着图31的线32-32。
图33和34分别说明在图31和32的处理阶段之后的处理阶段处图23和24的片断。图34的横截面沿着图33的线34-34。
图35和36分别说明在图33和34的处理阶段之后的处理阶段处图23和24的片断。图36的横截面沿着图35的线36-36。
图37和38分别说明在图35和36的处理阶段之后的处理阶段处图23和24的片断。图38的横截面沿着图37的线38-38。
图39和40分别说明在图37和38的处理阶段之后的处理阶段处图23和24的片断。图40的横截面沿着图39的线40-40。
具体实施方式
本发明包含用于形成与半导体构造相关联的凹进式通路装置的各种方法。在特定方面,形成含有凹进式通路装置和将邻近装置电分离的浅沟渠隔离区的结构。因此,制造凹进式通路装置栅极沟渠和浅沟渠隔离区沟渠。与在形成凹进式通路装置栅极沟渠之前形成浅沟渠隔离区沟渠的现有技术工艺形成对比,本发明的一些方法在形成浅沟渠隔离区沟渠之前形成凹进式通路装置栅极沟渠。尽管在浅沟渠隔离区沟渠之前形成凹进式通路装置栅极沟渠,但可在形成浅沟渠隔离区沟渠之前或之后在凹进式通路装置栅极沟渠内形成栅极材料。
参看图3-40来描述本发明的示范性方面,其中图3-22关于本发明的第一实施例方面,且图23-40关于本发明的第二实施例方面。
首先参看图3和4,说明处于本发明第一实施例方面的初步处理阶段的半导体构造100。构造100包括衬底102,所述衬底102可(例如)包括轻度本底掺杂有p型掺杂剂的单晶硅,基本上由所述单晶硅组成,或由所述单晶硅组成。
在衬底上方形成图案化掩模104。所展示的图案化掩模包括:第一层106,其包括二氧化硅,基本上由二氧化硅组成,或由二氧化硅组成;以及第二层108,其包括氮化硅,基本上由氮化硅组成,或由氮化硅组成。可通过在掩模104上方提供光刻图案化光致抗蚀剂(未图示),将图案从光致抗蚀剂转移到掩模104的材料并随后去除光致抗蚀剂,来将掩模104形成为所示图案。
图案化掩模104具有穿过其中的开口110,此类开口界定凹进式通路装置的沟渠的位置。开口110的位置在以下论述中可称为第一位置。
穿过由掩模104界定的第一位置而蚀刻衬底102,以形成延伸到衬底102中的凹进式通路装置沟渠。在特定方面,此类沟渠将延伸到衬底102的单晶硅中。所述沟渠经展示为具有底部周界111和从衬底102的最上表面到底部周界的深度“D”。此深度可(例如)从约100到约2000
Figure C20068000917100082
在本发明的示范性方面,衬底102可包括单晶硅,在图3和4的处理阶段处其中具有p阱和/或n阱植入物。可通过氧化单晶硅的最上表面以将层106形成为基本上由二氧化硅组成或由二氧化硅组成并具有从约50
Figure C20068000917100083
到约100
Figure C20068000917100084
的厚度来形成层106。氮化物封盖108可包括从约200
Figure C20068000917100085
到约500
Figure C20068000917100086
的厚度,且通常将包括从约300到约500
Figure C20068000917100088
的厚度。
在一些方面(未图示),可通过双步骤工艺来缩减开口110的临界尺寸。首先,蚀刻掩蔽层104以形成所示开口110。随后,通过提供沿着侧壁并在开口内延伸的氮化硅层且随后使此层经受各向异性蚀刻以形成间隔物来沿着开口110的侧壁形成氮化物间隔物。在形成此类间隔物之后,开口接着可延伸到衬底102中,使得开口的临界尺寸小于最初通过光刻处理形成的尺寸。
接下来参看图5和6,去除掩模104(图3和4),且在衬底102上方以及在沟渠110内形成层112。所述层112可(例如)包括二氧化硅,基本上由二氧化硅组成,或由二氧化硅组成。在这些方面,可通过热氧化单晶衬底102的暴露上表面来形成层112。
接下来参看图7和8,在层112上方形成层114,所述层114包括氮化硅,基本上由氮化硅组成,或由氮化硅组成。应了解,此处提供的层112和114的组分是示范性组分,且所述层可包括任何适宜的组分。层112和114可共同称为用于填充沟渠110的第一绝缘材料。图7中以虚线视图展示沟渠110以指示绝缘材料114下方的沟渠位置。
接下来参看图9和10,在层114上方提供光刻图案化的光致抗蚀剂116。所述光致抗蚀剂界定待转移到组成层112和114的第一绝缘材料中的图案。随后,通过适宜的蚀刻将此图案转移到层112和114,所述适宜的蚀刻将层112和114图案化为掩模。所述掩模界定多个通路装置区120、122、124和126。通路装置区是由隔离区130包围的岛状物。通路装置区120、122、124和126仅包括凹进式通路装置沟渠110的最初已经形成的部分(即,图7和8的沟渠),沟渠的剩余部分在隔离区130内。
在图9的视图中,所示通路装置区120、122、124和126为大致椭圆形。所述大致椭圆形通路装置区具有主纵向椭圆轴,示范性主纵向椭圆轴经展示为区120内的轴121。请注意,可认为沟渠110包括沿着其长度延伸的主长度轴,图9中示范性主长度轴经说明为轴123。在图9中说明的应用中,主纵向椭圆轴121相对于轴123倾斜,且因此并非大致垂直于主长度轴123。然而,应了解,本发明也包含通路装置区的主纵向椭圆轴大致垂直于凹进式通路装置沟渠的主长度轴的方面(例如,下文参看图31和32描述的方面)。
接下来参看图11和12,通过蚀刻使隔离区130的衬底凹进。所述蚀刻去除沟渠110的在凹进式通路装置区120、122、124和126之间的部分。
在蚀刻之后,隔离区130的凹进衬底处于衬底102的最上表面下方深度“E”处。在特定方面,可使衬底凹进到凹进式通路装置沟渠110的最低水平面下方的水平面,使得深度“E”比图4的深度“D”大至少约两倍。深度“E”可对应于浅沟渠隔离区的深度,且在特定方面可从约500
Figure C20068000917100091
到约3500
Figure C20068000917100092
可认为图12的沟渠100中的两者彼此邻近,且可认为隔离区130形成在此类邻近沟渠之间。举例来说,邻近沟渠中的一者可以是标记为131的沟渠,且另一者可以是标记为133的沟渠,且可认为此类沟渠处于隔离区130中形成于其之间的深沟渠的相对侧上。在本发明的所示处理过程中,邻近的凹进式通路装置沟渠131和133已经在其之间形成深沟渠之前形成。
接下来参看图13和14,去除光致抗蚀剂116(图11和12),且随后在隔离区130的凹进衬底上方以及在通路装置区120、122、124和126的层114上方形成电绝缘材料136。图13中以虚线视图展示通路装置区120、122、124和126以指示此类区的位置,但应了解,在图13的处理阶段处所述区处于绝缘材料136下方。
材料136可包括任何适宜的合成物或合成物组合。在特定方面,材料136可对应于所谓的浅沟渠堆叠,且因此可包括沿着衬底102的二氧化硅薄层、处于所述二氧化硅上方的薄氮化硅衬垫和处于所述衬垫内的厚二氧化硅填料。换句话说,绝缘材料136可主要包括二氧化硅,其中通过薄氮化硅衬垫和薄二氧化硅衬垫使材料的块状二氧化硅与衬底102分离。在一些方面,材料136的块状绝缘合成物可以是旋涂电介质。
在图14的视图中,可认为电介质填充的深区130包括提供在凹进式通路装置沟渠131与133之间的沟渠式隔离区。
接下来参看图15和16,材料136经受平面化(例如,化学-机械平面化)以从层114上方去除材料并形成延伸越过材料136和层114的平面化上表面137。可认为图15和16的平面化从层114上方去除绝缘材料136,同时留下隔离区130的凹进衬底上方的绝缘材料136。
接下来参看图17和18,从衬底102上方去除层112和114,同时留下电绝缘材料136。在一些方面,可认为层112和114共同包括第一电绝缘材料,且可认为去除此类第一电绝缘材料的至少大部分,同时留下对应于材料136的第二电绝缘材料的至少大部分。在所示方面,已经去除了对应于层112和114的第一电绝缘材料的全部,但应了解,本发明包含去除此类材料的少于全部的其它方面。举例来说,如果材料112包括二氧化硅,那么可留下材料112以在随后处理中对应于栅极氧化物。然而,如果不管材料112是否包括二氧化硅而均去除材料112可能是有利的,因为这可允许在形成实际的栅极介电材料之前清洁衬底102的表面。因此,层112和114的材料通常将对应于牺牲材料。
如果需要形成掺杂剂阱(例如,p阱或n阱),那么可在图17和18的处理阶段在衬底102内提供掺杂剂。
接下来参看图19和20,在凹进式通路装置沟渠110内形成栅极介电材料140,且随后在栅极介电材料上方以及在沟渠内形成导电栅极材料142以填充沟渠。在本发明的所示方面,导电栅极材料142包括第一层144和第二层146。层144和146在界面147处接合。层144可(例如)包括导电掺杂硅,基本上由导电掺杂硅组成,或由导电掺杂硅组成;且层146可(例如)包括一种或一种以上含金属合成物,基本上由所述合成物组成,或由所述合成物组成。所述含金属合成物可以是纯金属和/或含金属化合物。在特定方面,层146可在图20的视图中以递降次序包括钨/硅化钨/氮化钛的堆叠。
所示栅极材料142不仅填充沟渠110,而且延伸到此类沟渠外部。此外,层146的含金属合成物与层144的导电掺杂硅之间的界面(即,界面147)在沟渠外部。
在导电栅极材料142上方形成电绝缘封盖150。封盖150可包括任何适宜的合成物或合成物组合,且在特定方面将包括二氧化硅和氮化硅中的一者或两者,基本上由二氧化硅和氮化硅中的一者或两者组成,或由二氧化硅和氮化硅中的一者或两者组成。
图19中以虚线视图展示通路装置区120、122、124和126以指示所述区在绝缘封盖150下方。
接下来参看图21和22,将材料140、144、146和150图案化成为延伸越过通路装置区120、122、124和126的导电线。更具体地说,将材料140、144、146和150图案化成为多个线160、162、164和166,其中所述线中的每一者延伸越过多个通路装置区并将与不同通路装置区相关联的栅极彼此电连接。
在衬底102内且在导电栅极材料144附近形成源极/漏极区170、172、174、176、178和180。源极/漏极区可根据任何适宜的掺杂剂类型进行掺杂,且可包括任何适宜的掺杂剂。可通过将掺杂剂植入到衬底102中到达适当深度来形成源极/漏极区。导电栅极材料和源极/漏极区一起形成由衬底102支撑的多个晶体管装置180、182、184和186。此类晶体管装置对应于具有在凹进式通路装置沟渠110内延伸的栅极的凹进式通路装置。
可认为晶体管装置180、182、184和186的每一者包括使一对源极/漏极区彼此电连接的栅极。举例来说,可认为晶体管装置180包括使源极/漏极区170与172彼此电连接的栅极;可认为装置182包括使源极/漏极区172与174彼此电连接的栅极;可认为装置184包括使源极/漏极区176与178彼此电连接的栅极;且可认为装置186包括使源极/漏极区178与180彼此连接的栅极。
可通过将成对的源极/漏极区中的一些源极/漏极区连接到位线接触件并将其它源极/漏极区连接到存储节点接触件(即,连接到电容器存储节点)来将晶体管装置并入到动态随机存取存储器(DRAM)阵列中。在本发明的所示方面,源极/漏极区170、174、176和180分别连接到电容器存储节点190、192、194和196;且源极/漏极区172和178分别连接到位线198和200。因此,所示构造可并入到DRAM阵列中。
接下来参看图23和24,其说明处于本发明第二实施例方面的初步处理阶段处的半导体构造300。参看与本发明第二方面实施例相关联的图式,在适当时将使用与上文描述本发明第一方面实施例时使用的编号类似的编号。
构造300包括先前参看图3和4描述的衬底102、层106和108的图案化掩模104以及沟渠110,且因此相同地对应于上文参看图3和4论述的构造。
接下来参看图25和26,形成栅极介电材料302以填衬沟渠100的底部,且随后在沟渠内并在介电材料302上方形成栅极材料304。
介电材料302可(例如)包括二氧化硅,基本上由二氧化硅组成,或由二氧化硅组成。在此方面,可沉积材料302,或可通过氧化沟渠100内从衬底102暴露的硅来形成材料302。如果需要的话,可以两个步骤形成介电材料302,其中一个步骤是在沟渠100内初始形成第一二氧化硅材料,且另一步骤是从沟渠内剥离第一介电材料并在沟渠内形成另一介电材料,所述另一介电材料可以是质量优于初始提供的介电材料的二氧化硅电介质。
栅极材料304可包括硅,基本上由硅组成,或由硅组成。硅可在沉积时进行导电掺杂,或可以非导电掺杂形式沉积且随后在稍后处理阶段处用适当的植入物掺杂。
图26的构造经展示为包括延伸越过栅极材料304并越过绝缘材料108的平面化上表面305。可通过初始提供栅极材料304以覆盖材料108以及填充沟渠,且随后使材料304经受平面化(例如,化学-机械抛光)以从材料108上方去除材料304并形成平面化上表面305,来形成所述平面化上表面305。
如果需要的话,可在沟渠110内形成栅极材料304之前,在衬底102内提供增强植入物和/或阈值电压植入物。
尽管栅极材料304经展示为具有与层108的最上表面共同延伸的平面化表面,但应了解,栅极材料也可具有凹进在层108的最上表面的高度水平面下方的表面。在一些方面,可能优选的是,含硅材料304凹进在层108的最上表面下方。
接下来参看图27和28,材料304和层108的最上表面经受氧化作用以在层108上方形成氧化物310,且在层304上方形成氧化物312。在本发明的特定方面,层108将包括氮化硅,基本上由氮化硅组成,或由氮化硅组成,且因此氧化物310将包括氧氮化硅,基本上由氧氮化硅组成,或由氧氮化硅组成;且层304将包括硅,基本上由硅组成,或由硅组成,且因此氧化物312将包括二氧化硅,基本上由二氧化硅组成,或由二氧化硅组成。氧化物310和312可形成为约30
Figure C20068000917100121
厚到约60
Figure C20068000917100122
厚。
接下来参看图29和30,在氧化物310和312上方提供电绝缘材料314。层314可(例如)包括氮化硅,基本上由氮化硅组成,或由氮化硅组成,且可沉积为约300
Figure C20068000917100123
到约500
Figure C20068000917100124
的厚度。在本发明的一些方面,可认为合成物310、312和314一起形成提供在第一图案化掩模104和栅极材料304上方的绝缘材料316。
图29中以虚线视图展示沟渠110以指示沟渠的位置。
接下来参看图31和32,将材料316图案化成为掩模,所述掩模界定多个通路装置区320、324、326、328、330、332、334和336;且界定包围所述通路装置区的隔离区340。可通过在材料316上方提供光刻图案化的光致抗蚀剂掩模(未图示),将图案从光致抗蚀剂掩模转移到材料316并随后去除光致抗蚀剂掩模来完成材料316的图案化。
在图案化材料316之后,在隔离区340内蚀刻衬底102以使此隔离区的衬底凹进。隔离区的凹进衬底处于沟渠110的最低高度水平面下方的高度水平面处,且在一些方面,比沟渠110的高度水平面深至少两倍。
隔离区340内的蚀刻从隔离区内去除栅极材料304,同时留下通路装置区320、324、326、328、330、332、334和336内的栅极材料。可通过(例如)反应性离子蚀刻来完成隔离区340内的蚀刻。
氧化物342和氮化物衬垫344提供在经蚀刻的隔离区340内,且在所示方面,还在材料314上方延伸。氧化物342可(例如)包括沿着衬底102的二氧化硅,基本上由所述二氧化硅组成,或由所述二氧化硅组成;且氮化物344可(例如)包括氮化硅,基本上由氮化硅组成,或由氮化硅组成。氧化物可通过氧化构造300的暴露材料而形成,且因此可沿着衬底102包括与沿着材料108和314所包括的组分不同的组分;或者可通过沉积形成。氧化作用可能是优选的,因为这可修复可能已在反应性离子蚀刻期间发生的等离子引发的损坏,且还可在分界表面处侵入到栅极电介质中以提供栅极多晶硅与衬底102的块状硅之间的低泄漏。氧化物优选地经形成为约30
Figure C20068000917100131
到约80
Figure C20068000917100132
的厚度。氮化物衬垫344可沉积在氧化物层342上方,且最终可充当用于后续旋涂玻璃沉积工艺的保护层。
请注意,图31的通路装置区展示为类似于图9的通路装置区的椭圆形。然而,与图9的通路装置区不同的是,图31的通路装置区的主纵向椭圆轴大致垂直于凹进式通路装置沟渠110的主长度轴。
接下来参看图33和34,提供介电材料350以填充凹进的隔离区340。介电材料350可以是旋涂玻璃。介电材料350可初始形成以覆盖绝缘材料316以及填充凹进的隔离区340,且随后可利用平面化(例如,化学-机械抛光)从绝缘材料316上方去除介电材料并形成在介电材料350和层314上方延伸的所示平面化表面351。在一些方面,绝缘材料316(包括材料314、310和312)可称为第一绝缘材料,且绝缘材料350可称为第二绝缘材料。
接下来参看图35和36,去除层314(图34)。这可通过进行湿式氧化物蚀刻以使旋涂玻璃稍许凹进在材料314的氮化物下方且随后进行湿式氮化物剥离以去除材料314来完成。湿式氮化物剥离可选择性地停止于氧化物材料310和312处。
接下来参看图37和38,形成多个线370、372、374和376以延伸越过通路装置区320、324、326、328、330、332、334和336。所述线包括导电材料380和绝缘封盖382。导电材料380可包括(例如)氮化钛/硅化钨/钨的堆叠(在图38的视图中以递升次序),且封盖382包括氮化硅,基本上由氮化硅组成,或由氮化硅组成。
可通过初始将层380和382的适宜材料完全沉积在构造300的整个最上表面上且随后通过以下步骤图案化所述材料来形成所述线:在层380和382上方形成光刻图案化的光致抗蚀剂,将图案从光致抗蚀剂转移到下伏的层380和382,并随后去除光致抗蚀剂。
图37和38的构造可类似于图21和22的构造而并入到DRAM阵列中。具体地说,可在由导电栅极材料144组成的晶体管栅极附近形成适当的导电掺杂扩散区,且可将电容器构造和位线构造与源极/漏极区电耦合。
图39和40说明相对于图37和38的方面的替代方面。具体地说,构造300可在形成线370、372、374和376之前经受平面化。此平面化去除层108(图35和36)并形成所示平面化表面390。平面化表面390经展示为处于材料106上,但应了解,平面化也可延伸穿过材料106。如果平面化延伸穿过材料106,那么可在形成线之前在衬底102上形成另一介电材料代替材料106。图40的构造可以与上文关于图39的构造论述的方式类似的方式并入到存储器阵列中。

Claims (5)

1.一种形成与半导体构造相关联的凹进式通路装置的方法,其包括:
提供半导体衬底;
在所述衬底内形成凹进式通路装置沟渠;
用第一电绝缘材料填充所述凹进式通路装置沟渠;
将所述第一电绝缘材料图案化成界定多个通路装置区的掩模,所述通路装置区是由隔离区包围的岛状物;所述通路装置区仅包括所述凹进式通路装置沟渠的若干部分;
蚀刻到所述隔离区的衬底中以使所述隔离区的衬底凹进;
用第二电绝缘材料覆盖所述凹进衬底以用所述第二电绝缘材料覆盖所述隔离区;
去除所述第一电绝缘材料,同时留下所述第二电绝缘材料;以及
在去除所述第一电绝缘材料之后,在所述凹进式通路装置沟渠的由所述通路装置区包括的所述若干部分内形成栅极材料。
2根据权利要求1所述的方法,其中所述用第二电绝缘材料覆盖所述凹进衬底通过以下步骤实现:
在所述第一电绝缘材料上方以及在所述隔离区的凹进衬底上方形成所述第二电绝缘材料;以及
平面化所述第二电绝缘材料以从所述第一电绝缘材料上方去除所述第二电绝缘材料,同时留下处于所述隔离区的凹进衬底上方的所述第二电绝缘材料。
3.根据权利要求1所述的方法,其进一步包括形成与所述凹进式装置区的栅极材料相关联的源极/漏极区,所述栅极材料包括使若干对源极/漏极区彼此电互连的栅极;所述成对的源极/漏极区中包括位线接触件和存储节点接触件且被并入到DRAM装置中。
4.一种形成与半导体构造相关联的凹进式通路装置的方法,其包括:
提供半导体衬底;
在所述衬底上方形成第一图案化掩模,所述第一图案化掩模具有延伸穿过其中的开口,所述开口界定凹进式通路装置的沟渠的第一位置;
穿过所述第一位置蚀刻所述衬底以形成延伸到所述衬底中的凹进式通路装置沟渠;
用栅极材料填充所述凹进式通路装置沟渠;
在所述第一图案化掩模上方以及在所述栅极材料上方形成第一电绝缘材料;
将所述第一电绝缘材料图案化成界定多个通路装置区的掩模,所述通路装置区是由隔离区包围的岛状物;所述通路装置区仅包括所述凹进式通路装置沟渠的若干部分;
蚀刻到所述隔离区的衬底中以使所述隔离区的衬底凹进,所述蚀刻还从所述通路装置区之间去除所述栅极材料,同时留下处于所述通路装置区内的所述栅极材料;
用第二电绝缘材料覆盖所述凹进衬底以用所述第二电绝缘材料覆盖所述隔离区;
去除所述第一电绝缘材料;以及
在去除所述第一电绝缘材料之后,形成多个导电线,各个导电线延伸越过多个通路装置区并使所述多个通路装置区的栅极材料彼此电互连。
5.根据权利要求4所述的方法,其中所述用栅极材料填充所述凹进式通路装置沟渠包括:
将所述栅极材料形成为覆盖所述第一图案化掩模且处于所述沟渠内;以及
平面化所述栅极材料以从所述第一图案化掩模上方去除所述栅极材料,同时留下处于所述凹进式通路装置沟渠内的所述栅极材料。
CNB2006800091714A 2005-03-25 2006-03-08 形成凹进式通路装置的方法 Active CN100536142C (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US11/090,529 US7384849B2 (en) 2005-03-25 2005-03-25 Methods of forming recessed access devices associated with semiconductor constructions
US11/090,529 2005-03-25

Publications (2)

Publication Number Publication Date
CN101147257A CN101147257A (zh) 2008-03-19
CN100536142C true CN100536142C (zh) 2009-09-02

Family

ID=36676528

Family Applications (1)

Application Number Title Priority Date Filing Date
CNB2006800091714A Active CN100536142C (zh) 2005-03-25 2006-03-08 形成凹进式通路装置的方法

Country Status (8)

Country Link
US (3) US7384849B2 (zh)
EP (2) EP2001054B1 (zh)
JP (1) JP4962874B2 (zh)
KR (1) KR100895568B1 (zh)
CN (1) CN100536142C (zh)
AT (1) ATE533183T1 (zh)
TW (1) TWI314769B (zh)
WO (1) WO2006104654A1 (zh)

Families Citing this family (74)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5977579A (en) 1998-12-03 1999-11-02 Micron Technology, Inc. Trench dram cell with vertical device and buried word lines
US7071043B2 (en) * 2002-08-15 2006-07-04 Micron Technology, Inc. Methods of forming a field effect transistor having source/drain material over insulative material
US6844591B1 (en) * 2003-09-17 2005-01-18 Micron Technology, Inc. Method of forming DRAM access transistors
US7262089B2 (en) * 2004-03-11 2007-08-28 Micron Technology, Inc. Methods of forming semiconductor structures
KR100538101B1 (ko) * 2004-07-07 2005-12-21 삼성전자주식회사 반도체 장치 및 이의 제조 방법
US7518182B2 (en) * 2004-07-20 2009-04-14 Micron Technology, Inc. DRAM layout with vertical FETs and method of formation
US7547945B2 (en) 2004-09-01 2009-06-16 Micron Technology, Inc. Transistor devices, transistor structures and semiconductor constructions
US7244659B2 (en) * 2005-03-10 2007-07-17 Micron Technology, Inc. Integrated circuits and methods of forming a field effect transistor
US7384849B2 (en) * 2005-03-25 2008-06-10 Micron Technology, Inc. Methods of forming recessed access devices associated with semiconductor constructions
US7282401B2 (en) 2005-07-08 2007-10-16 Micron Technology, Inc. Method and apparatus for a self-aligned recessed access device (RAD) transistor gate
US7867851B2 (en) 2005-08-30 2011-01-11 Micron Technology, Inc. Methods of forming field effect transistors on substrates
US7867845B2 (en) * 2005-09-01 2011-01-11 Micron Technology, Inc. Transistor gate forming methods and transistor structures
US7700441B2 (en) 2006-02-02 2010-04-20 Micron Technology, Inc. Methods of forming field effect transistors, methods of forming field effect transistor gates, methods of forming integrated circuitry comprising a transistor gate array and circuitry peripheral to the gate array, and methods of forming integrated circuitry comprising a transistor gate array including first gates and second grounded isolation gates
US20070262395A1 (en) 2006-05-11 2007-11-15 Gibbons Jasper S Memory cell access devices and methods of making the same
US8008144B2 (en) 2006-05-11 2011-08-30 Micron Technology, Inc. Dual work function recessed access device and methods of forming
US8860174B2 (en) * 2006-05-11 2014-10-14 Micron Technology, Inc. Recessed antifuse structures and methods of making the same
KR100766233B1 (ko) * 2006-05-15 2007-10-10 주식회사 하이닉스반도체 플래쉬 메모리 소자 및 그의 제조 방법
US8852851B2 (en) 2006-07-10 2014-10-07 Micron Technology, Inc. Pitch reduction technology using alternating spacer depositions during the formation of a semiconductor device and systems including same
US7602001B2 (en) 2006-07-17 2009-10-13 Micron Technology, Inc. Capacitorless one transistor DRAM cell, integrated circuitry comprising an array of capacitorless one transistor DRAM cells, and method of forming lines of capacitorless one transistor DRAM cells
DE102006035667B4 (de) * 2006-07-31 2010-10-21 Advanced Micro Devices, Inc., Sunnyvale Verfahren zum Verbessern der Lithographieeigenschaften während der Gateherstellung in Halbleitern mit einer ausgeprägten Oberflächentopographie
US7772632B2 (en) 2006-08-21 2010-08-10 Micron Technology, Inc. Memory arrays and methods of fabricating memory arrays
US7589995B2 (en) 2006-09-07 2009-09-15 Micron Technology, Inc. One-transistor memory cell with bias gate
KR100763337B1 (ko) * 2006-10-02 2007-10-04 삼성전자주식회사 매립 게이트 라인을 갖는 반도체소자 및 그 제조방법
KR100771552B1 (ko) * 2006-10-31 2007-10-31 주식회사 하이닉스반도체 숏 채널 효과가 억제되는 모스트랜지스터 및 그 제조방법
JP2008171872A (ja) * 2007-01-09 2008-07-24 Elpida Memory Inc 半導体装置及びその製造方法
JP2008171863A (ja) * 2007-01-09 2008-07-24 Elpida Memory Inc トレンチゲートの形成方法
US7768047B2 (en) * 2007-05-10 2010-08-03 Micron Technology, Inc. Imager element, device and system with recessed transfer gate
US7923373B2 (en) 2007-06-04 2011-04-12 Micron Technology, Inc. Pitch multiplication using self-assembling materials
KR100825815B1 (ko) * 2007-06-07 2008-04-28 삼성전자주식회사 채널 리세스부를 갖는 활성패턴을 구비하는 반도체 소자 및그의 제조방법
JP2009141260A (ja) * 2007-12-10 2009-06-25 Elpida Memory Inc 半導体装置、及びその製造方法
US7875919B2 (en) * 2008-03-31 2011-01-25 International Business Machines Corporation Shallow trench capacitor compatible with high-K / metal gate
US7989307B2 (en) 2008-05-05 2011-08-02 Micron Technology, Inc. Methods of forming isolated active areas, trenches, and conductive lines in semiconductor structures and semiconductor structures including the same
US7687862B2 (en) * 2008-05-13 2010-03-30 Infineon Technologies Ag Semiconductor devices with active regions of different heights
US10151981B2 (en) 2008-05-22 2018-12-11 Micron Technology, Inc. Methods of forming structures supported by semiconductor substrates
US7824983B2 (en) * 2008-06-02 2010-11-02 Micron Technology, Inc. Methods of providing electrical isolation in semiconductor structures
US7824986B2 (en) 2008-11-05 2010-11-02 Micron Technology, Inc. Methods of forming a plurality of transistor gates, and methods of forming a plurality of transistor gates having at least two different work functions
US8247302B2 (en) 2008-12-04 2012-08-21 Micron Technology, Inc. Methods of fabricating substrates
US8796155B2 (en) 2008-12-04 2014-08-05 Micron Technology, Inc. Methods of fabricating substrates
KR101561061B1 (ko) * 2009-04-10 2015-10-16 삼성전자주식회사 돌출형 소자 분리막을 가지는 반도체 소자
KR101159900B1 (ko) * 2009-04-22 2012-06-25 에스케이하이닉스 주식회사 반도체 소자 및 그 제조방법
US9330934B2 (en) 2009-05-18 2016-05-03 Micron Technology, Inc. Methods of forming patterns on substrates
US8039340B2 (en) 2010-03-09 2011-10-18 Micron Technology, Inc. Methods of forming an array of memory cells, methods of forming a plurality of field effect transistors, methods of forming source/drain regions and isolation trenches, and methods of forming a series of spaced trenches into a substrate
EP2477216A1 (en) 2011-01-13 2012-07-18 Soitec Hybrid bulk/SOI device with a buried doped layer and manufacturing method thereof
US8575032B2 (en) 2011-05-05 2013-11-05 Micron Technology, Inc. Methods of forming a pattern on a substrate
US9401363B2 (en) 2011-08-23 2016-07-26 Micron Technology, Inc. Vertical transistor devices, memory arrays, and methods of forming vertical transistor devices
US9385132B2 (en) * 2011-08-25 2016-07-05 Micron Technology, Inc. Arrays of recessed access devices, methods of forming recessed access gate constructions, and methods of forming isolation gate constructions in the fabrication of recessed access devices
US9177794B2 (en) 2012-01-13 2015-11-03 Micron Technology, Inc. Methods of patterning substrates
US8648407B2 (en) * 2012-01-14 2014-02-11 Nanya Technology Corporation Semiconductor device and method for fabricating thereof
US8703550B2 (en) * 2012-06-18 2014-04-22 International Business Machines Corporation Dual shallow trench isolation liner for preventing electrical shorts
US8629048B1 (en) 2012-07-06 2014-01-14 Micron Technology, Inc. Methods of forming a pattern on a substrate
US9768055B2 (en) * 2012-08-21 2017-09-19 Stmicroelectronics, Inc. Isolation regions for SOI devices
US9005463B2 (en) 2013-05-29 2015-04-14 Micron Technology, Inc. Methods of forming a substrate opening
WO2015178233A1 (ja) 2014-05-22 2015-11-26 Jx日鉱日石エネルギー株式会社 冷凍機油及び冷凍機用作動流体組成物
US10096696B2 (en) * 2014-06-03 2018-10-09 Micron Technology, Inc. Field effect transistors having a fin
TWI704647B (zh) * 2015-10-22 2020-09-11 聯華電子股份有限公司 積體電路及其製程
US10199461B2 (en) * 2015-10-27 2019-02-05 Texas Instruments Incorporated Isolation of circuit elements using front side deep trench etch
US10128251B2 (en) * 2016-09-09 2018-11-13 United Microelectronics Corp. Semiconductor integrated circuit structure and method for forming the same
US10347635B2 (en) 2017-06-30 2019-07-09 Micron Technology, Inc. Apparatuses comprising memory cells, and apparatuses comprising memory arrays
US10431695B2 (en) 2017-12-20 2019-10-01 Micron Technology, Inc. Transistors comprising at lease one of GaP, GaN, and GaAs
US10825816B2 (en) * 2017-12-28 2020-11-03 Micron Technology, Inc. Recessed access devices and DRAM constructions
US10319586B1 (en) 2018-01-02 2019-06-11 Micron Technology, Inc. Methods comprising an atomic layer deposition sequence
US10734527B2 (en) 2018-02-06 2020-08-04 Micron Technology, Inc. Transistors comprising a pair of source/drain regions having a channel there-between
US10818665B2 (en) 2018-08-24 2020-10-27 Micron Technology, Inc. Array of recessed access devices and an array of memory cells individually comprising a capacitor and a transistor
US11848309B2 (en) 2021-06-10 2023-12-19 Micron Technology, Inc. Microelectronic devices, related electronic systems, and methods of forming microelectronic devices
US11810901B2 (en) 2021-06-10 2023-11-07 Micron Technology, Inc. Microelectronic devices, related memory devices and electronic systems, and methods of forming microelectronic devices
US11785764B2 (en) 2021-06-30 2023-10-10 Micron Technology, Inc. Methods of forming microelectronic devices
US11776925B2 (en) 2021-06-30 2023-10-03 Micron Technology, Inc. Methods of forming microelectronic devices, and related microelectronic devices and electronic systems
US11842990B2 (en) 2021-06-30 2023-12-12 Micron Technology, Inc. Microelectronic devices and electronic systems
US11930634B2 (en) 2021-06-30 2024-03-12 Micron Technology, Inc. Methods of forming microelectronic devices
US11837594B2 (en) 2021-06-30 2023-12-05 Micron Technology, Inc. Microelectronic devices and electronic systems
US11810838B2 (en) 2021-06-30 2023-11-07 Micron Technology, Inc. Microelectronic devices, and related electronic systems and methods of forming microelectronic devices
US11751383B2 (en) 2021-08-31 2023-09-05 Micron Technology, Inc. Methods of forming microelectronic devices, and related microelectronic devices and electronic systems
US11791273B2 (en) 2021-10-13 2023-10-17 Micron Technology, Inc. Microelectronic devices including contact structures, and related memory devices, electronic systems, and methods
US11916032B2 (en) 2021-12-27 2024-02-27 Micron Technology, Inc. Microelectronic devices, related electronic systems, and methods of forming microelectronic devices

Family Cites Families (193)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS5681974A (en) 1979-12-07 1981-07-04 Toshiba Corp Manufacture of mos type semiconductor device
KR920010461B1 (ko) 1983-09-28 1992-11-28 가부시끼가이샤 히다찌세이사꾸쇼 반도체 메모리와 그 제조 방법
US4835741A (en) 1986-06-02 1989-05-30 Texas Instruments Incorporated Frasable electrically programmable read only memory cell using a three dimensional trench floating gate
US5160491A (en) 1986-10-21 1992-11-03 Texas Instruments Incorporated Method of making a vertical MOS transistor
JPS63183691A (ja) 1987-01-26 1988-07-29 Mitsubishi Electric Corp 半導体記憶装置
US4979004A (en) 1988-01-29 1990-12-18 Texas Instruments Incorporated Floating gate memory cell and device
US4931409A (en) 1988-01-30 1990-06-05 Kabushiki Kaisha Toshiba Method of manufacturing semiconductor device having trench isolation
US5014110A (en) 1988-06-03 1991-05-07 Mitsubishi Denki Kabushiki Kaisha Wiring structures for semiconductor memory device
US5108938A (en) 1989-03-21 1992-04-28 Grumman Aerospace Corporation Method of making a trench gate complimentary metal oxide semiconductor transistor
US5021355A (en) * 1989-05-22 1991-06-04 International Business Machines Corporation Method of fabricating cross-point lightly-doped drain-source trench transistor
US5107459A (en) 1990-04-20 1992-04-21 International Business Machines Corporation Stacked bit-line architecture for high density cross-point memory cell array
JPH0834302B2 (ja) 1990-04-21 1996-03-29 株式会社東芝 半導体記憶装置
US5013680A (en) * 1990-07-18 1991-05-07 Micron Technology, Inc. Process for fabricating a DRAM array having feature widths that transcend the resolution limit of available photolithography
US5047117A (en) 1990-09-26 1991-09-10 Micron Technology, Inc. Method of forming a narrow self-aligned, annular opening in a masking layer
US5122848A (en) * 1991-04-08 1992-06-16 Micron Technology, Inc. Insulated-gate vertical field-effect transistor with high current drive and minimum overlap capacitance
KR940006679B1 (ko) 1991-09-26 1994-07-25 현대전자산업 주식회사 수직형 트랜지스터를 갖는 dram셀 및 그 제조방법
US5254218A (en) 1992-04-22 1993-10-19 Micron Technology, Inc. Masking layer having narrow isolated spacings and the method for forming said masking layer and the method for forming narrow isolated trenches defined by said masking layer
US5573837A (en) 1992-04-22 1996-11-12 Micron Technology, Inc. Masking layer having narrow isolated spacings and the method for forming said masking layer and the method for forming narrow isolated trenches defined by said masking layer
JP2748072B2 (ja) 1992-07-03 1998-05-06 三菱電機株式会社 半導体装置およびその製造方法
US5281548A (en) 1992-07-28 1994-01-25 Micron Technology, Inc. Plug-based floating gate memory
JP2889061B2 (ja) 1992-09-25 1999-05-10 ローム株式会社 半導体記憶装置およびその製法
JP3311070B2 (ja) * 1993-03-15 2002-08-05 株式会社東芝 半導体装置
US5358879A (en) 1993-04-30 1994-10-25 Loral Federal Systems Company Method of making gate overlapped lightly doped drain for buried channel devices
JPH07106435A (ja) * 1993-10-08 1995-04-21 Hitachi Ltd 半導体記憶装置及びその製造方法
KR0141218B1 (ko) 1993-11-24 1998-07-15 윤종용 고집적 반도체장치의 제조방법
US5514604A (en) * 1993-12-08 1996-05-07 General Electric Company Vertical channel silicon carbide metal-oxide-semiconductor field effect transistor with self-aligned gate for microwave and power applications, and method of making
KR100362751B1 (ko) 1994-01-19 2003-02-11 소니 가부시끼 가이샤 반도체소자의콘택트홀및그형성방법
JP2658870B2 (ja) * 1994-04-22 1997-09-30 日本電気株式会社 半導体記憶装置およびその製造方法
US5413949A (en) 1994-04-26 1995-05-09 United Microelectronics Corporation Method of making self-aligned MOSFET
US5446299A (en) 1994-04-29 1995-08-29 International Business Machines Corporation Semiconductor random access memory cell on silicon-on-insulator with dual control gates
US5841611A (en) 1994-05-02 1998-11-24 Matsushita Electric Industrial Co., Ltd. Magnetoresistance effect device and magnetoresistance effect type head, memory device, and amplifying device using the same
KR0151195B1 (ko) 1994-09-13 1998-10-01 문정환 박막 트랜지스터의 구조 및 제조방법
US5753947A (en) 1995-01-20 1998-05-19 Micron Technology, Inc. Very high-density DRAM cell structure and method for fabricating it
US5574621A (en) * 1995-03-27 1996-11-12 Motorola, Inc. Integrated circuit capacitor having a conductive trench
DE19519160C1 (de) 1995-05-24 1996-09-12 Siemens Ag DRAM-Zellenanordnung und Verfahren zu deren Herstellung
DE19524092C2 (de) 1995-07-01 1997-08-07 Hewlett Packard Gmbh Verfahren und Vorrichtung zum Komprimieren und Anzeigen digitaler Daten, insbesondere der Herzfrequenz von Kardiotokographen
US5854501A (en) 1995-11-20 1998-12-29 Micron Technology, Inc. Floating gate semiconductor device having a portion formed with a recess
US5892319A (en) * 1996-01-04 1999-04-06 Rossi; Paul Top and side firing spark plug
US6420786B1 (en) 1996-02-02 2002-07-16 Micron Technology, Inc. Conductive spacer in a via
US5792687A (en) 1996-08-01 1998-08-11 Vanguard International Semiconductor Corporation Method for fabricating high density integrated circuits using oxide and polysilicon spacers
TW304290B (en) 1996-08-16 1997-05-01 United Microelectronics Corp The manufacturing method for semiconductor memory device with capacitor
US5739066A (en) 1996-09-17 1998-04-14 Micron Technology, Inc. Semiconductor processing methods of forming a conductive gate and line
US5714786A (en) 1996-10-31 1998-02-03 Micron Technology, Inc. Transistors having controlled conductive spacers, uses of such transistors and methods of making such transistors
US5714412A (en) 1996-12-02 1998-02-03 Taiwan Semiconductor Manufacturing Company, Ltd Multi-level, split-gate, flash memory cell and method of manufacture thereof
JP4053647B2 (ja) 1997-02-27 2008-02-27 株式会社東芝 半導体記憶装置及びその製造方法
US5792690A (en) 1997-05-15 1998-08-11 Vanguard International Semiconductor Corporation Method of fabricating a DRAM cell with an area equal to four times the used minimum feature
US6337497B1 (en) 1997-05-16 2002-01-08 International Business Machines Corporation Common source transistor capacitor stack
US6150687A (en) * 1997-07-08 2000-11-21 Micron Technology, Inc. Memory cell having a vertical transistor with buried source/drain and dual gates
US6191470B1 (en) * 1997-07-08 2001-02-20 Micron Technology, Inc. Semiconductor-on-insulator memory cell with buried word and body lines
US5909618A (en) * 1997-07-08 1999-06-01 Micron Technology, Inc. Method of making memory cell with vertical transistor and buried word and body lines
US6072209A (en) * 1997-07-08 2000-06-06 Micro Technology, Inc. Four F2 folded bit line DRAM cell structure having buried bit and word lines
US5869359A (en) * 1997-08-20 1999-02-09 Prabhakar; Venkatraman Process for forming silicon on insulator devices having elevated source and drain regions
US6380026B2 (en) 1997-08-22 2002-04-30 Micron Technology, Inc. Processing methods of forming integrated circuitry memory devices, methods of forming DRAM arrays, and related semiconductor masks
JP3502531B2 (ja) 1997-08-28 2004-03-02 株式会社ルネサステクノロジ 半導体装置の製造方法
US5998835A (en) * 1998-02-17 1999-12-07 International Business Machines Corporation High performance MOSFET device with raised source and drain
US5963469A (en) * 1998-02-24 1999-10-05 Micron Technology, Inc. Vertical bipolar read access for low voltage memory cell
US6097065A (en) 1998-03-30 2000-08-01 Micron Technology, Inc. Circuits and methods for dual-gated transistors
US6259142B1 (en) 1998-04-07 2001-07-10 Advanced Micro Devices, Inc. Multiple split gate semiconductor device and fabrication method
US6696746B1 (en) * 1998-04-29 2004-02-24 Micron Technology, Inc. Buried conductors
US5972754A (en) 1998-06-10 1999-10-26 Mosel Vitelic, Inc. Method for fabricating MOSFET having increased effective gate length
KR100304717B1 (ko) * 1998-08-18 2001-11-15 김덕중 트렌치형게이트를갖는반도체장치및그제조방법
US6362506B1 (en) 1998-08-26 2002-03-26 Texas Instruments Incorporated Minimization-feasible word line structure for DRAM cell
US6225669B1 (en) 1998-09-30 2001-05-01 Advanced Micro Devices, Inc. Non-uniform gate/dielectric field effect transistor
DE19845003C1 (de) 1998-09-30 2000-02-10 Siemens Ag Vertikaler Feldeffekttransistor mit innenliegendem ringförmigen Gate und Herstellverfahren
US6114205A (en) 1998-10-30 2000-09-05 Sony Corporation Epitaxial channel vertical MOS transistor
EP1003219B1 (en) 1998-11-19 2011-12-28 Qimonda AG DRAM with stacked capacitor and buried word line
US5977579A (en) * 1998-12-03 1999-11-02 Micron Technology, Inc. Trench dram cell with vertical device and buried word lines
JP3973819B2 (ja) 1999-03-08 2007-09-12 株式会社東芝 半導体記憶装置およびその製造方法
US6180494B1 (en) * 1999-03-11 2001-01-30 Micron Technology, Inc. Integrated circuitry, methods of fabricating integrated circuitry, methods of forming local interconnects, and methods of forming conductive lines
KR100282452B1 (ko) 1999-03-18 2001-02-15 김영환 반도체 소자 및 그의 제조 방법
US6297106B1 (en) 1999-05-07 2001-10-02 Chartered Semiconductor Manufacturing Ltd. Transistors with low overlap capacitance
DE19928781C1 (de) 1999-06-23 2000-07-06 Siemens Ag DRAM-Zellenanordnung und Verfahren zu deren Herstellung
US6392271B1 (en) 1999-06-28 2002-05-21 Intel Corporation Structure and process flow for fabrication of dual gate floating body integrated MOS transistors
US6187643B1 (en) 1999-06-29 2001-02-13 Varian Semiconductor Equipment Associates, Inc. Simplified semiconductor device manufacturing using low energy high tilt angle and high energy post-gate ion implantation (PoGI)
US6114735A (en) 1999-07-02 2000-09-05 Micron Technology, Inc. Field effect transistors and method of forming field effect transistors
US6630712B2 (en) 1999-08-11 2003-10-07 Advanced Micro Devices, Inc. Transistor with dynamic source/drain extensions
US6033963A (en) 1999-08-30 2000-03-07 Taiwan Semiconductor Manufacturing Company Method of forming a metal gate for CMOS devices using a replacement gate process
DE19943760C1 (de) 1999-09-13 2001-02-01 Infineon Technologies Ag DRAM-Zellenanordnung und Verfahren zu deren Herstellung
JP3450758B2 (ja) 1999-09-29 2003-09-29 株式会社東芝 電界効果トランジスタの製造方法
US6255165B1 (en) 1999-10-18 2001-07-03 Advanced Micro Devices, Inc. Nitride plug to reduce gate edge lifting
US6383879B1 (en) 1999-12-03 2002-05-07 Agere Systems Guardian Corp. Semiconductor device having a metal gate with a work function compatible with a semiconductor device
US6323506B1 (en) 1999-12-21 2001-11-27 Philips Electronics North America Corporation Self-aligned silicon carbide LMOSFET
JP4860022B2 (ja) 2000-01-25 2012-01-25 エルピーダメモリ株式会社 半導体集積回路装置の製造方法
JP4363736B2 (ja) 2000-03-01 2009-11-11 新電元工業株式会社 トランジスタ及びその製造方法
DE10038728A1 (de) 2000-07-31 2002-02-21 Infineon Technologies Ag Halbleiterspeicher-Zellenanordnung und Verfahren zu deren Herstellung
AU2001286895A1 (en) 2000-08-29 2002-03-13 Boise State University Damascene double gated transistors and related manufacturing methods
US6495474B1 (en) 2000-09-11 2002-12-17 Agere Systems Inc. Method of fabricating a dielectric layer
US6391720B1 (en) * 2000-09-27 2002-05-21 Chartered Semiconductor Manufacturing Ltd. Process flow for a performance enhanced MOSFET with self-aligned, recessed channel
US6340614B1 (en) 2000-10-03 2002-01-22 Vanguard International Semiconductor Corporation Method of forming a DRAM cell
US6552401B1 (en) 2000-11-27 2003-04-22 Micron Technology Use of gate electrode workfunction to improve DRAM refresh
US6348385B1 (en) 2000-11-30 2002-02-19 Chartered Semiconductor Manufacturing Ltd. Method for a short channel CMOS transistor with small overlay capacitance using in-situ doped spacers with a low dielectric constant
JP4635333B2 (ja) 2000-12-14 2011-02-23 ソニー株式会社 半導体装置の製造方法
US6864536B2 (en) 2000-12-20 2005-03-08 Winbond Electronics Corporation Electrostatic discharge protection circuit
US6300177B1 (en) 2001-01-25 2001-10-09 Chartered Semiconductor Manufacturing Inc. Method to form transistors with multiple threshold voltages (VT) using a combination of different work function gate materials
JP3944367B2 (ja) 2001-02-06 2007-07-11 松下電器産業株式会社 絶縁膜の形成方法及び半導体装置の製造方法
US6759707B2 (en) 2001-03-08 2004-07-06 Micron Technology, Inc. 2F2 memory device system
DE10111755C1 (de) 2001-03-12 2002-05-16 Infineon Technologies Ag Verfahren zur Herstellung einer Speicherzelle eines Halbleiterspeichers
CA2340985A1 (en) 2001-03-14 2002-09-14 Atmos Corporation Interleaved wordline architecture
US6734510B2 (en) 2001-03-15 2004-05-11 Micron Technology, Ing. Technique to mitigate short channel effects with vertical gate transistor with different gate materials
JP4895430B2 (ja) 2001-03-22 2012-03-14 ルネサスエレクトロニクス株式会社 半導体装置及び半導体装置の製造方法
JP2002314072A (ja) 2001-04-19 2002-10-25 Nec Corp 高誘電体薄膜を備えた半導体装置及びその製造方法並びに誘電体膜の成膜装置
TW544911B (en) 2001-04-26 2003-08-01 Toshiba Corp Semiconductor device
US6498062B2 (en) * 2001-04-27 2002-12-24 Micron Technology, Inc. DRAM access transistor
US6509612B2 (en) 2001-05-04 2003-01-21 International Business Machines Corporation High dielectric constant materials as gate dielectrics (insulators)
DE10125967C1 (de) 2001-05-29 2002-07-11 Infineon Technologies Ag DRAM-Zellanordnung mit vertikalen MOS-Transistoren und Verfahren zu deren Herstellung
JP2002353445A (ja) 2001-05-30 2002-12-06 Sony Corp 溝ゲート型電界効果トランジスタの製造方法
US6888198B1 (en) 2001-06-04 2005-05-03 Advanced Micro Devices, Inc. Straddled gate FDSOI device
TWI230392B (en) 2001-06-18 2005-04-01 Innovative Silicon Sa Semiconductor device
JP4246929B2 (ja) 2001-06-29 2009-04-02 株式会社東芝 半導体記憶装置およびその製造方法
JP2003023150A (ja) 2001-07-10 2003-01-24 Sony Corp トレンチゲート型半導体装置及びその作製方法
KR100398955B1 (ko) 2001-08-02 2003-09-19 삼성전자주식회사 이이피롬 메모리 셀 및 형성 방법
DE10139827A1 (de) 2001-08-14 2003-03-13 Infineon Technologies Ag Speicherzelle mit Grabenkondensator und vertikalem Auswahltransistor und einem zwischen diesen geformten ringförmigen Kontaktierungsbereich
US6800899B2 (en) 2001-08-30 2004-10-05 Micron Technology, Inc. Vertical transistors, electrical devices containing a vertical transistor, and computer systems containing a vertical transistor
KR100436287B1 (ko) 2001-11-17 2004-06-16 주식회사 하이닉스반도체 반도체 소자의 트랜지스터 및 그 제조 방법
US6630720B1 (en) 2001-12-26 2003-10-07 Advanced Micro Devices, Inc. Asymmetric semiconductor device having dual work function gate and method of fabrication
US6563183B1 (en) 2001-12-31 2003-05-13 Advanced Micro Devices, Inc. Gate array with multiple dielectric properties and method for forming same
US6858500B2 (en) 2002-01-16 2005-02-22 Fuji Electric Co., Ltd. Semiconductor device and its manufacturing method
DE10208249B4 (de) 2002-02-26 2006-09-14 Infineon Technologies Ag Halbleiterspeicher mit vertikalem Auswahltransistor
US6661042B2 (en) 2002-03-11 2003-12-09 Monolithic System Technology, Inc. One-transistor floating-body DRAM cell in bulk CMOS process with electrically isolated charge storage region
US6586808B1 (en) 2002-06-06 2003-07-01 Advanced Micro Devices, Inc. Semiconductor device having multi-work function gate electrode and multi-segment gate dielectric
US6756625B2 (en) 2002-06-21 2004-06-29 Micron Technology, Inc. Memory cell and method for forming the same
US7071043B2 (en) 2002-08-15 2006-07-04 Micron Technology, Inc. Methods of forming a field effect transistor having source/drain material over insulative material
US20040034587A1 (en) 2002-08-19 2004-02-19 Amberson Matthew Gilbert System and method for calculating intra-period volatility
US6818947B2 (en) 2002-09-19 2004-11-16 Fairchild Semiconductor Corporation Buried gate-field termination structure
US6753228B2 (en) 2002-10-15 2004-06-22 Semiconductor Components Industries, L.L.C. Method of forming a low resistance semiconductor device and structure therefor
US7030436B2 (en) 2002-12-04 2006-04-18 Micron Technology, Inc. Embedded DRAM gain memory cell having MOS transistor body provided with a bi-polar transistor charge injecting means
KR100521369B1 (ko) 2002-12-18 2005-10-12 삼성전자주식회사 고속도 및 저전력 소모 반도체 소자 및 그 제조 방법
TW574746B (en) 2002-12-19 2004-02-01 Taiwan Semiconductor Mfg Method for manufacturing MOSFET with recessed channel
KR20040061967A (ko) * 2002-12-31 2004-07-07 동부전자 주식회사 반도체 소자의 제조방법
JP2004281736A (ja) 2003-03-17 2004-10-07 Nec Electronics Corp 半導体記憶装置
KR100480645B1 (ko) 2003-04-01 2005-03-31 삼성전자주식회사 역자기 정합 방식을 이용한 트윈―ono 형태의sonos 메모리 소자 제조 방법
FR2853319B1 (fr) * 2003-04-03 2005-05-06 Rhodia Chimie Sa Composition reticulable pour electrolyte de batterie
US6967143B2 (en) 2003-04-30 2005-11-22 Freescale Semiconductor, Inc. Semiconductor fabrication process with asymmetrical conductive spacers
TW587338B (en) 2003-05-06 2004-05-11 Mosel Vitelic Inc Stop structure of trench type DMOS device and its formation method
JP2004335031A (ja) 2003-05-09 2004-11-25 Toshiba Corp 半導体記憶装置
JP3913709B2 (ja) 2003-05-09 2007-05-09 株式会社東芝 半導体記憶装置
US6818515B1 (en) 2003-06-23 2004-11-16 Promos Technologies Inc. Method for fabricating semiconductor device with loop line pattern structure
KR100521381B1 (ko) 2003-06-25 2005-10-12 삼성전자주식회사 모오스 전계 효과 트랜지스터의 제조 방법
KR100511045B1 (ko) * 2003-07-14 2005-08-30 삼성전자주식회사 리세스된 게이트 전극을 갖는 반도체 소자의 집적방법
US7335934B2 (en) 2003-07-22 2008-02-26 Innovative Silicon S.A. Integrated circuit device, and method of fabricating same
US7326619B2 (en) 2003-08-20 2008-02-05 Samsung Electronics Co., Ltd. Method of manufacturing integrated circuit device including recessed channel transistor
KR100546378B1 (ko) * 2003-09-09 2006-01-26 삼성전자주식회사 리세스 채널을 가지는 트랜지스터 제조 방법
US6844591B1 (en) 2003-09-17 2005-01-18 Micron Technology, Inc. Method of forming DRAM access transistors
US7184298B2 (en) 2003-09-24 2007-02-27 Innovative Silicon S.A. Low power programming technique for a floating body memory transistor, memory cell, and memory array
US7468311B2 (en) 2003-09-30 2008-12-23 Tokyo Electron Limited Deposition of silicon-containing films from hexachlorodisilane
US20050104156A1 (en) 2003-11-13 2005-05-19 Texas Instruments Incorporated Forming a semiconductor structure in manufacturing a semiconductor device using one or more epitaxial growth processes
KR100521383B1 (ko) 2003-11-17 2005-10-12 삼성전자주식회사 소자분리막 상에 형성된 소오스/드레인을 갖는 반도체소자 및 그 제조방법
KR20050066879A (ko) * 2003-12-27 2005-06-30 동부아남반도체 주식회사 트랜치 아이솔레이션을 갖는 플래시 메모리 소자의 제조방법
JP4342970B2 (ja) 2004-02-02 2009-10-14 株式会社東芝 半導体メモリ装置及びその製造方法
KR100540371B1 (ko) 2004-03-02 2006-01-11 이태복 고 내압용 반도체 소자 및 그 제조방법
US7262089B2 (en) * 2004-03-11 2007-08-28 Micron Technology, Inc. Methods of forming semiconductor structures
US7042009B2 (en) 2004-06-30 2006-05-09 Intel Corporation High mobility tri-gate devices and methods of fabrication
US7122425B2 (en) * 2004-08-24 2006-10-17 Micron Technology, Inc. Methods of forming semiconductor constructions
US7151040B2 (en) 2004-08-31 2006-12-19 Micron Technology, Inc. Methods for increasing photo alignment margins
US7547945B2 (en) 2004-09-01 2009-06-16 Micron Technology, Inc. Transistor devices, transistor structures and semiconductor constructions
US7442976B2 (en) 2004-09-01 2008-10-28 Micron Technology, Inc. DRAM cells with vertical transistors
US7115525B2 (en) 2004-09-02 2006-10-03 Micron Technology, Inc. Method for integrated circuit fabrication using pitch multiplication
US7285812B2 (en) * 2004-09-02 2007-10-23 Micron Technology, Inc. Vertical transistors
US7655387B2 (en) 2004-09-02 2010-02-02 Micron Technology, Inc. Method to align mask patterns
JP4083160B2 (ja) 2004-10-04 2008-04-30 株式会社東芝 半導体記憶装置およびfbcメモリセルの駆動方法
US20060167741A1 (en) 2005-01-25 2006-07-27 Cisco Technology, Inc. System and method for designing a supply chain
JP2006237455A (ja) 2005-02-28 2006-09-07 Toshiba Corp 半導体装置とその製造方法
US7244659B2 (en) * 2005-03-10 2007-07-17 Micron Technology, Inc. Integrated circuits and methods of forming a field effect transistor
US7390746B2 (en) 2005-03-15 2008-06-24 Micron Technology, Inc. Multiple deposition for integration of spacers in pitch multiplication process
US7253118B2 (en) 2005-03-15 2007-08-07 Micron Technology, Inc. Pitch reduced patterns relative to photolithography features
US7384849B2 (en) 2005-03-25 2008-06-10 Micron Technology, Inc. Methods of forming recessed access devices associated with semiconductor constructions
US7611944B2 (en) 2005-03-28 2009-11-03 Micron Technology, Inc. Integrated circuit fabrication
US7214621B2 (en) * 2005-05-18 2007-05-08 Micron Technology, Inc. Methods of forming devices associated with semiconductor constructions
US7429536B2 (en) 2005-05-23 2008-09-30 Micron Technology, Inc. Methods for forming arrays of small, closely spaced features
US7560390B2 (en) 2005-06-02 2009-07-14 Micron Technology, Inc. Multiple spacer steps for pitch multiplication
US7396781B2 (en) 2005-06-09 2008-07-08 Micron Technology, Inc. Method and apparatus for adjusting feature size and position
US7517741B2 (en) 2005-06-30 2009-04-14 Freescale Semiconductor, Inc. Single transistor memory cell with reduced recombination rates
US7282401B2 (en) * 2005-07-08 2007-10-16 Micron Technology, Inc. Method and apparatus for a self-aligned recessed access device (RAD) transistor gate
US7413981B2 (en) 2005-07-29 2008-08-19 Micron Technology, Inc. Pitch doubled circuit layout
US7867851B2 (en) 2005-08-30 2011-01-11 Micron Technology, Inc. Methods of forming field effect transistors on substrates
US7696567B2 (en) 2005-08-31 2010-04-13 Micron Technology, Inc Semiconductor memory device
US7393789B2 (en) 2005-09-01 2008-07-01 Micron Technology, Inc. Protective coating for planarization
US7687342B2 (en) 2005-09-01 2010-03-30 Micron Technology, Inc. Method of manufacturing a memory device
US7867845B2 (en) 2005-09-01 2011-01-11 Micron Technology, Inc. Transistor gate forming methods and transistor structures
JP4773182B2 (ja) 2005-10-28 2011-09-14 エルピーダメモリ株式会社 半導体装置の製造方法
TWI293207B (en) 2006-01-11 2008-02-01 Promos Technologies Inc Dynamic random access memory structure and method for preparing the smae
US7700441B2 (en) 2006-02-02 2010-04-20 Micron Technology, Inc. Methods of forming field effect transistors, methods of forming field effect transistor gates, methods of forming integrated circuitry comprising a transistor gate array and circuitry peripheral to the gate array, and methods of forming integrated circuitry comprising a transistor gate array including first gates and second grounded isolation gates
US7349232B2 (en) * 2006-03-15 2008-03-25 Micron Technology, Inc. 6F2 DRAM cell design with 3F-pitch folded digitline sense amplifier
US7902074B2 (en) 2006-04-07 2011-03-08 Micron Technology, Inc. Simplified pitch doubling process flow
US8003310B2 (en) 2006-04-24 2011-08-23 Micron Technology, Inc. Masking techniques and templates for dense semiconductor fabrication
US7488685B2 (en) 2006-04-25 2009-02-10 Micron Technology, Inc. Process for improving critical dimension uniformity of integrated circuit arrays
US7602001B2 (en) 2006-07-17 2009-10-13 Micron Technology, Inc. Capacitorless one transistor DRAM cell, integrated circuitry comprising an array of capacitorless one transistor DRAM cells, and method of forming lines of capacitorless one transistor DRAM cells
US7772632B2 (en) 2006-08-21 2010-08-10 Micron Technology, Inc. Memory arrays and methods of fabricating memory arrays
US7589995B2 (en) 2006-09-07 2009-09-15 Micron Technology, Inc. One-transistor memory cell with bias gate
JP5070810B2 (ja) * 2006-11-14 2012-11-14 横河電機株式会社 フィルタホイール
US7923373B2 (en) 2007-06-04 2011-04-12 Micron Technology, Inc. Pitch multiplication using self-assembling materials
US8563229B2 (en) * 2007-07-31 2013-10-22 Micron Technology, Inc. Process of semiconductor fabrication with mask overlay on pitch multiplied features and associated structures
JP2009058688A (ja) * 2007-08-30 2009-03-19 Seiko Epson Corp 液体現像剤および画像形成装置

Also Published As

Publication number Publication date
EP1880421A1 (en) 2008-01-23
CN101147257A (zh) 2008-03-19
KR100895568B1 (ko) 2009-04-29
US8067286B2 (en) 2011-11-29
TW200644169A (en) 2006-12-16
EP2001054B1 (en) 2011-11-09
US20110117725A1 (en) 2011-05-19
WO2006104654A1 (en) 2006-10-05
KR20070105376A (ko) 2007-10-30
ATE533183T1 (de) 2011-11-15
US7897460B2 (en) 2011-03-01
US7384849B2 (en) 2008-06-10
EP2001054A3 (en) 2008-12-17
EP1880421B1 (en) 2014-07-16
JP2008535217A (ja) 2008-08-28
US20060216894A1 (en) 2006-09-28
TWI314769B (en) 2009-09-11
JP4962874B2 (ja) 2012-06-27
EP2001054A2 (en) 2008-12-10
US20080166856A1 (en) 2008-07-10

Similar Documents

Publication Publication Date Title
CN100536142C (zh) 形成凹进式通路装置的方法
KR0167811B1 (ko) 다이나믹 랜덤 액세스 메모리 셀 제조방법
US7358142B2 (en) Method for forming a FinFET by a damascene process
TWI412086B (zh) 用於絕緣層上覆半導體溝渠裝置之自我對準體接點及其製造方法
US5717628A (en) Nitride cap formation in a DRAM trench capacitor
CN108257919B (zh) 随机动态处理存储器元件的形成方法
KR100983693B1 (ko) 고집적 반도체 장치 내 수직형 트랜지스터의 제조 방법
CN102339830A (zh) 半导体器件及其制造方法
US8623727B2 (en) Method for fabricating semiconductor device with buried gate
JP2000031268A (ja) 狭いチャンネル効果を最小化するトランジスタ―及び浅いトレンチ隔離に埋設される電界透過遮断膜を有するトランジスタ―形成方法
US20020155654A1 (en) Vertical gate top engineering for improved GC and CB process windows
KR0151012B1 (ko) 매몰 비트라인 디램 셀 및 제조방법
US20060049526A1 (en) Processing methods of forming an electrically conductive plug to a node location
KR20020095477A (ko) 수직 트랜지스터
KR20140019705A (ko) 반도체 소자 및 그 제조 방법
US11812606B2 (en) Semiconductor device having gate trench
US6620698B1 (en) Method of manufacturing a flash memory
KR20020053538A (ko) 반도체 소자의 트랜지스터 제조 방법
US7804125B2 (en) System and method for reducing process-induced charging
US6716715B2 (en) Dram bit lines
KR20230066194A (ko) 반도체 메모리 소자 및 이의 제조 방법
KR102293245B1 (ko) 반도체 소자 및 그 제조 방법
KR20130022957A (ko) 반도체 장치의 비트라인 및 그의 제조 방법
KR101060696B1 (ko) 반도체 장치의 제조 방법 및 반도체 장치
CN114068552A (zh) 半导体器件以及制造该半导体器件的方法

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant