CN101091241B - 衬底处理装置 - Google Patents

衬底处理装置 Download PDF

Info

Publication number
CN101091241B
CN101091241B CN2005800417381A CN200580041738A CN101091241B CN 101091241 B CN101091241 B CN 101091241B CN 2005800417381 A CN2005800417381 A CN 2005800417381A CN 200580041738 A CN200580041738 A CN 200580041738A CN 101091241 B CN101091241 B CN 101091241B
Authority
CN
China
Prior art keywords
chamber
module
dolly
substrate
conveying device
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN2005800417381A
Other languages
English (en)
Other versions
CN101091241A (zh
Inventor
C·霍夫梅斯特
R·T·卡维尼
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Borucos automation USA Co.,Ltd.
Original Assignee
Brooks Automation Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Brooks Automation Inc filed Critical Brooks Automation Inc
Publication of CN101091241A publication Critical patent/CN101091241A/zh
Application granted granted Critical
Publication of CN101091241B publication Critical patent/CN101091241B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67167Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers surrounding a central transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67173Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers in-line arrangement
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67184Apparatus for manufacturing or treating in a plurality of work-stations characterized by the presence of more than one transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67703Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations between different workstations
    • H01L21/67709Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations between different workstations using magnetic elements
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67703Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations between different workstations
    • H01L21/67724Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations between different workstations by means of a cart or a vehicule
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67703Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations between different workstations
    • H01L21/67727Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations between different workstations using a general scheme of a conveying path within a factory
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67742Mechanical parts of transfer devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • H01L21/67766Mechanical parts of transfer devices
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S414/00Material or article handling
    • Y10S414/135Associated with semiconductor wafer handling
    • Y10S414/139Associated with semiconductor wafer handling including wafer charging or discharging means for vacuum chamber

Abstract

衬底处理装置具有腔室、大致线性的处理模块阵列、衬底输送装置和驱动系统。所述腔室能够与外部气氛隔离开。各个处理模块阵列可连通地连接在腔室上,以容许在腔室和处理模块之间传递衬底。衬底输送装置定位在腔室中,并可移动地受到腔室的支撑。输送装置能够沿着由腔室限定的线性路径移动,用于在处理模块之间输送衬底。驱动系统连接在所述腔室上,用于驱动输送装置,并使其沿着所述线性路径移动。腔室包括可选数量的腔室模块,其顺序地对接在一起,以便限定腔室。各模块具有驱动系统的组成部分。

Description

衬底处理装置
相关申请的交叉引用
本发明是2003年7月22日提交的申请10/624,987的部分继续申请,其要求享有于2002年7月22日提交的美国临时申请No.60/397,895的权益,该美国临时申请通过引用而完整地结合在本文中。
背景信息
1.技术领域
本文描述的实施例和方法涉及衬底处理装置,更具体地说,涉及具有根据笛卡儿坐标来布置的腔室的衬底处理装置。
2.早前研发状况的简述
影响新型电子器件的消费需求的因素之一自然是装置的价格。反过来说,如果能降低新装置的成本即其价格,那么这对新电子器件的消费需求有利。电子器件制造成本的很大一部分是制作电子电路的成本,首先是用于制造和处理如在制造电子元件中所使用的半导体衬底,或制作显示器使用的面板所需的成本。衬底处理的成本部分地取决于处理装置的成本,安装此处理装置的设备的成本,同时在很大程度上取决于该处理装置的生产率(其对单价有很大的影响)。我们很快就能意识到,处理装置本身的大小将影响到所有上述各因素。不过,传统的处理装置在减小尺寸方面看来已经走到了尽头。而且传统处理装置在增加单台生产率方面看来已达到极限。例如,传统处理装置可能采用一种径向处理模块布局。图1显示了一种传统衬底处理装置的平面示意图。从图中可以看出,图1的装置的处理模块是围绕处理装置的输送腔室按径向布置。这个输送装置是一种传统的两个或三条运动轴线(如Z,θ,T轴线)装置,其中心处于输送腔室内,以在处理模块之间输送衬底。从图1中可知,传统处理装置的生产率受限于输送装置的运送速率。换句话说,传统装置的生产率不可能只靠增加装置的处理模块而提高,因为一旦输送装置的运送速率达到最高,它就成为对生产率的控制因素。本发明的装置克服了现有技术的这类问题,这将在下面进一步阐述。
实施例和方法概述
根据第一个实施例,本发明提供了一种衬底处理装置。该装置包括输送腔室、至少一个用于保持衬底的衬底保持模块、运输车(transport Vehicle),以及另一模块。输送腔室内的气氛可以控制。所述至少一个衬底操作模块与输送腔室连通,以便在至少一个衬底保持模块和输送腔室之间输送衬底.运输车可活动地安装在输送腔室内。该运输车具有底座和可活动地连接且活动地安装在底座上的衬底输送臂。另一模块能保持衬底并与输送腔室连通或相连,以便在该模块与输送腔室之间输送衬底。输送腔室为运输车限定了直线运动槽口。所述至少一个保持模块处在该槽口的一侧,且该臂具有一个活动接头将衬底推到槽口的另一侧。这容许另一模块选择性地与槽口任一侧的输送腔室相连接。运输车可以让衬底在输送腔室与保持模块和另一模块之间进行传送。
根据另一个实施例,本发明提供了一种衬底处理装置。该装置包括一个线性输送腔室,至少一个用于衬底处理的处理模块、另一模块和运输车。线性输送腔室内的气氛可以受控制。输送腔室具有一些衬底输送开口。处理模块与输送腔室的一侧连通,以通过输送开口在至少一个处理模块和输送腔室之间输送衬底。另一模块能够将衬底保持在其中。另一模块选择性地连接在与所述至少一个处理模块相同的输送腔室一侧或相反的输送腔室一侧。运输车可活动地安装在输送腔室内,以便在输送腔室内作直线运动。该运输车有底座和活动地安装在底座上的衬底输送连接臂。输送臂具有一个工作极限(reach),使得运输车能够在输送腔室和所述至少一个处理模块及另一模块之间输送衬底。对于给定的运输车衬底臂的工作极限,输送腔室具有最小输送腔室宽度或最小衬底输送开口宽度中的至少其中一个。
根据另一实施例,本发明提供了一种半导体工件处理装置。该装置包括第一腔室、运输车和另一腔室。第一腔室能与外部气氛隔离。运输车处在第一腔室内,并且由第一腔室活动支撑着,以便相对于第一腔室作直线运动。运输车包括底座和活动安装在底座上,并能相对于底座作多路径运动的整体式半导体工件输送臂。另一腔室通过第一腔室的可关闭开口而与第一腔室连通。开口的尺寸设置成可让运输车通过此开口而在第一腔室和另一腔室之间移动。
根据还有的一个实施例,本发明提供了一种衬底处理装置。该装置包括输送腔室、至少一个用于保持衬底的衬底保持模块、第一运输车和第二运输车。输送腔室内的气氛可以控制。所述至少一个衬底保持模块与输送腔室连通,以便在所述至少一个衬底保持模块与输送腔室之间输送衬底。第一运输车活动地安装在输送腔室内。第一运输车有一个可移动的衬底输送臂,用来在输送腔室和所述至少一个衬底保持模块之间移动衬底。第二运输车活动地安装在输送腔室内.第二运输车有第二衬底输送臂,用来在输送腔室和该至少一个衬底保持模块之间移动衬底。输送腔室具有若干条用于第一和第二运输车在输送腔室内移动的直线移动路径。当第一运输车使用一个移动路径而第二运输车使用另一移动路径时,第一和第二运输车能彼此经过对方运动。
附图简介
在以下描述中将结合附图来解释本发明的前述方面和其它特征,其中:
图1是现有技术的衬底处理装置的平面示意图;
图2是根据第一个实施例的包含本发明特征的衬底处理装置的平面示意图;
图3是根据本发明另一个实施例的衬底处理装置的平面示意图;
图4-5分别是根据本发明备选实施例的衬底处理装置的平面示意图;
图6是根据本发明又一实施例的衬底处理装置的平面示意图;
图7是根据本发明另一实施例的具有两个衬底处理装置的衬底处理系统的平面示意图,图7A是根据本发明又一实施例的衬底处理系统的另一平面示意图;
图8是另一传统衬底处理装置的平面示意图;
图9是一种包含许多传统处理装置和一个储料器的传统衬底处理系统平面示意图;
图10是该衬底处理装置的台板(platen)驱动系统的端视图;
图11A-11B分别是衬底处理装置的另一种台板驱动系统的端视图和剖视图(沿图11A的11B-11B线剖开);
图12是根据该装置另一实施例的衬底处理装置的一种示例性小车(cart)的顶视图;
图12A是图12中的示例性小车在延伸位置的另一顶视图;
图12B是图12中的示例性小车在装置的输送腔室的一部分内的端视图;
图13A是根据装置的一个实施例的带驱动系统和运输车的该装置输送腔室的一部分的顶部端视图;
图13B-13C分别是沿着图13A的线13B-13B剖开的输送腔室和小车的剖视图,以及沿着图13B的线13C-13C剖开的另一剖视图;
图13D是该装置的一个示例性驱动系统的示意图;
图14A是供图2所示装置使用的小车的另一实施例的端视图;
图14B是显示驱动系统的轴向偏转Z和恢复力F关系的图表;
图15-16分别是根据另一实施例的装置的半导体工件运输小车的示意性透视图和分解正视图;
图17是根据另一实施例的运输小车的示意性透视图;
图18是图2中的输送装置一部分以及该装置的一种工件卡盘旋转装置的剖视图;
图19-20分别是工件卡盘旋转装置和该装置的运输小车处在不同位置时的正视图;
图21是根据另一实施例的卡盘旋转装置的另一示意性正视图;
图22-23分别是该装置的另一个运输小车实施例的示意性顶视图和示意性正视图;
图23A-23B分别是图22中的运输小车的其它顶视图,其中小车的输送臂处在两个不同位置;
图24是另一运输小车实施例的示意性正视图;
图24A-24C分别是图24所示运输小车的平面图,其中小车的输送臂连杆处在三种不同位置;
图25是运输小车的又一实施例的正视图;
图25A-25C分别是,图25所示运输小车的平面图,其中小车的输送臂连杆处在三种不同位置;
图26是该装置的控制器内的系统控制软件的示意图。
图27是根据本发明又一示例性实施例的衬底处理系统的平面示意图;
图28是图27所示系统的输送腔室的典型模块的剖视图;
图29是沿着图28的线29-29剖开的输送腔室模块的剖视图;和
图30是图27中的系统的衬底输送装置的底视图。
实施例的详细描述
参见图2,其显示了包括本发明特征的一种衬底处理装置10的平面示意图。虽然本发明将参照附图所示的实施例来描述,但应该懂得,本发明可以按其它许多备选的实施例的形式来实施。另外,还可使用任何具有合适尺寸、形状或类型的元件或材料。
衬底处理装置10与环境前端模块(EFEM)14相连,环境前端模块(EFEM)14具有许多如图2中所示的装载口12。装载口12能支撑许多衬底贮存罐,如普通FOUP罐,但也可提供任何其它适当类型的罐。如以下更详细所述,EFEM14通过装载闭锁装置(load lock)16而与处理装置连通,闭锁装置16与处理装置相连接。EFEM14(其可以对大气敞开)具有衬底输送装置(未显示),其能够将衬底从装载口12输送到装载闭锁装置16。EFEM14还可以包括衬底对准能力,衬底批量运送能力和承载器识别能力等。在备选实施例中,装载闭锁装置16可以直接和装载口12对接,比如在装载口具有批量运送能力的情形下,或者在装载口具有将晶片直接从FOUP输送到闭锁装置的能力的情形下。在以下美国专利中公开了这类装置的一些实例:6,071,059;6,375,403;6,461,094;5,588,789;5,613,821;5,607,276;5,644,925;5,954,472;6,120,229以及2002年7月22日提交的序列号为10/200,818的美国专利申请,所有这些专利和申请都通过引用而完整地结合在本文中。在备选实施例中,还可以选用其它闭锁装置。
仍然参见图2,前面已指出,可用于处理半导体衬底(如200/300mm晶片)、平板显示器面板或任何其它所需类型的衬底的处理装置10通常包括输送腔室18,处理模块20,和至少一个衬底输送装置22。在所示实施例中,衬底输送装置22与输送腔室18形成为一体。在这个实施例中,处理模块安装在输送腔室的两侧。在其它实施例中,处理模块可以安装在处理室的一侧,如图4中的示例所示。在图2所示的实施例中,各处理模块20彼此相对地安装在Y1,Y2列或垂直平面中。在备选实施例中,各处理模块可以在输送腔室的相反两侧彼此交错排列,或彼此在垂直方向堆叠起来。输送装置22有一个小车22C,其在腔室内移动,以便在装载口16和处理室20之间输送衬底。在所示的实施例中,只提供了一个小车22C,而在别的实施例中,可以提供多个小车。如图2所示,输送腔室18(其内部处在真空或惰性气体中,或者简单的一个清洁环境,或这些组合之中)具有一种结构,并且采用一种新型的衬底输送装置22,其允许将处理模块按新的笛卡儿坐标设置安装在腔室18内,使得各模块排列在基本平行的竖直平面或列中。这导致处理装置10比同类型传统处理装置(即带同样数量处理模块的传统处理装置)具有更紧凑的基底面,这从图1和图2的对比中看得很清楚。此外,输送腔室22可以设置任何要求的长度,以增加任何所需数目的处理模块,从而提高生产率,这在下面将更详细讲到.输送腔室内还可以支撑所需任何数量的输送装置,并允许输送装置到达输送腔室内所需的任何处理室,而彼此不发生干扰。这实际上就把处理装置的生产率和输送装置的运送能力区分开来,并因而使处理装置的生产率受到处理的限制而不受运送的限制。所以,只要在同一平台上增加处理模块和相应的运送能力,就可以根据需要提高生产率。
还是参照图2,在此实施例中,输送腔室18具有一般为矩形的形状,虽然在别的实施例中,该输送腔室可以是任何别的适宜形状。腔室18为细长形状(即长度比宽度大很多),并且为该输送装置在腔室中界定了一条通常线性的输送路径。腔室18有纵向侧壁18S。侧壁18S具有穿过其形成的输送开口或端口180。输送端口180的尺寸开得足够大,以让衬底通过这些口(可以通过阀门)而进出输送腔室。如从图2可见,此实施例中的处理模块20被安装在侧壁18S的外面,每个处理模块与输送腔室内一个相应输送端口对准。可以想象,每个处理模块20可以围绕相应输送口的周边而密封在腔室18的侧面18S上,以保持输送腔室内的真空。每个处理模块都可具有一个阀门,其在需要时可通过适当的方法进行控制,以关闭输送端口。各输送端口180可位于相同的水平面内。因而腔室内各处理模块也在同一水平面内对准。在备选实施例中,各输送端口可处在不同的水平面内。如从图2可见,在这个实施例中,装载闭锁装置16安装在两个最前面的输送端口180处的腔室侧面18S上。这容许装载闭锁装置在处理装置的前方靠近EFEM14。在备选实施例中,各装载闭锁装置可处在输送腔室上任何其它的输送端口处,例如图4中所示。
六角形形状的输送腔室使得可以按需要选择腔室的长度,以安装所需的多列处理模块(例如,可参见显示其它实施例的图3,5,6-7A,其中输送腔室的长度可以容纳任何数目的处理模块)。
如前面已经指出,图2所示的实施例中的输送腔室18具有一个衬底输送装置22,其具有单个小车22C。该输送装置22与腔室形成为一体,以使小车22C能在腔室中前面18F和后面18B之间前后移动。输送装置22具有小车22C,其具有用以保持一个或多个衬底的末端执行器。输送装置22的小车22C还有一个铰接臂或活动的输送机构22A,用于使末端执行器延伸和收缩,以拾取或释放处理模块或装载闭锁装置内的衬底。为了从处理模块/装载口中拾取或释放衬底,输送装置22可与所需的模块/端口对齐,且臂通过相应的端口180而延伸/收缩,以便将末端执行器定位在模块/端口内,从而拾取或释放衬底。
图2中所示的输送装置22是一种代表性的输送装置,并且包括由线性支架/驱动导轨支撑的小车22C。下面将作更详细地描述此输送装置。线性支架/驱动导轨可安装于侧壁18S,地面,或者输送腔室的顶部,并可加长腔室的长度。这容许小车22C,因而也容许该装置跨越腔室的长度。这种小车具有用于支撑该臂的框架。该框架还支撑脚轮托板或台板22B,其与框架一起或者相对于框架移动。如下面将要进一步描述的那样,顺序同步线性电动机30沿着导轨驱动台板22B,并因而驱动小车22C。线性电动机30可以安装在地面或输送腔室的侧壁18S上。如下面将会进一步看到,可以在电动机绕组和台板的活动部分之间安装挡板,从而将绕组与腔室的内部隔离开来。一般而言,线性电动机可包含许多驱动区。驱动区处于沿输送腔室的臂延伸/收缩处(即在此模块/端口的实施例中的列Y1-Y2处)。驱动区的数目和密度与每个小车的台板数,每腔室的电动机数,处理模块或交换点的数目等有关。在这个实施例中,臂通过一个适当的连杆/传动装置可操作地连接至台板22A上,使得当驱动电动机使各台板彼此相对运动时,臂产生延伸/收缩。举例来说,可以这样来安装传动装置,使得当各台板沿导轨离开时,臂延伸至左边,而当它们往后移得更靠近在一起时,臂从左边缩回。台板还可由线性电动机适当操纵,而使臂22A延伸至右边,或者从右边缩回。根据国际专利申请(公开号)WO 99/23504,99/33691,01/02211,01/38124和01/71684,可实现利用线性电动机对台板在滑动导轨上的运动进行控制,以及对台板(因而小车)和臂的延伸/收缩位置的检测,所有这些专利都通过引用而完整地结合在本文中。如可理解的那样,为了使整个小车/装置在输送腔室内的纵向方向上移动,可以驱动各台板在该方向上一致地运动。
图3显示了衬底处理装置的另一实施例10′,其大体上与装置10相似。在这个实施例中,输送腔室18′具有两个输送装置122A,122B。输送装置122A,122B基本上与前面讲过的实施例中的装置22相同。如前所述,这两个输送装置122A,122B可由一组公共纵向滑动导轨来支撑。对应于每个装置的小车台板可由同一线性电动机驱动。线性电动机的不同驱动区可使每个小车上的单个台板被独立驱动,因而也使每个单独小车122A,122B被独立驱动。因此,可以意识到,每个装置的臂可利用线性电动机按前述类似的方式进行独立的延伸/收缩。然而,在这种情况下,除非采用分开的滑块系统,否则衬底输送装置122A,122B不可能在输送腔室内相互经过。因此,处理模定位在沿输送腔室长度的位置上,使得衬底可以按顺序地输送到处理模块中,从而避免各输送装置彼此间产生干扰。例如,可以将处理模块放在加热模块之前,并将冷却模块和蚀刻模块放在最后。
但是,输送腔室18′可能还有另一输送区18′A,18′B,其允许这两个输送装置相互经过(类似于侧导轨,旁通导轨或不需要导轨的磁悬浮区)。在这种情况下,其它的输送区可定位在安置处理模块的水平面之上或之下。在这个实施例中,输送装置具有两个滑动导轨,每个输送装置各一个。一个滑动导轨可以置于地面上或输送腔室的侧壁,而另一滑动导轨可置于腔室的顶端。在备选实施例中,可能采用线性驱动系统,它同时使可以水平和垂直独立运动的小车驱动和悬浮起来,从而容许它们彼此独立地传递或输送衬底。在所有采用电绕组的实施例中,这些绕组还可用作电阻加热器,例如在希望将腔室加热去气、例如消除水蒸气的情形下。在此情形下,每个输送装置可以用专用线性驱动电动机或专用驱动区来驱动,小车如前面所述类似就处在此驱动区内。
现在参见图6和图7,其显示了根据本发明其它实施例的其它衬底处理装置。如从图6和图7中可见,在这些实施例中延长了输送腔室,以安置额外的处理装置。图6中所示的装置具有十二(12)个处理模块与输送腔室相连,而图7中的每个装置(图中显示了两个装置)具有24个与输送腔室相连的处理模块。这些实施例中所示的处理模块的数量仅仅是一个例子,并且如之前所述,这些装置可以具有任何其它数量的处理模块。这些实施例中的处理模块是沿着输送腔室的侧面按笛卡儿坐标进行设置的,这与前面讨论过的类似。然而,在这些场合下极大地提高了处理模块的排数(例如,图6的装置具有6排,图7的每个装置具有12排)。在图6的实施例中,可以除去EFEM,而将装载口与装载闭锁装置直接配合。图6和图7中的装置的输送腔室具有多个输送装置(即在图6的情况下具有3个输送装置,在图7的情况下具有6个输送装置),以便在装载闭锁装置和处理室之间运送衬底。所示的输送装置数目仅仅是一个例子,可以采用更多或更少的装置。在这些实施例中,输送装置大体与前面所述类似,包括臂和小车。然而,在这种情况下,小车是由输送腔室侧壁内的分区线性电动机驱动器支撑的。在这种情况下,线性电动机驱动器用于使小车在两个垂直轴方向(即在输送腔室的纵向和输送腔室的垂直方向)上的动。因此,这些输送装置能在输送腔室内相互经过地运动。输送腔室可具有高于或低于各处理模块平面的″传递″或输送区域,输送装置可以经过这些区域,以避免固定输送装置(即拾取/释放处理模块内的衬底)或输送装置在相反方向上运动。不难了解,衬底输送装置具有控制器,用于控制多个衬底输送装置的运动。
仍旧参见图7,在此情况下,衬底处理装置18A和18B可以直接与工具300配合。
从图3,5和6-7可以了解到,可以根据需要延长输送腔室18,以包容整个处理设备P。从图7中可见,并如以下还将进一步详细描述的那样,输送腔室可以与该处理设备P中的各部分或区段,例如储存腔室,光刻工具,金属沉积工具或任何其它适当的工具区段连接和连通。由输送腔室18相互连接的各区段还可以配置成为处理区段或工艺18A,18B。各区段具有所需的工具(如光刻、金属沉积、加热、净化),以完成半导体工件的给定的加工过程。在无论哪种情况下,输送腔室18都具有如前所述与各工具区段内的各种工具相对应,并与之连通的处理模块,以便在腔室和处理模块之间输送半导体工件。因此,输送腔室在其与之相连的各种处理模块气氛相对应的整个长度内,可能包含不同的气氛条件,例如大气、真空、超高真空、惰性气体或者任何其它的气氛。因此,在给定工艺或区段18A,18B中,或在一部分区段内的输送腔室部分18P1可具有一种气氛条件(例如大气),同时输送腔室的另一部分18P2,18P3可具有不同的气氛条件。如之前指出,带有不同气氛条件的输送腔室部分18P1,18P2,18P3可能处在该设备不同的区段内,或者全部都处在该设备的一个区段内。图7仅仅举例显示了腔室18,其具有带不同气氛条件的三个部分18P1,18P2,18P3。在此实施例中,腔室18可以具有许多部分,其带有许多所需的不同气氛。
如从图7中所见,在小车18内的输送装置与输送装置122A(亦见图3)相似,其能在具有不同气氛的输送腔室部分18P1,18P2,18P3之间移动。因此,正如从图7中可知,输送装置122A利用一次拾取就可以将半导体工件从处理设备的一个工艺或区段18A内的工具移至该处理设备的不同工艺或区段内的带不同气氛的另一个工具。例如,输送装置122A可以拾取处理模块301中的衬底,此处理模块可以是输送腔室18的区段18P1中的大气模块,光刻、蚀刻或任何其它所需的处理模块。之后,输送装置122A可以按图7中箭头X3所示方向从输送腔室部分18P1移动至部分18P3中。在部分18P3中,输送装置122A可以将衬底置于处理模块302内,处理模块302可以是任何所需的处理模块。
从图7中可知,输送腔室可以是模块化的,各输送腔室模块按需要连接形成输送腔室18。这些模块可包括内壁181,其与图2中的壁18F,18R相似,以便将输送腔室部分18P1,18P2,18P3,18P4隔离开。内壁181可包括槽阀(slot valve),或任何其它可以将一个输送腔室部分18P1,18P4与相邻部分相连接的适当阀门。槽阀18V在尺寸上设置成容许一个或多个小车通过各阀门从一个部分18P1,18P4转移至另一部分。通过这种方式,小车122A可以移动至腔室18内的任何地方。阀门可以关闭而将输送腔室部分18P1,18P2,18P3,18P4隔开,使得不同的部分可如之前所述包含完全不同的气氛。另外,如图2中所示,腔室模块的内壁可以定位成用于形成装载闭锁装置18P4。装载闭锁装置18P4(作为举例图2中只显示了一个)可根据需要置于腔室18内,并可将任何所需数目的小车122A保持在腔室内。
在图7所示的实施例中,工艺18A和18B可以是相同的工艺(例如蚀刻),这时处理装置18A和18B和作为储料器的工具300相接合,能够处理与图9所示装置相等数量的衬底,而没有通过AMHS将相关的FOUPS从储料器输送至单个处理工具,以及通过EFEM将单个晶片输送至相应处理工具的相关的额外材料运送费用。相反,在储料器内的机械手直接将FOUPS输送至装载口(每个工具显示了3个装载口,根据不同的生产率要求可以比这更多或更少),在装载口将晶片成批移动至装载闭锁装置内,并根据所需的工艺和/或产量而分配给其相应的处理模块。通过这种方式,图7和图9的装置可具有相同的产量,但是图7的装置做到这点花费的成本更低,占地面积更少,所需WIP更少,因此库存更少,而且从处理单个运载批量(或″热批量″)的时间来看,周转时间更快,这对加工操作者而言非常有利。工具18A,18B或储料器300内还可以具有测量能力,分选能力,材料识别能力,测试能力,检查能力等,这对于高效地处理和测试衬底是需要的。
在图7所示的实施例中,可以提供更多或更少的不同工艺18A和18B,例如蚀刻、CMP、沉积铜、PVD、CVD等等,在这里,处理装置18A,18B等等与作为光刻成像单元的工具300相组合,其能够处理与图9的多个装置相等数量的衬底,但没有与通过AMHS将FOUP从储料器输送到单个处理工具区段和光刻区段,以及通过EFEM将单个晶片输送到各处理工具相关的额外材料运送费用。相反,光刻单元中的自动化装置直接将FOUP,衬底或材料输送到装载口(图中每种处理类型显示了3个,根据生产率要求可提供更多或更少),从那儿根据所需处理和/或生产率要求,将衬底分配给相应的工艺。图7A中显示了此类变型的一个示例。通过这种方式,图7的装置处理衬底所花成本更低,占地更少,所需WIP更少,因此所需库存更少,而且从处理单个运载批量(或″热批量″)的时间来看,周转时间更快,同时能更有效地控制污染,这对加工操作员而言非常有利。设备18A,18B或储料器300内还可以具有测量能力,处理能力,分选能力,材料识别能力,测试能力,检查能力等等,这对于有效地处理和测试衬底是需要的。从图7可看出,处理装置18A,18B和工具300可以联接起来分享一个公共的控制气氛(如惰性气体或真空)。这就保证衬底从工具300和装置18A,18B内的全部过程都保持在一个受到控制的环境内。这消除了如图8所示传统装置结构中那样需要采用FOUP的专用气氛控制措施。
现在参见图7A,其显示了一个具有图7所示实施例的各种特征的加工设备布局601。与小车22A,122A类似,小车406通过加工设备601中的工艺步骤而将衬底或晶片经过输送腔室602,604,606,608,610,612,614,616,618,620,624,626进行输送。工艺步骤可包括外延硅630,电介质沉积632,光刻634,蚀刻636,离子掺杂638,快速热处理640,计量642,电介质沉积644,蚀刻646,金属沉积648,电镀650,化学机械抛光652。在备选实施例中,可能牵涉或涉及到更多或更少的工艺过程,例如相同顺序的蚀刻、金属沉积、加热和冷却操作等。如之前指出,小车406可以载有单个晶片或多个晶片,并可具有转换能力,比如可以拾取处理过的晶片并将未处理的晶片置于同一模块中。小车406可以行经隔离阀654,以便从工具至工具或从区段至区段地进行传送,或从一个过程传送至另一过程。根据给定阀门654任一侧的压力差或气体种类差异,阀门654可以是密封阀或者简单的导流型阀门。通过这种方式,利用单一的运送步骤或者″一次接触″就能将晶片或衬底从一个处理步骤传送到下一步骤。结果,就将由于运送所造成的污染降至最小。这类压力或种类的差异可以是一边为洁净空气而另一边为氮气,或者一边是低压真空水平而另一边是高真空,或者一边是真空而另一边是氮气。与图7中的腔室18P4类似的装载闭锁装置656可用于一种气氛到另一种气氛的过渡,例如真空和氮或氖气之间的过渡。在备选实施例中,可以提供按任何数目组合的其它压力和种类。装载闭锁装置656可以转换单个载体或多个载体。
或者,当不希望小车通过阀门时,可以将衬底输送到架子(未显示)装载闭锁装置656内或者不期望小车经过阀门的地方。诸如对准模块、测量模块、清洗模块、处理模块(如蚀刻、沉积、抛光等)、热调节模块等附加特征658可以组合在闭锁装置656或输送腔室中。可以设置维修口660,以便从工具中将小车或晶片取走。可以提供晶片或载体储料器662,664来储存和隔离开工艺和/或测试晶片。在备选实施例中,可以不提供储料器662,例如当小车直接对准光刻工具时。另一个示例是当分度器或晶片储存模块666设于工具组上时。可提供再循环单元668,以便在任何给定部分如工具部分612中,使空气或气体种类循环和/或过滤。再循环单元668可以具有气体清洁器,粒子过滤器,化学过滤器,温度控制器,湿度控制器,或其它可调节被处理气体种类的特征部件。在给定的工具部分,可提供更多或更少的循环和/或过滤或调节单元。可提供隔离级670,将小车和/或晶片与不同的工艺及不能受交叉污染的工具部分隔离开来。可提供闭锁装置或互连器672来改变小车的方位或方向,使得小车可以安置在普通的工作空间而不改变方位。在备选实施例和方法中,可提供任何适当的过程顺序或配置的组合。
现在参见图10,其显示了根据一个实施例的单轴线台板驱动系统320的端视图。驱动系统320是适于驱动图2、图3和图7-7A所示的用于驱动输送装置或小车22A,122A,406的驱动装置的一个示例。该系统320具有用于驱动台板324的固定绕组组。台板324可以支撑在滑块326上,滑块326可在导轨328上滑动。导轨328与底座330或输送腔室的侧壁相连。底座330在绕组322和台板324之间提供了隔板332。可以理解,隔板332还可以将绕组322和腔室的内部环境隔离开来。绕组322与底座330相连接。台板可具有与其相联的磁铁334,用以将台板324和绕组322对接起来。传感器336可以是磁限制型(magneto-restrictive)霍尔效应传感器,并可用来探测台板324内是否有磁铁,并确定适当的转接。此外,传感器336可用来确定台板324的精确位置。位置反馈装置340可提供用于精确的位置反馈。装置340可以是例如感应式的或光学类型的。在装置340为感应式装置的情况下,可以提供激励源342来激励绕组或模板(pattern)346,并通过模板346之间的耦合而感应耦合回接收器344.利用相对相位和幅度关系来确定台板324的位置。可以提供小车识别标记347,例如红外标记,并在适当工位设置读取器348,以便通过工位来确定小车的身份(id)。
现在参见图11A,其显示了根据另一实施例的台板驱动系统400的侧视图。同时参见图11B所示的沿图11A中的线11B-11B剖开的驱动系统400剖视图。如下面将会详细讲到,系统400能够影响台板或小车406的运动(小车406可以与前述小车或输送装置22A,122A相似)。系统400具有两组用于驱动小车406的相对的固定绕组402,404。绕组组402,404卷绕成两维的驱动阵列,即垂直方向408和横向410这两维。在备选实施例中,可以提供额外的阵列在不同方向上驱动小车406,例如通过将系统400与转过90度的另一个类似系统相耦合,而沿方向427驱动小车。为使多个小车能被独立驱动,这些阵列是在多个区内驱动的。作为一个示例,区424可以是供给区,区426可以是传送区,而区428可以是返回区。在每个区内可以有一些子区,其容许在每个区内驱动多个小车。在备选实施例中,可以按任意组合提供更多或更少的区或子区。小车406由绕组组402,404所产生的场支撑,并可通过使绕组组402和404之间的场偏离而以非接触的方式进行定位。可以提供腔室412作为绕组组402,404和小车406之间的隔板414。如图所示,绕组处在区416内。小车406可具有带绕组的台板418,420。在备选实施例中,可以提供更多或更少的台板。可以提供传感器阵列来检测在台板或小车内是否有磁铁,以便确定合适的转接和定位,并用于精确地确定台板和小车的位置。可提供小车识别标记,利用设于适当工位的读取器,以便通过工位来确定小车的身份。
现在参见图12,其显示了根据该装置的另一个实施例中的处理装置10的示例性小车229的顶视图。小车229可与上述图2,3和7-7A中所示的小车22,122A,406相似。图示的小车229能够沿轴向路径150和/或径向路径152输送衬底148。小车229还能够沿图12所示的路径移动衬底。为简单起见,图中所示的小车229是一个二维系统,然而在备选实施例中,还可以提供附加的运动轴线,例如Z向运动(出入纸面的方向,未显示)或角度运动154。为简单起见,所示的小车229能够运送单个衬底148。然而在备选实施例中,还可提供额外的运送。例如,该小车可包括运送第二衬底的能力,比如当希望在一个处理模块处更换衬底的情形(也就是说,将第一处理过的衬底拾取起来,然后从同一小车229中将第二未经处理的衬底安置在同一处理模块处)。
小车229具有框架156,末端执行器158,和第二框架160。如图所示,滑块162限制了框架156和末端执行器158及第二框架160只能沿直线路径152向框架156的左边或右边彼此相对滑动。虽然图中5所示为线性机构,但在备选实施例中,可以采用任何适当的臂系统,例如图17中所示的与框架156相联的螳螂型臂,这将在下面详细描述。衬底148支撑在末端执行器158上。
现在参照图12A,其显示了位于腔室229(类似于腔室18和602-626,见图2-3,7-7A)一部分内的小车229的顶视图。此小车具有延伸到示例模块166中的末端执行器158。模块166可以是类似于上述与输送腔室连接的任何一个模块。图示的小车229能够沿轴向路径150和/或径向路径152输送衬底148。小车229具有框架156,末端执行器158,和第二框架160。如图所示,滑块162限制了框架156和末端执行器158及第二框架160只能沿直线路径152向框架156的左边或右边彼此相对地滑动。框架156在其与同步电动机174对接的底面上具有磁性台板168。驱动台板172与同步电动机174对接。驱动台板172安装在框架156的下面,并可通过利用轴承178而沿着与方向150基本平行的方向176相对于框架156滑动。台板168和172同时地沿着方向150运动,可使小车沿着方向150移动,而不会在方向152上的运动。在台板172沿着方向176相对框架156运动的同时保持台板168固定不动,这可造成衬底和末端执行器148,158沿着方向152作径向运动。
台板172沿着方向176的直线运动转变为第二框架160沿着方向152的直线运动。皮带轮186可旋转地与框架156相连,并具有第二皮带轮188和182。皮带轮182利用带184而与台板172相连,使得台板172沿方向180的运动引起皮带轮182在190方向的旋转,其中,在相反的方向上起相反的作用。皮带轮192和194可旋转地连接在框架156上。线缆196在点198处与皮带轮188相连,如图所示缠绕在皮带轮192上,并终止在第二框架160上的200处。线缆202在点198处与皮带轮188相连,逆时针缠绕在皮带轮188上,如图所示缠绕在皮带轮194上,并终止在第二框架160上的204处。通过这种方式,台板172在方向176的直线运动就被转换为第二框架160沿方向152的直线运动。
台板172在方向176的直线运动和第二框架160沿方向152的平移直线运动,还使末端执行器158如图所示进一步延伸。皮带轮210和212可旋转地与第二框架160相连。缆线214在点216与末端执行器158相连,如图所示缠绕在皮带轮210上,并终止在框架156的218处。缆线220在点222与末端执行器158相联,如图所示缠绕在皮带轮212上,并终止在框架156的224处。通过这种方式,台板172在方向176上的直线运动被转换为第二框架160沿方向152的直线运动,并如图所示再转换为末端执行器158在方向152上的进一步延伸。除了缆线皮带轮以外,台板和末端执行器之间的传动可以采用皮带,条带或任何由适当材料制成的其它合适传动方法。在备选实施例中,可以采用一种适当的连接系统来代替缆线皮带轮,而将运动从台板传递至末端执行器。末端执行器158收缩至基本上如图12所示位置的动作,按照相似但相反的方式来完成。另外,末端执行器158延伸至类似于但与图12B相反的位置是通过使皮带轮168,172按与上述相反的方式实现的。
现在参见图12B,这是在小车229延伸到示例性的处理模块166之前的端视图。如图所示,滑块240限制了框架156沿直线路径150滑动。框架156在其与同步电动机174对接的底面上具有磁性台板168。驱动台板172与同步电动机174对接。驱动台板172安装在框架150的底面上,并可沿着与箭头150所示方向基本平行的方向相对于框架150而滑动(见图12)。台板168和172同时沿着方向150的运动,其可使小车沿着箭头150所示方向运动而不会沿着方向152运动。在台板172沿着方向176相对框架156运动的同时保持台板168固定不动,这可造成衬底和末端执行器148,158沿着方向152作径向运动。台板172和168可具有与电动机170和174对接的磁铁。腔室244可由非磁性材料,如无磁不锈钢制成,并在电动机绕组及其相应的台板之间提供隔板246,248。在备选实施例中,可提供更多或更少的直线驱动器或小车。举例来说,单个驱动电动机可具有额外的驱动区,台板168和172将在那些区与同一驱动电动机对接,但可以由不同的区独立驱动。作为另一示例,额外的小车可由处在地面250中、在与槽形开口对齐或其下面的壁252,254中、或者在输送腔室的外盖256中的不同驱动系统来驱动。
现在参照图13A,其显示了装置10的腔室716的一部分,以及带有可供该装置使用的示例性小车700的一个示例性驱动系统701的顶视图。腔室716是该装置的腔室18或腔室602-624(见图2-3,7-7A)的另一个代表性部分。如图所示,小车700能够沿轴向路径704和/或径向路径706或Z方向(出入纸面的方向,图中未显示)输送衬底。在备选实施例中,还可提供角度运动。在备选实施例中,可以提供更多或更少的衬底运送。小车700具有输送机构724A和724B,它们可以是一个直线机构或者其它任何适当的臂,例如螳螂形臂。在备选实施例中也可以不提供臂。传动机构724A和724B可根据需要按类似于图12A所示方式而延伸到处理模块或其它模块中。小车700在其侧面上具有台板722,720,710和712,它们与同步电动机在输送腔室216的壁上对接。驱动台板172安装在小车700的侧面,并可沿方向704相对于小车700滑动。台板712驱动机构724A,使得台板712沿方向704相对于小车700的运动(从位置712A至712B,见图13A),可使机构724A通过槽口718A和718B而在位置708A和708B之间输送晶片702A。类似地,驱动台板701安装在小车700的侧面上,并可沿方向704相对于小车700滑动。台板710驱动机构724B,使得台板710沿方向704相对于小车700的运动(从位置710A至710B,见图13A),可使机构724B通过槽口718A和718B而在位置708A和708B之间输送晶片702B。台板710和712可相对于小车700独立运动。台板722,720相对小车700是固定的。在使台板710沿方向704运动的同时保持台板720,722不动,这将引起沿方向706的径向运动。在使台板712沿方向704运动的同时保持台板720,722不动,还引起沿方向706的独立的径向运动。沿方向704使台板720,722,710和712同时运动,将导致小车700沿方向704运动,这使得小车700在通过阀714时例如从一个处理位置运动到另一个处理位置。
现在参见图13B,其显示了沿图13A中的线13B-11B剖开的驱动系统701的剖视图。同时参见图13C,其显示了图13B中的示例性驱动系统701的另一侧截面图。系统701具有用于驱动小车700的相反的固定绕组组727,729。绕组组727,729绕制成一维和二维驱动阵列的一种组合,例如垂直705和横向704。此驱动阵列可以是一维或二维阵列中的线性电动机或线性步进电动机。在美国专利4,958,115、5,126,648、4,555,650、3,376,578、3,857,078、4,823,062中描述了这类驱动阵列的示例,这些美国专利通过引用而完整地结合在本文中。在备选实施例中,可以采用整体的二维绕组组,其中台板具有二维磁铁或模板。在其它备选实施例中,可以采用其它类型的一维或二维驱动系统。在备选实施例中,可提供附加的阵列,以便通过例如将系统701和相对它旋转90度的另一类似系统相连接,而在不同的方向上驱动小车700。为使多个小车能被独立驱动,这些阵列是在多个区内驱动的。作为一个示例,区685可以是供给区,区683可以是传送区,而区681可以是返回区。在每个区内可以有一些子区,其容许在每个区内驱动多个小车。在备选实施例中,可以按任意组合提供更多或更少的区或子区。小车700受到绕组组727,729所产生的场的支撑,并可通过使绕组组727和729之间的场偏离而以浮动和非接触的方式进行定位。图13C显示了一种可能的绕组组合,它可以被图13D所示系统驱动,并用来使小车700悬浮(例如下面将要参见图14A进一步讨论的那样,或者是通过多条轴线的主动式悬浮)。在绕组区域732A-C、730A-C、734A-C、742A-B和740A-B中设有一维绕组组。在绕组区域736A-E和738A-C中设有两维绕组组。在备选实施例中,可提供任何合适的绕组组合,或者可提供完全的二维绕组阵列。小车700具有台板720和710,其可与用于720的阵列738B和用于台板710的阵列736B、736C和736D协同配合使用。通过使台板710在方向704上运动(见图13A)并保持台板720固定不动,可以使晶片径向运动穿过槽口718A。通过同时使台板710和720在方向705上运动(见图13B),可以拾取或安置一个晶片。通过协调各区之间的绕组转换和转接,小车700可以进行垂直和/或横向运动而穿过不同的绕组和驱动区。在各绕组组727,729和小车700之间可提供腔室716作为隔板。在备选实施例中,则不需要有隔板,例如当绕组组727,729处在净化空气或氮等气氛的容器716中时。在备选实施例中,可以提供更多或更少的台板或绕组。可以提供传感器阵列746,747和748来检测在台板或小车内是否存在磁铁,以确定适当的转换和定位,并用于精密确定台板和小车的位置,或者确定诸如台板和绕组之间的间隙。如前已指出,可以提供小车识别标记,以及设于适当工位上的读取器,从而通过工位来确定小车的身份。
现在参见图14A,其显示了根据又一实施例的另一个示例性小车760的侧视图,此小车由单轴线线性电动机绕组组762,764产生的场支撑。通过绕组组762和764之间的场偏置776,可使小车以非接触方式定位。利用场偏置776而以闭合回路的方式提供位置检测装置766,768,使小车760悬浮起来。如图14B所示,由于小车在Z方向是被动稳定的,所以利用这种简单方式就可以实现小车的悬浮。小车760在其侧面具有磁性台板772和774,它们可以是磁铁或由电磁性或导电性材料制成,并与绕组组762,764相对接。在备选实施例中,可提供更多或更少的台板,例如驱动臂等。腔室770(类似于该装置任何典型的腔室部分18,602-624,见图2-3和7-7A)可由例如无磁不锈钢等非磁性材料制成,并如前所述在电动机绕组和与之相应的台板之间提供隔板。在备选实施例中,可提供更多或更少的直线驱动器或小车。例如,可提供具有附加驱动区的单一驱动电动机,区内各台板与同一驱动电动机对接,但可由不同的区独立驱动。作为另一例子,附加小车可由不同的驱动系统驱动,这些系统处在地面内,在与槽形开口对齐的上面或在它下面的壁内,或者在腔室的外盖内。
在图14B中,图形化地显示了曲线,以表示恢复力F和偏离小车760预期位置的轴向偏转量Z之间的关系。在相应的正负轴向(Z向)上,恢复力在幅度上首先分别增加到最大偏转量Zmax或-Zmax处的Fmax或-Fmax值,但当超出这个偏转量时又重新减小。因此,如果作用在小车760上的力(例如小车的重量或诸如离子其它驱动相同或不同台板的绕组组的外力等)超过Fmax,那么小车将脱离绕组762,764。否则,只要场在起作用,那么小车760就停留在场内。在美国专利6,485,531、6,559,567、6,386,505、6,351,048、6,355,998(其通过引用而完整地结合在本文中)中,描述了这个用于旋转装置的原理,其适用于这里所述装置的驱动系统701,将以线性方式使典型的小车760悬浮。在备选实施例中,可以采用别的驱动系统或悬浮系统。
再次参见图13D,其显示了适于供图13A的小车/台板驱动系统701使用的一个典型的绕组驱动系统790的示意图。绕组驱动系统790具有绕组792,多路复用器793,和放大器模块794。绕组792可以是绕组和/或传感器,例如霍尔传感器,位置传感器,感应传感器,载体识别传感器,状态和缺陷检测逻辑电路等。放大器模块794可以是单相或多相放大器,位置和/或存在传感器输入或输出,CPU和/或储存器,识别读取器输入或输出,状态和缺陷检测逻辑电路等。放大器模块794可以直接连到绕组792上或通过多路复用器部件793进行连接。当使用多路复用器部件793时,放大器A1-An可以选择性地连接在绕组W1-Wn中的任何一个上。CPU协调这种选择性连接并监视该装置的状态。通过这种方式,CPU可以选择性地使放大器模块或绕组离线维修,而不必关停该设备。
如前面已指出,适用于输送腔室18,602-604(见例如图2-3,和7-7A)的输送装置或小车可包括带或不带输送臂的小车,以便在该小车和装置内一个要求位置之间传送半导体工件。如前所述,图12和13A分别显示了带输送臂的运输车229,700的两个典型的实施例,输送臂用于运送该装置内的半导体工件。现在提前参见图22和23,其显示了适用于装置10腔室内的运输车机构1557的另一实施例。小车1557可包括底座部分或底板1558和安装在该底板上的输送臂1577。如图22所示,小车机构底板1558在板的相反两侧具有两个成对的磁铁阵列1502,但不限于板的各个相对角。在机械手底板1558的相对角上,两个附加磁铁阵列1502与直线轴承支座1560对接,并可在直线轴承导轨1562上滑动。这些直线轴承导轨1562与底板1558相连。在支座1560上装有驱动皮带1564或其它将直线运动转换为旋转运动的装置。在所示情况下,驱动皮带1564绕在惰轮1566上,然后绕过皮带轮张紧轮1568并与驱动皮带轮1570相连。加在轴承支座1560上的直线运动通过磁铁阵列1502将变成驱动皮带轮1572的旋转运动。在两个自由度应用的情形下,将所述机构的一种冗余型式应用于机械手小车机构的对面,并将一个重复电路连接在驱动皮带轮1572上。这种组合造成一种同心的皮带轮装置。在固定磁铁阵列1502和组合磁铁阵列1502及直线轴承支座1560之间的相对运动提供了一种驱动输送臂连杆的手段。在机械手支座直线传送的情况下,直线轴承/磁铁阵列1560/1502以及已连接的磁铁阵列/车底板1502/1558是作为一个固定组而驱动的,而且看不见从动皮带轮1570和1572的旋转.底板1558的驱动机构可用来操纵其它适当的输送臂连杆,图24-24C,25-25C中显示了一些示例。在图23所示的实施例中,输送臂1577具有普通的单个螳螂形臂结构。驱动皮带轮1572与下连杆臂1574相连,而驱动皮带轮1570连定在前臂驱动皮带轮1586上。前臂皮带轮1586的旋转运动通过驱动皮1582的弯肘皮带轮(elbowpulley)1576传至前臂1578。当肘杆/末端执行器1584接到下连杆臂1574时,其通过所产生的前臂1578相对于弯肘皮带轮的旋转运动而被驱动。通常这个运动是通过每个节点处相对于皮带轮1572和1570的输入驱动比的皮带轮比而实现的。同时参照图23A-23B,其中输送臂连杆1577分别处于缩进和延伸的位置。在收缩位置和延伸位置之间的运动是(按上述方式)通过根据需要相对于底板移动磁铁阵列1502而获得的。臂连杆的运动可以在小车固定或相对于输送腔室运动的情况下进行。图23A-23B显示了输送臂1577的位置,当延伸时,臂1577延伸至小车的侧面1575R(即小车面对腔室壁的一侧)。这类似于图13A中小车700的输送机构724A,724B的延伸/收缩运动。不难理解,小车1557上的输送臂1577可以作为一个部件(利用活动磁铁阵列1502)围绕旋转轴线S(见图22)相对于小车底板旋转至任意需要的方位。例如,若从图23A-23B所示的方位旋转180度左右,则输送臂1577可以从图23B所示的位置延伸到对边1575L。此外,输送臂可以旋转90度左右,使得臂沿腔室的直线方向延伸(在图22中用箭头15X表示)。对这样一个小车可以采用任意数目的臂连杆。在美国专利No.5,180,276;5,647,724;5,765,983和6,485,250中描述了可供小车使用的合适臂连杆的其它示例,所有这些专利都通过引用而完整地结合在本文中。
图24是小车机构1557′的另一实施例的正视图,此机构带有安装在小车底板1558′上的双旋转末端执行器。小车1557′与以前所示图22-23中的小车1557相似。相似的特征采用类似的标号来表示。图24A-24C显示了当小车运动时,轴承支座阵列的直线输送和耦合相对运动的使用。如前面针对图22所述,皮带轮1570′和1572′的旋转是由于轴承支座和磁铁阵列相对于连接在小车底板上的固定磁铁阵列运动而产生的。在这种组合的情况下,机械手小车输送沿着箭头15X′所示的方向沿线性腔室运动,而轴承支座和磁铁阵列相对于接地阵列运动。这个运动使得末端执行器1588′和1590′旋转,从而引起机械手末端执行器基本上垂直于小车的直线方向延伸,这与前面对图23A-23B所述类似。图24A-24C作为示例显示了末端执行器1588′和1590′延伸至一侧。然而可以理解,末端执行器1588′,1590′可以延伸至底板的任何一侧。另外,末端执行器1588′-1590′可以延伸至底板的任何一侧。另外,末端执行器1588′和1590′可以延伸到这样一个位置,在该位置,末端执行器的方位角大致为大于或小于90度左右(见图24A-24C)。
图25是小车1557″的一个实施例的正视图,此小车具有与图23所示相似的臂连杆。在这种情况下,驱动皮带轮1572″与下链接臂1592″相连接。驱动皮带轮1570″与末端执行器驱动皮带轮1600″相连,并通过驱动皮带1598″而与弯肘皮带轮1596″相连。肘状驱动皮带轮与机械手末端执行器1594″相连,并提供了一种将驱动皮带轮1570″的旋转传给驱动末端执行器1594″的方法。图25A-25C显示了带臂连杆的小车处在三个不同位置的情况。图25A-25C显示末端执行器1594″延伸到小车的底板1558′一边,这仅仅是示例。与图22-23和24中所示的输送臂类似,输送臂1577″可绕轴线S″旋转,所以末端执行器可以沿任何方向相对于小车1557″的底板1558″延伸/收缩。现在参见图2-7A,采用具有铰接输送臂的小车(如图12,13A,22,23,24和25中所示的小车22,122A,406,229,700,1557,1557′,1557″)的一个显著优点是,对于一个给定工作极限的输送臂,输送腔室的宽度可以最小。在不同小车实施例的多轴线输送臂铰链,可以使小车相对于铰链臂具有基本独立的位置,这反过来又使输送腔室18的宽度减至最小。同样,槽阀的宽度和连接储存处理模块至输送腔室的通道的尺寸可以减至最小。
现在参见图15,其显示了供装置10使用的示例性晶片对准器500。此晶片对准器500可大体上包括两个部分,即晶片卡盘504和晶片输送托架502。这种对准器提供了晶片在直线笛卡儿输送工具中的对准和运动。对准器制作成与装置内的运输车(如小车22,122A,406,700,1557)对接,或在某些情况下可以包含在直线处理工具构架的机械手小车内。
现在再参见图16,其显示了晶片卡盘504可与晶片输送托架分开。在整个直线笛卡儿装置的输送过程中,摩擦衬垫可以将两个机构连起来。当拆卸时,晶片卡盘504可相对晶片输送托架502自由旋转。通过使用相对于衬底(晶片)506成角度倾斜的晶片边缘垫508,这种晶片卡盘504提供了一种被动式支撑晶片边缘的方法。作为晶片卡盘504的一个附加部分是处在晶片506下的缓冲区,利用该缓冲区可以让机械手臂小车将晶片移走和安置在晶片托架500上。它被称为晶片移置间隙区510。
这种晶片相对于直线运输车的旋转方法可以直接应用于机械手的末端执行器。图17中显示了这种方法。机械手小车534构造成使得晶片卡盘504可以从机械手末端执行器536取下。在此情况下,卡盘可以自由旋转,以根据在处理模块或装载闭锁装置内发现的脱落点变化,来校正任何轻微的晶片切口定向的要求。
同时参见图18,其显示了晶片卡盘旋转装置532。在直线输送工具的多个点处可以配置这些旋转凹下部分。此装置是基于美国专利5,720,590的电动机隔离技术,其通过引用而完整地结合在本文中。在备选实施例中,可以采用一种传统的电动机和密封组合。固定电动机522安装在直线输送腔室的底座530上。在电动机电枢540和磁铁阵列524之间安放有一块真空隔离隔板520。磁铁阵列直接安装在转轴542上。这样就可以将驱动直接连到真空系统内。可能需要一个合理的支撑轴承518,但最理想是采用磁悬浮。转轴542上装有一个带读出头528的光学解码器盘526,以提供对转轴542的角度控制器的位置反馈。对准器卡盘504下降到磨擦垫或运动销516上。一旦晶片卡盘504与晶片托架502或机械手的末端执行器536脱离,这些垫/销将提供晶片卡盘504旋转的方法。这种提供旋转的相同方法可用来控制用作机械手臂托架一部分(见图17)的机械手臂连杆538的旋转运动。
再参见图19,包括晶片卡盘504和晶片输送托架的晶片输送托架500,被移动到晶片卡盘旋转装置532上面一个位置。在图20中,晶片输送托架下降,从而升举晶片卡盘504,使其离开输送托架502。装在输送腔室盖546上的摄像机544能观察晶片的图像,并识别晶片的X-Y位置和晶片切口所处的角度。然后移动晶片托架以提供机械手504相对于晶片托架502的X-Y的位置变化,并可提供旋转以校正切口的对准。当用作机械手托架装置的方法时,对晶片卡盘旋转驱动的另一种可选方法是,在延伸出机械手连杆臂并要求垂直运动轴线以容许从处理模块或装载闭锁装置上降低/升高衬底或晶片的同时,允许旋转接合。图21中示意性地显示了这样的解决方案的一种方法。固定电动机522安装在导板548上。导板通过金属波纹管550或其它条形隔离密封(凸缘密封、○形圈等)而与直线输送腔室的底座相连。在电动机电枢540和磁铁阵列524之间安放有一块真空隔离隔板520。磁铁阵列直接安装在转轴542上。这样就可以将驱动直接连到真空系统内。可能需要支撑轴承518,但最理想是采用磁悬浮。转轴542上装有一个带读出头528的光学解码器盘526,以提供对转轴542的角度控制器的位置反馈。附加的导向滚子552和带行程止动件556末端的支撑结构554可使旋转驱动器保持在所需位置,以便使晶片卡盘或机械手臂相接合,而不是利用直线晶片输送托架502作为促动装置。在输送腔室加压而使机械手处于上方位置的情况下,波纹管的力将起到弹簧的作用,使得旋转装置与各种直线机械手小车的垂直凸起相接合(例如在拾取或放置过程中),但处在一个受实际限制的垂直行程范围内。一旦该装置与摩擦衬垫或运动销516接合,当晶片卡盘504与晶片托架502或机械手末端执行器536脱离时,如图20中所示,这些垫/销提供了一种使晶片卡盘504旋转的方法。这种提供旋转的相同方法可用来控制用作图17中所示的机械手托架一部分的机械手连杆538的旋转运动。
诸如图2-7中所示的那些系统可以通过储存在控制器C中的可配置和可扩展的软件来控制。现在同时参见图26,其显示了制造执行(″MES″)系统软件,其可设置在与处理系统连通的控制器C中。MES系统2000包括软件模块2002-2016或提高MES性能的选项。此模块包括材料控制系统(″MCS″)2002,实时调度器(″RTD″)2004,工作流或活动管理器(″AM″)2006,工程数据管理器(″EDA″)2008,和计算机维护管理系统(″CMMS″)2010。MES2002容许制造商配置其工厂资源和工艺计划,跟踪库存和订货,收集和分析生产数据,监控设备,给制造工人发布工作指令,并追溯变成成品的元件消耗。MCS软件模块2002可让制造商有效地调度单个小车(例如,图2-3,7-7A,12,13A和22中的小车22,122A,406,208,700,1557)到达处理工具,以使整个系统的效率最大化。
MCS计划什么时候单个小车将到达或离开特定的处理工具(例如,图7中的工艺18A,18B和图7A中的模块602-626)。MCS管理在每个处理工具处的任何排队和例行维护要求,并在使小车输送周期最短的同时使系统生产率最佳化。RTD 2004容许制造商根据处理工具状态的反馈信息而做出小车的实时例行维护决定。另外,小车的例行维护决定可以由MES操作者来做。MES操作者可以改变需加工的特定产品的优先次序。AM 2006容许制造商在整个制造过程中监控包含一块或多块衬底的任何给定小车的进展情况。如果处理工具产生错误,那么AM 2006确定在处理工具中接受处理的全部衬底的最佳余下路线。EDA 2008容许制造商分析制造数据,并根据这些数据执行统计过程控制算法,以试图改善该处理工具的效率。CMMS2010系统容许制造商预测何时需要对单个处理工具进行维护。对处理工具的过程变化进行监控并与已有的处理结果作比较,从而预测过程的改变或对处理工具的定期修理。
现在参见图27,其显示了根据本发明的另一示例性实施例的衬底处理系统3010。图27中的系统3010大体上与之前所述并在附图中显示的处理系统和工具10,10′,18,18A,18B,601是相似的,除了以下所指出的以外。相似的特征采用类似的标号来表示。系统3010通常包括衬底处理工具3014,并且在这个实施例中包括工具接口3012和3016。如之前示例性的实施例中,工具3018具有受控的气氛,并且与外部气氛隔离开。工具接口3012,3016通常提供了在工具3014与制造设备的其它相匹配系统之间的接口。例如,工具接口3012可以是适当地配置成与批量衬底输送系统3001相互作用的EFEM,例如自动化引导的车,或其它所需的自动化材料操纵系统。EFEM 3012能够容许或提供用于衬底在输送系统3001和EFEM之间的装载和卸载,并且保持未处理的衬底,使其(在箭头3000S所指示的方向上)进入到处理工具3018中。EFEM 3012还能够从处理工具3018(在箭头3000P所指示的方向上)接收处理过的衬底,返回传递到传输系统3001。如之前已指出,在这个实施例中,系统3010具有另一工具接口3016,例如周围的第二末端模块(ESEM),其位于与EFEM 3012相反的工具3018末端。在这个实施例中,ESEM 3016与EFEM 3012基本上是相似的,其例如能够接收到来自工具3018(在图27的箭头3000P所指示的方向上)的处理后的衬底,并且能够促进将衬底随后传递到加工输送系统3001的邻近部分。如果需要,ESEM3016还可用于将未处理的衬底供给工具3018。在备选实施例中,处理系统可具有工具接口,但只在其中一个工具末端。在那种情况下,在工具接口所定位的处理工具的一端上,输入未处理的衬底,并且输出处理过的衬底。在其它备选实施例中,工具可与另一工具或具有受控气氛的输送腔室接口或直接相连(例如按照图7A中用于输送腔室602-626相似的方法)。仍然参见图27,工具3018通常包括衬底输送腔室3014和处理模块3020,3020A。如之前指出,腔室3014可具有受控气氛,例如真空或惰性气体,并且可与外部气氛隔离开。输送腔室3014可具有能够彼此隔离开的不同部分3014A,3014B,3014C,使得各个所述部分能够保持不同的受控气氛(例如真空,接近高真空,高真空)。如图27中所示,输送腔室3014具有通常线性的形状。在这个实施例中,处理模块3020,3020A安装在输送腔室3014的侧面上。处理模块3020,3020A可彼此相似或不同。例如,根据需要,处理工具3018可具有一个或多个装载闭锁腔室模块3020A(在图27所示的实施例中具有四个装载闭锁腔室模块3020A,其中两个与各工具接口3012,3016相连通),以容许将衬底传递进出工具(在箭头3000I/O所指示的方向上),而不会影响工具中的受控气氛。其它处理模块可配置成对工具中的衬底执行所需的处理,例如电介质或金属沉积、蚀刻、离子注入、快速热处理、化学或机械抛光、测量等等。处理模块连接在输送腔室3018的侧面上,从而形成对腔室的密封,并保持腔室中的受控气氛。处理模块3020可沿着腔室3014以任何所需顺序进行设置,例如提供当衬底以方向3000S穿过工具时,所需连续处理的顺序。如以下将进一步讲到,工具3018并不将衬底所受到的处理顺序局限于仅仅排列在工具上的处理模块的连续顺序,而是可容许选择处理步骤。在备选实施例中,工具3018的处理模块可各提供基本相同的处理工艺。如图27中所示,工具3018具有至少一个定位在腔室3014中的输送车或小车3229,其上面能够保持一个或多个衬底。小车3229能够在腔室3014中横向地直线移动(在由箭头3000X所指示的方向上)。如以下所述,小车3229还可具有合适的可操作的衬底传递装置3160,其用于在输送腔室3014内部的小车与处理模块3020,3020A之间传递衬底(在图27的箭头3000Y所指示的方向上)。在这个实施例中,小车3229是被动的,没有电动机或动力系统。输送腔室3014包括驱动系统3400,其与小车接口,使小车在腔室中移动(方向3000X),并执行小车衬底传递装置3160的操作,以传递衬底(方向3000Y所示)。输送腔室3014还可包括用于识别小车3229和衬底位置的位置反馈系统3336。驱动系统3400和位置反馈系统3336由CPU操作,使小车移动,并传递衬底,从而为工具所处理的衬底选择任何所需的工艺顺序。如图27中所示,输送腔室3014由彼此贴靠的模块3016,3016A,3016B,3016C组成。如将在下面描述的那样,各模块3016,3016A,3016B,3016C是带有集成式驱动系统和位置反馈系统部分的自持单元,以容许各模块作为单个的输送腔室进行操作,从而容许集成任何所需数量的模块,以形成所需长度的输送腔室304。
形成输送腔室3014的输送腔室模块3016,3016A,3016B,3016C通常是彼此相似的。输送腔室模块3016,3016A,3016B,3016C可具有不同的长度,和用于将任何所需数量的处理室模块连接到各输送腔室模块上的不同数目的接头。虽然在图27所示的实施例中,各输送腔室模块能够具有连接在输送腔室模块两边的处理室模块3020,3020,但是,在备选实施例中,输送腔室模块可配置成可与多个和模块3020相似的处理室模块对接。输送腔室模块3016,3016A,3016B,3016C是可互换的,从而可将腔室模块以任何所需的顺序连接在一起,以形成输送腔室。
图28和图29是示例性输送腔室模块3016的剖视图(图29进一步以虚线显示了当贴靠/配合到腔室模块3016上时,相邻输送腔室模块3016,3016A的部分)。如之前指出,输送腔室模块3016,3016A,3016B,3016C基本上是相似的。腔室模块3016具有框架3016F,其可具有任何合适的形状,并由任何合适的材料制成。框架3016F可具有活动的面板或部分,例如活动的上面板3016T。活动的面板3016T安装在模块框架3016F的支架上,以容许当模块连接到其它模块上而形成腔室时,可从模块上拆下。这就容许接近模块内部的构件/小车,而不用从腔室中拆下整个模块。检修面板3016T可以足够大,以容许穿过成形于腔室模块框架上的开口30160而插入/拆下小车3229。在面板3016T和框架的接口处提供密封件3016S,以防止对输送腔室3014中的受控气氛的影响。如图28中所示,框架具有成形于那里而用于与处理室模块3020连通的端口3016P。如可认识到的那样,端口3016P的尺寸和形状适合于容许上面带有衬底S的衬底传递装置33060通过端口而进入处理模块。端口3016P可被合适的阀门或门关闭,所述阀门可集成到输送腔室模块框架3016F中,或者可安装在处理模块上。如图29中所示,框架3016F在相对的纵向末端具有合适的接口特征3016I,其用于密封地将模块3016配合到邻近的模块3016A,3016上。接口3016I可具有任何合适的类型。作为示例,接口3016I可具有与邻近的模块接口的配合面互补的合适的底座特征,以容许邻近模块的适当贴靠。紧固件,例如机械紧固件,或其它合适的夹紧或或定位特征可包括进来,以使模块彼此锁住。接口3016I1,3016I2可包括极化面,以便建立在腔室模块3016,3016A连接时的所需定向(并防止当模块没有处在所需定向时在它们之间形成邻接和连接),接口特征3016I1,3016I2对于各模块是通用的,从而如之前指出容许模块可互换。在这个实施例中,框架3016F限定了用于小车3229的充分的腔室空间。在小车周围可提供最小间隙,以容许小车穿过模块的自由运动。模块框架中的末端开口3016R在尺寸上设置成容许小车3229(保持有所需数量的衬底S)穿过开口,并在模块3016,3016A之间移动。末端开口3016R可被门3016D关闭。门3016D可与模块框架形成整体,或者当腔室模块连接在一起时,可作为额外的模块化部分安装在腔室模块之间。
如图27-28中所示,模块具有用于与小车上的滑块3240相配合地工作的支撑件或导轨3040,其可移动地将小车3229支撑在腔室中。在这个实施例中,导轨3040定位在模块的底部(在小车下面),但是在备选实施例中,导轨可连接在腔室模块框架的任何所需部分上。在这个实施例中,显示了两个导轨3040,但可使用更多或更少的导轨。导轨3040显示为连续地延伸穿过模块。导轨3040终止于离模块3016的对接面一段距离3040D处,使得当小车在模块3016,3016A之间穿过时,小车上的滑块3240可移动一段距离3040D(在各模块中),并且开始骑跨在相邻模块3016,3016A的导轨上,而不会干扰到小车的稳定姿态。相反,可认识到,小车3229的滑块3240在尺寸上设置成当小车在模块3016,3,016A之间穿过、并且滑块3240从一个模块3016,3016A的导轨3040移动到邻近模块的邻近导轨段上时,可为小车继续提供稳定的支撑。
仍然参见图27-28,模块3016具有小车驱动系统3400的组成部分。在这个实施例中,系统是线性电动机,但在备选实施例中,可使用任何合适类型的电动或机械驱动系统,例如线缆驱动。在图28-29所示的实施例中,驱动系统是空心线性驱动系统,例如可从Tecnotion或Anorad获得的空心线性电动机。在图29中,集成在输送腔室模块3016上的驱动系统部分显示为在模块各侧具有三组绕组3402,3402A,3402B,3404,3404A,3404B。如图28中所示,各组绕组3402,3404与小车3229上的相应台板3168,3172协同配合。绕组3402,3404可具有任何所需的长度,包括商业上可用的标准长度。在备选实施例中,可使用任何所需数量的绕组来驱动腔室各边上的小车台板。如图28中所示,空心电动机绕组3402,3404突入到腔室中,以便与小车的台板3168,3172对接。在备选实施例中,线性电动机可以是与之前所述并与图11A-11B所示电动机400相似的铁心线性电动机。在那种情况下,电动机绕组可通过与图11A-11B中的部分414相似的内部框架部分而与腔室隔离开。在模块的各侧分别沿着单条轴线设置有绕组3402,3402A,3402B和3404,3404A,3404B,从而在各边提供一条驱动轴线。在备选实施例中,绕组可定位成在每一侧提供多条驱动轴线。在其它实施例中,例如在使用铁心线性电动机绕组的情况下,绕组可设置成在X和Z方向上均提供驱动轴线(即沿着腔室以及垂直驱动轴线的方向成线性,用于在纵向驱动轴线之间调动小车,这与之前所述和图13B-13C中所示的绕组排列相类似)。沿着各驱动轴,绕组3402-3402B和3404-3404B相对于模块接口3016I1,3016I2尺寸和位置都设置成可保持相邻模块3016中的下一个最接近的绕组3400B相配合,对穿越相邻模块的接口区域的台板施加连续的推动力,从而可使小车从一个模块3016,3016A移动至另一模块。由CPU控制的控制系统3790被用来控制绕组的操作。虽然在图29中,只显示了模块3016的一组驱动轴线绕组3402-3402B(连接在控制器3790上),但是,这两组绕组都以相似的方式进行控制。绕组控制系统3790大体上与之前所述和图中13D所示的绕组控制系统790是相似的。绕组控制或驱动系统3790通常可具有多路复用器3793和放大器模块3794。放大器模块3794可通过多路复用器3793而沿着各驱动轴,以所需的顺序连接在驱动绕组3402,3402A,3402B上,用于移动小车台板。放大器至绕组的排序和连接受到CPU的控制。如以下进一步所述,CPU可与模块的位置反馈系统3336连通,以确定放大器连接和绕组的驱动顺序。绕组控制系统3790可以是对模块3016专用的单独系统。例如,控制系统3790可以携带、安装或其它的方式与模块3016合并(控制系统3790不需要定位在模块框架上,并且如果需要可封装在单独的外壳中(未显示))。当使用合适的馈通引线时,控制系统3790可通过穿过腔室的合适通信线路而与绕组3402,3402A,3402B连通。在图29中,出于举例目的,显示了专用的通信线路单独地穿过装料器壁,并且通信线路可以是合并式的,以容许极少量的馈通引线穿过腔室壁。控制系统3790可包括合适的联接器3790C,以容许在组装工具时,将控制系统3790连接到CPU上。如图29中所示,模块3016可具有另一导线3401C(例如安装在或位于模块一侧),用于将绕组的通信线路联接到控制系统3790上。联接器3401C还可容许绕组在不需要专用模块绕组控制系统的情况下,连接到处理工具的中央绕组控制系统上。
现在参见图30,其显示了小车3229的底视图。小车可具有任何合适的构造。在这个实施例中,小车基本上与之前所述和图12-12B中所显示的小车229是相似的。如之前指出,小车3229具有两个台板3168,3172。台板3168,3172具有永久的磁铁或磁性材料,并且配置成可用于和图28中所示的空心线性绕组3402,3404协同配合操作。这个实施例中的台板3168固定地安装在小车框架3156上。台板3172可移动地通过例如键式滑块3156S而固定在小车的框架3156上。因而台板3172能够相对于小车框架3156做有限运动(在图30中所示的箭头3229X所指示的方向上)。前后止动件限制了台板3172相对于框架的运动。与之前所述的小车229的台板172相似,台板317L相对于小车3229的额外移动能力,为小车提供了进一步的自由度,其转换成可操作衬底传递装置3160,以便延伸和收缩。衬底传递装置3160基本上与小车229的套叠部分158,160是相似的(参见图12A,12B)。因此,传递装置3160可包括任何合适数量的套叠部分,端接任何末端执行器,其与之前所述的末端执行器158是相似的。传递装置160可通过与小车229系统相似的合适的传动系统而连接在活动台板3172上,以便将台板的相对运动转换成传递装置3160的运动(即通过去激励/激励绕组3402,3404,并使小车上升/下降以拾取/放置衬底S,可产生衬底在由图28中箭头3000Y1,3000Y2所指示的方向上的运动)(Z轴线)。在备选实施例中,小车的衬底传递装置可具有合适类型,例如螳螂臂类型,具有一个或多个铰接部分。通过将额外的台板添加到小车上,还可提供各种传递装置部分的独立运动的独立自由度,与台板3172相似,所述台板安装成可相对于小车独立地移动。在备选实施例中,小车可与之前所述并且在图22-23中所示的小车1558相似,或者可与分别在图24,24A-24C和图25,25A-25C中所示的小车1558′和1558″相似。
现在再次参见图28-29,如之前指出,输送模块腔室3016还具有集成的位置反馈系统3336,其用于确定和控制台板/小车在模块中的位置。在图28-29所示的实施例中,位置反馈系统3336S能够精确地确定位置,例如具有在大约1-5μm范围内的定位分辨率和精度。模块3016可具有能够大致或粗略测定位置的另一位置反馈系统3340,例如具有大约10-20μm的定位分辨率和精度。精密位置测定系统3336可以是线性电编码器系统。合适的线性编码器系统可从NetzerPrecision Motion Sensors,Ltd或从Farrand Corp得到。在备选实施例中,模块可具有能够精确地确定位置的任何其它合适类型的位置测定系统,例如电光学编码器,或磁限制型的霍尔效应传感系统。在这个实施例中,精密定位系统3336可包括线性标尺3336S。线性标尺3336S安装在模块框架3016F的底面上,以便与小车3229上的被动传感器对准特征N1-N4(见图30)相互作用。在备选实施例中,标尺可定位在模块的任何其它部分上,将标尺放置在合适的位置,用于检测小车上的对准特征。图28-29中示意性所示的标尺3336S是一种由合适的交流电源(未显示)通过合适的通信线路3336C激励的电启动元件。例如,标尺可包括一个或多个印刷电路板条带,其上面印制有周期性图案场发射器。在这个实施例中,标尺3336S还可包括当小车3229上的对准特征沿着标尺移动时,能够检测发射器的场变化的接收器。在这个实施例中,标尺可连续地在位于腔室模块的对端处的模块接口3016I1,3016I2之间延伸。在备选实施例中,标尺可以只是部分地在模块中,在需要精密位置测定的模块区域中延伸。在这个实施例中,标尺3336S可包括多个传感轨道3336S1-3336S5,各个传感轨道能够检测相应的小车3229上的传感器对准特征N1-N5的位置。如图30中所示,小车3229可具有多个传感器对准特征N1-N5。如之前指出,传感器对准特征N1-N5在这个实施例中是被动的(即没有动力的),并且可包括磁铁或磁性材料。在图30所示的实施例中,小车3229可具有五个传感器对准特征N1-N5,从而可使小车3229以及活动台板定位。其中两个特征,例如右边的N4,N3和左边的N1,N2,可分别用于小车的左边和右边的对准和定位。特征N5在这个实施例中用于对准活动台板的位置。如图28和30中所示,在这种情况下定位在小车底部上足够接近,而与导轨3336S1-3336S4相互作用的对准特征N1-N4,在横向上偏移而与相应标尺3336S的传感轨道3336S1-3336S4基本对准(同时参见图29)。另外,分别用于确定小车3229的右边和左边位置的对准特征N3-N4和N1-N2,偏移了纵向间距3000A,该间距足以当小车在模块3016,3016A之间移动时,用于连续测定小车的位置。例如,在从一个模块传递至下一模块期间,偏移间距3000A容许最后面的对准特征N2,N4保持与相应的小车离开时的模块导轨3336S2,3336S4相互作用,直到最前面的对准特征N1,N3开始与小车进入时的模块的相应传感轨道(与导轨3336S1,3336S3相似)相互作用之后(即已经开始位置测定之后)。因此,在小车于腔室3014中移动的整个过程中,连续地确立了小车3229的定位(见图27)。台板3172上的对准特征N5与导轨3336S5协作地容许以上述相似的方式确定台板3172的位置。用于特征N1-N4和N5对准的位置比较信号(例如由CPU执行)容许确定活动台板3172的相对位置。之后可使用相对位置信息来控制小车的衬底传递装置3160的激活。在备选实施例中,小车可具有任何其它合适的对准特征排列,并且可具有更多或更少的对准特征,例如一个用于确定小车两边位置的对准特征。在备选实施例中,位置测定可通过利用十字定位系统3340的粗略位置测定,和利用精密定位系统3336的精密位置测定的组合来实现。例如,粗略定位系统3340(其可以是任何合适的位置测定系统,例如霍尔效应类型的位置传感器系统或电编码器系统,并且可以成本低廉地安装在整个模块腔室中)可在小车3229穿过腔室模块3016的大部分横向运动期间使用,并且还可用于当小车从一个模块移动到另一模块时的定位。然后,可在例如需要更高位置测定精度的情况下,以更受限的方式来使用精密定位系统3336。例如,当将衬底传递给处理模块3020,3020A时,需要精确地确定小车3229,以及台板3172的位置。因此,在这种情况下,活动标尺3336S的安装尺寸可适合于通常与同处理模块3020连通的端口3016P(见图27)所定位的区域相符。另外,各边的单个对准特征和用于活动台板3172的另一对准特征可足以用于小车3229和台板3172的精密位置测定,从而实现衬底传递装置3160的精密运动。如可从图29中认识到,来自粗略和精密位置测定系统3340,3386的信号通过合适的线路3336C或通过无线方法进行传递,用于CPU处理,CPU则使用位置信息来控制绕组穿过绕组控制系统3790(见图29)。虽然通信线路3336C具有一个或多个用于联接到关停模块CPU上的接头(与接头3790C相似),但是,模块的定位系统3340,3336还能够直接与专用绕组控制系统的处理器通信,从而腔室模块3016相对于整个工具控制架构而可自主地控制绕组的操作,以实现小车3229及其上面的输送装置的所需运动。
可以认识到的是,各输送腔室模块3016,3016A包括上面描述的系统,从而使模块能够形成用于处理工具的完整输送腔室。例如,工具3018可配置成具有仅仅一个模块的输送腔室3014,该模块选自不同的但可互换的模块3016,3016A,3016B,3016C,工具3018在配置上与图5中所示的工具18相似。如图27中所示,模块3016,3016A,3016B,3016C可按照任何选定的顺序,通过将模块的通用接口对接而连接起来,以形成具有所需配置的输送腔室3014和工具3018。各模块3016,3016A,3016B,3016C的自主操作性容许工具的装配就如在模块接口上完成机械连接一样容易。
应该懂得,前面的描述仅仅是对本发明的解释性说明。本领域中的技术人员在不脱离本发明的条件下,可设计各种变型和改型。因此,本发明旨在包括所有这些属于所附权利要求范围内的变型、改型和变体。

Claims (10)

1.一种衬底处理装置,包括:
能够与外部气氛形成密封的可密封腔室;
大致线性的处理模块阵列,各处理模块可连通地连接在所述腔室上,从而容许在所述腔室和所述处理模块之间传递衬底;
定位在所述腔室中、并可移动地受到所述腔室支撑的衬底输送装置,所述输送装置能够沿着由所述腔室限定的线性路径而移动,用于在所述处理模块之间输送衬底;和
连接在所述腔室上的电动机部件,其用于驱动所述输送装置并使所述输送装置沿着所述线性路径移动;
其中,所述腔室包括连续地彼此对接在一起以限定所述腔室的可选数量的腔室模块,各腔室模块具有所述电动机部件的组成部分,使得带有所述电动机部件的组成部分的各腔室模块能够作为一个单元与所述腔室相连接并且与所述腔室相移除。
2.根据权利要求1所述的装置,其特征在于所述腔室模块是可互换的。
3.根据权利要求1所述的装置,其特征在于,所述腔室模块的电动机部件的组成部分与所述输送装置上的另一电动机部件协作,并且驱动所述腔室模块中的输送装置。
4.根据权利要求1所述的装置,其特征在于,相邻腔室模块的电动机部件的组成部分相配合,从而导致所述输送装置在相邻的腔室模块之间自由移动。
5.根据权利要求1所述的装置,其特征在于,相邻的腔室模块能够彼此密封,并且所述输送装置和电动机部件配置成可容许所述输送装置穿过能够密封相邻腔室模块的可关闭式通道而在相邻的腔室模块之间移动。
6.根据权利要求1所述的装置,其特征在于,所述电动机部件 包括与腔室的内部环境隔离开的线性电动机驱动器。
7.根据权利要求6所述的装置,其特征在于,所述电动机部件包括铁心线性电动机驱动器。
8.根据权利要求1所述的装置,其特征在于,所述电动机部件包括空心线性电动机驱动器。
9.根据权利要求1所述的装置,其特征在于,所述输送装置具有用于在所述腔室和所述处理模块之间传递所述衬底的衬底输送臂,其中,所述输送装置是被动输送装置。
10.一种衬底输送装置,包括:
配置成用于将衬底装载到所述装置中的第一端;
装置模块,其连接在所述第一端上,以容许所述衬底在所述第一端和所述装置模块之间移动,并且能够与外部气氛形成密封,所述装置模块具有至少一个处理室并且具有衬底输送腔室模块,它们彼此可连通地相连在一起,从而容许在它们之间传递所述衬底;
相对于前端可选地串联地连接在所述装置模块上的另一装置模块,所述另一模块具有另一处理室和能够与外部气氛形成密封的另一衬底输送腔室模块,它们彼此可连通地相连在一起,从而容许在它们之间传递所述衬底;和
定位在所述输送腔室模块中,并可移动地与所述输送腔室模块接合的衬底运输车,用于使所述衬底在所述输送腔室模块和所述另一输送腔室模块之间移动,其中,所述衬底运输车包括用于驱动所述衬底运输车、并使所述衬底运输车相对于所述输送腔室模块移动的驱动电动机部分,所述衬底运输车的所述驱动电动机部分定位在所述密封的输送腔室模块内部。 
CN2005800417381A 2004-10-09 2005-10-11 衬底处理装置 Active CN101091241B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US10/962,787 2004-10-09
US10/962,787 US7988398B2 (en) 2002-07-22 2004-10-09 Linear substrate transport apparatus
PCT/US2005/036650 WO2006042273A1 (en) 2004-10-09 2005-10-11 Substrate processing apparatus

Publications (2)

Publication Number Publication Date
CN101091241A CN101091241A (zh) 2007-12-19
CN101091241B true CN101091241B (zh) 2011-08-03

Family

ID=36148677

Family Applications (1)

Application Number Title Priority Date Filing Date
CN2005800417381A Active CN101091241B (zh) 2004-10-09 2005-10-11 衬底处理装置

Country Status (6)

Country Link
US (3) US7988398B2 (zh)
EP (1) EP1805792B1 (zh)
JP (1) JP5065900B2 (zh)
KR (2) KR100951680B1 (zh)
CN (1) CN101091241B (zh)
WO (1) WO2006042273A1 (zh)

Families Citing this family (258)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8960099B2 (en) * 2002-07-22 2015-02-24 Brooks Automation, Inc Substrate processing apparatus
US20070183871A1 (en) * 2002-07-22 2007-08-09 Christopher Hofmeister Substrate processing apparatus
US7988398B2 (en) 2002-07-22 2011-08-02 Brooks Automation, Inc. Linear substrate transport apparatus
US7959395B2 (en) 2002-07-22 2011-06-14 Brooks Automation, Inc. Substrate processing apparatus
US8639365B2 (en) 2003-11-10 2014-01-28 Brooks Automation, Inc. Methods and systems for controlling a semiconductor fabrication process
US10086511B2 (en) 2003-11-10 2018-10-02 Brooks Automation, Inc. Semiconductor manufacturing systems
US20070269297A1 (en) * 2003-11-10 2007-11-22 Meulen Peter V D Semiconductor wafer handling and transport
US7422406B2 (en) 2003-11-10 2008-09-09 Blueshift Technologies, Inc. Stacked process modules for a semiconductor handling system
US20070282480A1 (en) * 2003-11-10 2007-12-06 Pannese Patrick D Methods and systems for controlling a semiconductor fabrication process
US8639489B2 (en) 2003-11-10 2014-01-28 Brooks Automation, Inc. Methods and systems for controlling a semiconductor fabrication process
US7458763B2 (en) 2003-11-10 2008-12-02 Blueshift Technologies, Inc. Mid-entry load lock for semiconductor handling system
US7203563B2 (en) * 2004-04-08 2007-04-10 Taiwan Semiconductor Manufacturing Company, Ltd. Automatic N2 purge system for 300 mm full automation fab
US7477956B2 (en) * 2004-07-12 2009-01-13 Applied Materials, Inc. Methods and apparatus for enhancing electronic device manufacturing throughput
TWI278416B (en) * 2004-12-09 2007-04-11 Au Optronics Corp Cassette stocker
JP2007123332A (ja) * 2005-10-25 2007-05-17 Nikon Corp ステージ装置、露光装置、デバイスの製造方法
SG170048A1 (en) * 2006-02-27 2011-04-29 Blueshift Technologies Inc Semiconductor wafer handling and transport
WO2007122902A1 (ja) * 2006-03-24 2007-11-01 Hitachi Kokusai Electric Inc. 基板処理装置の管理方法
WO2007112454A2 (en) * 2006-03-28 2007-10-04 Stratusys Inc. Apparatus and method for processing substrates using one or more vacuum transfer chamber units
US8398355B2 (en) * 2006-05-26 2013-03-19 Brooks Automation, Inc. Linearly distributed semiconductor workpiece processing tool
JP2008034746A (ja) * 2006-07-31 2008-02-14 Tokyo Electron Ltd 塗布、現像装置、その方法及び記憶媒体
US7675048B2 (en) * 2007-03-06 2010-03-09 Varian Semiconductor Equipment Associates, Inc. Wafer holding robot end effecter vertical position determination in ion implanter system
US20080292433A1 (en) * 2007-05-11 2008-11-27 Bachrach Robert Z Batch equipment robots and methods of array to array work-piece transfer for photovoltaic factory
US20080279658A1 (en) * 2007-05-11 2008-11-13 Bachrach Robert Z Batch equipment robots and methods within equipment work-piece transfer for photovoltaic factory
US20080279672A1 (en) * 2007-05-11 2008-11-13 Bachrach Robert Z Batch equipment robots and methods of stack to array work-piece transfer for photovoltaic factory
US8659205B2 (en) 2007-06-27 2014-02-25 Brooks Automation, Inc. Motor stator with lift capability and reduced cogging characteristics
WO2009003186A1 (en) 2007-06-27 2008-12-31 Brooks Automation, Inc. Multiple dimension position sensor
JP5416104B2 (ja) 2007-06-27 2014-02-12 ブルックス オートメーション インコーポレイテッド セルフベアリングモータ用位置フィードバック
US8823294B2 (en) 2007-06-27 2014-09-02 Brooks Automation, Inc. Commutation of an electromagnetic propulsion and guidance system
US8283813B2 (en) 2007-06-27 2012-10-09 Brooks Automation, Inc. Robot drive with magnetic spindle bearings
US9752615B2 (en) 2007-06-27 2017-09-05 Brooks Automation, Inc. Reduced-complexity self-bearing brushless DC motor
US8008884B2 (en) 2007-07-17 2011-08-30 Brooks Automation, Inc. Substrate processing apparatus with motors integral to chamber walls
CN101796481B (zh) * 2007-08-31 2012-07-04 应用材料公司 光电生产线
JP5247094B2 (ja) * 2007-09-14 2013-07-24 インテヴァック インコーポレイテッド 基板処理システム
KR100929817B1 (ko) * 2007-10-23 2009-12-07 세메스 주식회사 기판 처리 장치 및 기판 처리 장치의 제조 방법
JP2009147236A (ja) * 2007-12-17 2009-07-02 Mitsubishi Heavy Ind Ltd 真空処理装置
JP5419384B2 (ja) * 2008-05-20 2014-02-19 東京エレクトロン株式会社 真空処理装置
US8215473B2 (en) * 2008-05-21 2012-07-10 Applied Materials, Inc. Next generation screen printing system
JP5470770B2 (ja) * 2008-08-07 2014-04-16 シンフォニアテクノロジー株式会社 真空処理装置
JP5262412B2 (ja) * 2008-08-07 2013-08-14 シンフォニアテクノロジー株式会社 真空処理装置
US8367565B2 (en) * 2008-12-31 2013-02-05 Archers Inc. Methods and systems of transferring, docking and processing substrates
US8110511B2 (en) * 2009-01-03 2012-02-07 Archers Inc. Methods and systems of transferring a substrate to minimize heat loss
US7897525B2 (en) * 2008-12-31 2011-03-01 Archers Inc. Methods and systems of transferring, docking and processing substrates
US20100162955A1 (en) * 2008-12-31 2010-07-01 Lawrence Chung-Lai Lei Systems and methods for substrate processing
US20100162954A1 (en) * 2008-12-31 2010-07-01 Lawrence Chung-Lai Lei Integrated facility and process chamber for substrate processing
KR101543681B1 (ko) * 2009-01-15 2015-08-11 주성엔지니어링(주) 기판 처리 시스템
DE102009029314A1 (de) * 2009-01-29 2010-08-05 Robert Bosch Gmbh Transportvorrichtung
TWI525025B (zh) 2009-04-10 2016-03-11 辛波提克有限責任公司 儲存及取出系統
WO2010126089A1 (ja) 2009-04-28 2010-11-04 キヤノンアネルバ株式会社 識別情報設定装置、および識別情報設定方法
US8378252B2 (en) * 2009-05-29 2013-02-19 Electro Scientific Industries, Inc. Method and apparatus for hybrid resolution feedback of a motion stage
JP5487741B2 (ja) * 2009-06-10 2014-05-07 株式会社ニコン 基板貼り合わせ装置
US8712571B2 (en) * 2009-08-07 2014-04-29 Taiwan Semiconductor Manufacturing Company, Ltd. Method and apparatus for wireless transmission of diagnostic information
US8602706B2 (en) 2009-08-17 2013-12-10 Brooks Automation, Inc. Substrate processing apparatus
US8696815B2 (en) 2009-09-01 2014-04-15 Samsung Display Co., Ltd. Thin film deposition apparatus
US20110172952A1 (en) * 2009-10-05 2011-07-14 Upendra Ummethala Apparatus and Method for Measuring Position and/or Motion Using Surface Micro-Structure
TWI458612B (zh) * 2009-11-10 2014-11-01 Intevac Inc 可作z軸運動並具多關節手臂之線性真空機械手
US8459922B2 (en) * 2009-11-13 2013-06-11 Brooks Automation, Inc. Manipulator auto-teach and position correction system
US8911554B2 (en) * 2010-01-05 2014-12-16 Applied Materials, Inc. System for batch processing of magnetic media
KR101690970B1 (ko) * 2010-02-19 2016-12-29 주성엔지니어링(주) 기판 처리 시스템 및 기판 반송 방법
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
JP5614326B2 (ja) * 2010-08-20 2014-10-29 東京エレクトロン株式会社 基板搬送装置、基板搬送方法及びその基板搬送方法を実行させるためのプログラムを記録した記録媒体
KR101682465B1 (ko) * 2010-11-17 2016-12-05 삼성전자 주식회사 기판이송로봇
US10822168B2 (en) 2010-12-15 2020-11-03 Symbotic Llc Warehousing scalable storage structure
US9475649B2 (en) 2010-12-15 2016-10-25 Symbolic, LLC Pickface builder for storage and retrieval systems
US8694152B2 (en) 2010-12-15 2014-04-08 Symbotic, LLC Maintenance access zones for storage and retrieval systems
US9008884B2 (en) 2010-12-15 2015-04-14 Symbotic Llc Bot position sensing
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
JP5883232B2 (ja) 2011-03-26 2016-03-09 東京エレクトロン株式会社 基板処理装置
KR20130004830A (ko) * 2011-07-04 2013-01-14 삼성디스플레이 주식회사 유기층 증착 장치 및 이를 이용한 유기 발광 표시 장치의 제조 방법
TWI622540B (zh) 2011-09-09 2018-05-01 辛波提克有限責任公司 自動化儲存及取放系統
CN102296285A (zh) * 2011-09-09 2011-12-28 汉能科技有限公司 一种线列式有机金属化合物气相淀积系统及方法
KR102499348B1 (ko) * 2011-09-16 2023-02-13 퍼시몬 테크놀로지스 코포레이션 패시브 회전자를 가진 로봇 구동
US9027739B2 (en) * 2011-09-16 2015-05-12 Persimmon Technologies Corporation Wafer transport system
JP6084618B2 (ja) 2011-09-16 2017-02-22 パーシモン テクノロジーズ コーポレイションPersimmon Technologies, Corp. 低変動ロボット
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
JP6282980B2 (ja) * 2011-12-16 2018-02-21 ブルックス オートメーション インコーポレイテッド 搬送装置
US9558978B2 (en) 2012-05-04 2017-01-31 Kla-Tencor Corporation Material handling with dedicated automated material handling system
US8881629B2 (en) * 2012-06-12 2014-11-11 Graham Packaging Company, L.P. Continuous motion de-flash trimming machine
SG11201408614SA (en) * 2012-06-28 2015-01-29 Universal Instruments Corp Flexible assembly machine, system and method
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9293317B2 (en) 2012-09-12 2016-03-22 Lam Research Corporation Method and system related to semiconductor processing equipment
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US9558974B2 (en) 2012-09-27 2017-01-31 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor processing station and method for processing semiconductor wafer
CN102897522B (zh) * 2012-10-22 2015-12-09 大同齿轮(昆山)有限公司 输送及加工高效配合的加工装置
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
CN108630585B (zh) * 2013-01-22 2022-06-21 博鲁可斯自动化美国有限责任公司 衬底运送器
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
TWI594933B (zh) 2013-03-15 2017-08-11 辛波提克有限責任公司 自動化貯藏及取放系統
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
KR102350530B1 (ko) 2013-03-15 2022-01-14 심보틱 엘엘씨 통합 보안 직원 액세스 구역 및 원격 로버 조업 중지를 구비한 자율화된 저장 및 인출 시스템
TWI642028B (zh) 2013-03-15 2018-11-21 辛波提克有限責任公司 具有整合式受保護的人員接觸區及遠端漫遊機關機之運送系統及自動化儲存和取放系統
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US10424498B2 (en) 2013-09-09 2019-09-24 Persimmon Technologies Corporation Substrate transport vacuum platform
US10894663B2 (en) 2013-09-13 2021-01-19 Symbotic Llc Automated storage and retrieval system
WO2015057959A1 (en) * 2013-10-18 2015-04-23 Brooks Automation, Inc. Processing apparatus
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
KR20150052996A (ko) * 2013-11-07 2015-05-15 삼성디스플레이 주식회사 기판 이송 장치 및 이를 포함하는 박막 증착 장치
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
JP2016537948A (ja) 2013-11-13 2016-12-01 ブルックス オートメーション インコーポレイテッド 密封スイッチトリラクタンスモータ
WO2015073651A1 (en) 2013-11-13 2015-05-21 Brooks Automation, Inc. Method and apparatus for brushless electrical machine control
JP6708546B2 (ja) 2013-11-13 2020-06-10 ブルックス オートメーション インコーポレイテッド 密封型ロボット駆動部
TWI695447B (zh) 2013-11-13 2020-06-01 布魯克斯自動機械公司 運送設備
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US10170348B2 (en) * 2013-12-26 2019-01-01 Konica Minolta, Inc. Production system for printing electronic devices
KR102192244B1 (ko) * 2013-12-30 2020-12-17 삼성디스플레이 주식회사 기판 이송장치
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
KR20220116079A (ko) 2014-01-21 2022-08-19 퍼시몬 테크놀로지스 코포레이션 기판 이송 진공 플랫폼
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
JP6678596B2 (ja) 2014-02-07 2020-04-08 ユニヴァーサル インストゥルメンツ コーポレイションUniversal Instruments Corporation ポンプとモーターを持つピックアンドプレースヘッド
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
KR102475708B1 (ko) 2014-04-21 2022-12-08 퍼시몬 테크놀로지스 코포레이션 격리된 고정자와 인코더를 가진 로봇
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9478434B2 (en) 2014-09-24 2016-10-25 Applied Materials, Inc. Chlorine-based hardmask removal
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
KR101991187B1 (ko) * 2014-10-10 2019-06-19 캐논 아네르바 가부시키가이샤 성막 장치
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US10002781B2 (en) * 2014-11-10 2018-06-19 Brooks Automation, Inc. Tool auto-teach method and apparatus
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
MX359183B (es) * 2015-02-17 2018-09-17 Solarcity Corp Metodo y sistema para mejorar rendimiento de fabricacion de celda solar.
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US9972740B2 (en) 2015-06-07 2018-05-15 Tesla, Inc. Chemical vapor deposition tool and process for fabrication of photovoltaic structures
JP6918770B2 (ja) 2015-07-13 2021-08-11 ブルックス オートメーション インコーポレイテッド オンザフライ方式の自動ウェハセンタリング方法および装置
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
JP6681994B2 (ja) * 2016-01-18 2020-04-15 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 真空チャンバ内で基板キャリアを搬送するための装置、基板の真空処理のためのシステム、及び真空チャンバ内で基板キャリアを搬送するための方法
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9748434B1 (en) 2016-05-24 2017-08-29 Tesla, Inc. Systems, method and apparatus for curing conductive paste
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
JP6744155B2 (ja) * 2016-06-30 2020-08-19 日本電産サンキョー株式会社 搬送システム
US9954136B2 (en) 2016-08-03 2018-04-24 Tesla, Inc. Cassette optimized for an inline annealing system
JP6830772B2 (ja) * 2016-08-04 2021-02-17 株式会社ジャパンディスプレイ 積層膜の製造装置、及び積層膜の製造方法
US10607879B2 (en) 2016-09-08 2020-03-31 Brooks Automation, Inc. Substrate processing apparatus
JP6810253B2 (ja) 2016-09-09 2021-01-06 ザ プロクター アンド ギャンブル カンパニーThe Procter & Gamble Company 要求に基づいて製品を生産するためのシステム及び方法
EP3509979B1 (en) 2016-09-09 2023-06-14 The Procter & Gamble Company System and method for independently routing vehicles and delivering containers and closures to unit operation stations
MX2019002782A (es) 2016-09-09 2019-09-04 Procter & Gamble Sistema y método para llenar simultáneamente recipientes con diferentes composiciones de fluidos.
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
EP3509795B1 (en) 2016-09-09 2020-07-29 The Procter and Gamble Company Vacuum holder with extensible skirt gasket
WO2018049122A1 (en) 2016-09-09 2018-03-15 The Procter & Gamble Company Systems and methods for producing customized products intermixed with mass produced products
WO2018049119A1 (en) 2016-09-09 2018-03-15 The Procter & Gamble Company Methods for simultaneously producing different products on a single production line
CN109661624B (zh) 2016-09-09 2022-10-25 宝洁公司 用于独立地引导装载容器的载具以创建不同成品的系统和方法
CA3035537C (en) 2016-09-09 2021-07-20 The Procter & Gamble Company System and method for simultaneously filling containers of different shapes and/or sizes
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US10115856B2 (en) 2016-10-31 2018-10-30 Tesla, Inc. System and method for curing conductive paste using induction heating
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
CN106816351B (zh) * 2017-01-20 2018-08-17 信利(惠州)智能显示有限公司 一种离子注入装置
US11024531B2 (en) 2017-01-23 2021-06-01 Lam Research Corporation Optimized low energy / high productivity deposition system
US9885160B1 (en) 2017-02-01 2018-02-06 Kevin Stone Rotatable snowplow blade apparatus, systems and methods of using the same
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US20180308728A1 (en) * 2017-02-07 2018-10-25 Brooks Automation, Inc. Method and apparatus for substrate transport
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
CN106921245A (zh) * 2017-05-09 2017-07-04 泰州市姜堰德力电机有限公司 一种潜水电机
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10861723B2 (en) * 2017-08-08 2020-12-08 Taiwan Semiconductor Manufacturing Co., Ltd. EFEM robot auto teaching methodology
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
TWI766433B (zh) 2018-02-28 2022-06-01 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
KR102284795B1 (ko) * 2018-03-23 2021-08-03 홍잉 인라인 박막 프로세싱 장치
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
CN112335030A (zh) * 2018-06-18 2021-02-05 朗姆研究公司 占用面积减小的晶片搬运平台
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
CN109590884B (zh) * 2019-01-11 2021-03-16 北京半导体专用设备研究所(中国电子科技集团公司第四十五研究所) 多载盘晶圆传送设备及传送系统
US11136197B2 (en) * 2019-01-14 2021-10-05 Goodrich Corporation Carrier-based semi-automated cargo handling system
JP2022520635A (ja) * 2019-02-14 2022-03-31 パーシモン テクノロジーズ コーポレイション 磁気によりガイドされた材料取扱いロボット
KR102180636B1 (ko) * 2019-08-12 2020-11-18 (주)에이피텍 카메라 모듈을 제조하는 모듈화 인라인 시스템 및 이를 이용한 카메라 모듈 제조 방법
KR102196698B1 (ko) * 2019-09-06 2020-12-30 (주)에이피텍 공정률이 개선된 카메라 모듈 제조 인라인 시스템
US11476139B2 (en) 2020-02-20 2022-10-18 Brooks Automation Us, Llc Substrate process apparatus
US11565402B2 (en) 2020-03-09 2023-01-31 Applied Materials, Inc. Substrate transfer devices, systems and methods of use thereof
KR102247183B1 (ko) * 2020-05-29 2021-05-04 주식회사 싸이맥스 효율적인 설치면적을 갖는 웨이퍼 공정 장치
US11862499B2 (en) * 2020-08-19 2024-01-02 Applied Materials, Inc. Multiplexing control of multiple positional sensors in device manufacturing machines
US11501957B2 (en) 2020-09-03 2022-11-15 Applied Materials, Inc. Pedestal support design for precise chamber matching and process control
JP2022142568A (ja) * 2021-03-16 2022-09-30 東京エレクトロン株式会社 基板を処理する装置及び基板を搬送する方法
US20230143307A1 (en) * 2021-10-29 2023-05-11 Brooks Automation US, LLC. Substrate processing apparatus

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4624617A (en) * 1984-10-09 1986-11-25 David Belna Linear induction semiconductor wafer transportation apparatus
US5417537A (en) * 1993-05-07 1995-05-23 Miller; Kenneth C. Wafer transport device
CN1258093A (zh) * 1998-11-06 2000-06-28 佳能株式会社 样品处理系统
US6540869B2 (en) * 2000-06-02 2003-04-01 Tokyo Electron Limited Semiconductor processing system

Family Cites Families (159)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3294670A (en) 1963-10-07 1966-12-27 Western Electric Co Apparatus for processing materials in a controlled atmosphere
US3407749A (en) 1966-08-31 1968-10-29 Gen Motors Corp Motor for propulsion and load support
US3470828A (en) 1967-11-21 1969-10-07 James R Powell Jr Electromagnetic inductive suspension and stabilization system for a ground vehicle
US3771033A (en) 1970-07-07 1973-11-06 Japan National Railway Apparatus for propelling a movable body in a suspended state at a very high speed
DE2160666B2 (de) 1971-12-07 1973-09-27 Siemens Ag, 1000 Berlin U. 8000 Muenchen Elektrodynamisches Magnetsystem fur die Schwebeführung eines bewegten Fahr Zeugs
DE2220735A1 (de) * 1972-01-20 1973-11-08 Krauss Maffei Ag Anordnung zum beruehrungsfreien magnetischen tragen eines schwebefahrzeugs im bereich einer fahrbahnverzweigung
US3937148A (en) 1973-01-02 1976-02-10 Cambridge Thermionic Corporation Virtually zero power linear magnetic bearing
US3976330A (en) 1975-10-01 1976-08-24 International Business Machines Corporation Transport system for semiconductor wafer multiprocessing station system
US4042128A (en) 1975-11-26 1977-08-16 Airco, Inc. Substrate transfer apparatus for a vacuum coating system
US4348139A (en) 1980-04-30 1982-09-07 International Business Machines Corp. Gas film wafer transportation system
US4307668A (en) 1980-05-19 1981-12-29 Vinson Roy D Transportation system unitizing permanent magnets for levitation of a vehicle
US4518078A (en) 1982-05-24 1985-05-21 Varian Associates, Inc. Wafer transport system
JPS5950538A (ja) * 1982-09-17 1984-03-23 Hitachi Ltd ウエハ搬送装置
JPS605509A (ja) 1983-06-24 1985-01-12 Hitachi Ltd 分子線エピタキシ装置
US5259881A (en) 1991-05-17 1993-11-09 Materials Research Corporation Wafer processing cluster tool batch preheating and degassing apparatus
JPS6162739A (ja) 1984-09-03 1986-03-31 Sanki Eng Co Ltd クリ−ントンネル
JPS62114403A (ja) * 1985-11-13 1987-05-26 Fuji Electric Co Ltd 搬送装置
US4917556A (en) 1986-04-28 1990-04-17 Varian Associates, Inc. Modular wafer transport and processing system
US4836733A (en) 1986-04-28 1989-06-06 Varian Associates, Inc. Wafer transfer system
US4676884A (en) 1986-07-23 1987-06-30 The Boc Group, Inc. Wafer processing machine with evacuated wafer transporting and storage system
US4717461A (en) 1986-09-15 1988-01-05 Machine Technology, Inc. System and method for processing workpieces
JPS63157870A (ja) 1986-12-19 1988-06-30 Anelva Corp 基板処理装置
US5882165A (en) 1986-12-19 1999-03-16 Applied Materials, Inc. Multiple chamber integrated process system
US4951601A (en) 1986-12-19 1990-08-28 Applied Materials, Inc. Multi-chamber integrated process system
US4779538A (en) 1986-12-26 1988-10-25 Shunsuke Fujiwara Levitation-propulsion mechanism for inductive repulsion type magnetically levitated railway
US5040484A (en) 1987-05-04 1991-08-20 Varian Associates, Inc. Apparatus for retaining wafers
US4805761A (en) * 1987-07-14 1989-02-21 Totsch John W Magnetic conveyor system for transporting wafers
DE3735284A1 (de) 1987-10-17 1989-04-27 Leybold Ag Vorrichtung nach dem karussell-prinzip zum beschichten von substraten
US5202716A (en) 1988-02-12 1993-04-13 Tokyo Electron Limited Resist process system
US4913059A (en) 1988-02-25 1990-04-03 Railway Technical Research Institute Levitation, propulsion and guidance mechanism for inductive repulsion-type magnetically levitated railway
JP2761881B2 (ja) 1988-03-10 1998-06-04 チッソ株式会社 抗体を固定化したアフイニテイクロマトグラフイ用担体
US4794863A (en) 1988-03-21 1989-01-03 International Business Machines Corporation Motive structure for transporting workpieces
IT1216642B (it) 1988-03-29 1990-03-08 Mariani Enrico Sistema per spostare un'anta di un mobile da una posizione aperta ad una chiusa.
EP0346815A3 (en) * 1988-06-13 1990-12-19 Asahi Glass Company Ltd. Vacuum processing apparatus and transportation system thereof
US5076205A (en) 1989-01-06 1991-12-31 General Signal Corporation Modular vapor processor system
JPH0419081A (ja) 1990-05-15 1992-01-23 Seiko Instr Inc 真空内搬送ロボット
JPH04350023A (ja) 1990-08-08 1992-12-04 Mitsubishi Heavy Ind Ltd 搬送装置及び搬送用パレット
DE69123555T2 (de) * 1990-10-01 1997-05-28 Sharp Kk Verfahren zur Herstellung von Überzügen aus supraleitendem Oxyd
US5180048A (en) 1990-10-12 1993-01-19 Mitsubishi Jukogyo Kabushiki Kaisha Magnetic levitating transportation system
JPH04275449A (ja) * 1991-03-04 1992-10-01 Mitsubishi Heavy Ind Ltd 磁気搬送装置
JP2858275B2 (ja) 1990-12-28 1999-02-17 セイコー精機株式会社 搬送装置
US5994798A (en) * 1998-02-26 1999-11-30 Anorad Corporation Closed-path linear motor
JPH04286537A (ja) 1991-03-18 1992-10-12 Seiko Seiki Co Ltd 搬送装置
US5154730A (en) 1991-05-17 1992-10-13 Materials Research Corporation Semiconductor wafer processing module having an inclined rotating wafer handling turret and a method of using the module
EP0529157A1 (en) * 1991-08-22 1993-03-03 Mitsubishi Jukogyo Kabushiki Kaisha Alternating current magnetic levitation transport system
US5215420A (en) 1991-09-20 1993-06-01 Intevac, Inc. Substrate handling and processing system
JPH0815181B2 (ja) * 1991-09-27 1996-02-14 株式会社荏原製作所 磁気浮上搬送装置の仕切弁
US5275709A (en) 1991-11-07 1994-01-04 Leybold Aktiengesellschaft Apparatus for coating substrates, preferably flat, more or less plate-like substrates
US5282424A (en) 1991-11-18 1994-02-01 Neill Gerard K O High speed transport system
JP3015566B2 (ja) * 1991-12-20 2000-03-06 三菱重工業株式会社 交流磁気浮上搬送装置
US5766360A (en) 1992-03-27 1998-06-16 Kabushiki Kaisha Toshiba Substrate processing apparatus and substrate processing method
JPH07508617A (ja) 1992-06-26 1995-09-21 マティリアルズ リサーチ コーポレイション ウエハ処理工程ラインのための輸送装置
US5641054A (en) * 1992-07-07 1997-06-24 Ebara Corporation Magnetic levitation conveyor apparatus
KR100302012B1 (ko) 1992-11-06 2001-11-30 조셉 제이. 스위니 미소-환경 콘테이너 연결방법 및 미소-환경 로드 로크
KR970011065B1 (ko) 1992-12-21 1997-07-05 다이닛뽕 스크린 세이조오 가부시키가이샤 기판처리장치와 기판처리장치에 있어서 기판교환장치 및 기판교환방법
US6296735B1 (en) 1993-05-03 2001-10-02 Unaxis Balzers Aktiengesellschaft Plasma treatment apparatus and method for operation same
US5402021A (en) 1993-05-24 1995-03-28 Johnson; Howard R. Magnetic propulsion system
US5551350A (en) * 1993-10-07 1996-09-03 Daifuku Co., Ltd. Transporting system driven by linear motor having inductive power supply
US5538390A (en) 1993-10-29 1996-07-23 Applied Materials, Inc. Enclosure for load lock interface
JPH07172580A (ja) * 1993-12-16 1995-07-11 Ebara Corp トンネル搬送装置
JP3279032B2 (ja) 1993-12-16 2002-04-30 スズキ株式会社 船外機のエンジン回転数制御装置
JPH07176593A (ja) * 1993-12-20 1995-07-14 Ebara Corp 搬送装置
JP3965343B2 (ja) * 1994-08-19 2007-08-29 東京エレクトロン株式会社 処理装置
TW295677B (zh) 1994-08-19 1997-01-11 Tokyo Electron Co Ltd
US5651868A (en) 1994-10-26 1997-07-29 International Business Machines Corporation Method and apparatus for coating thin film data storage disks
JPH08119409A (ja) * 1994-10-27 1996-05-14 Tokyo Electron Ltd 集合処理装置
JP3732250B2 (ja) * 1995-03-30 2006-01-05 キヤノンアネルバ株式会社 インライン式成膜装置
TW309503B (zh) * 1995-06-27 1997-07-01 Tokyo Electron Co Ltd
JPH0936198A (ja) 1995-07-19 1997-02-07 Hitachi Ltd 真空処理装置およびそれを用いた半導体製造ライン
KR100244041B1 (ko) 1995-08-05 2000-02-01 엔도 마코토 기판처리장치
CH691376A5 (de) 1995-10-17 2001-07-13 Unaxis Balzers Ag Vakuumanlage zur Oberflächenbearbeitung von Werkstücken.
TW318258B (zh) 1995-12-12 1997-10-21 Tokyo Electron Co Ltd
TW349897B (en) 1996-02-02 1999-01-11 Komatsu Mfg Co Ltd Operational robot
NO304220B1 (no) * 1996-04-30 1998-11-16 Sintef FremgangsmÕte til fremstilling av tynne metallmembraner
US6450103B2 (en) 1996-05-07 2002-09-17 Einar Svensson Monorail system
US6062798A (en) 1996-06-13 2000-05-16 Brooks Automation, Inc. Multi-level substrate processing apparatus
US6318951B1 (en) 1999-07-09 2001-11-20 Semitool, Inc. Robots for microelectronic workpiece handling
US5881649A (en) 1996-08-13 1999-03-16 Anelva Corporation Magnetic transfer system, power transmission mechanism of the magnetic transfer system, and rotational driving member used for the system
TW344847B (en) 1996-08-29 1998-11-11 Tokyo Electron Co Ltd Substrate treatment system, substrate transfer system, and substrate transfer method
US5980193A (en) 1996-09-18 1999-11-09 Magnetic Bearing Technologies, Inc. Magnetically levitated robot and method of increasing levitation force
JP3947761B2 (ja) 1996-09-26 2007-07-25 株式会社日立国際電気 基板処理装置、基板搬送機および基板処理方法
US5944475A (en) * 1996-10-11 1999-08-31 Asyst Technologies, Inc. Rotated, orthogonal load compatible front-opening interface
US5998889A (en) * 1996-12-10 1999-12-07 Nikon Corporation Electro-magnetic motor cooling system
NO971605L (no) * 1997-04-08 1998-10-09 Ericsson Telefon Ab L M Anordning for forbedring av tilgjengelighet av tjenester i et kommunikasjonssystem
US5904101A (en) 1997-04-22 1999-05-18 Power Superconductor Applications Co., Inc. Auxiliary propulsion for magnetically levitated vehicle
US5894760A (en) 1997-06-12 1999-04-20 Brooks Automation, Inc. Substrate transport drive system
US6312525B1 (en) * 1997-07-11 2001-11-06 Applied Materials, Inc. Modular architecture for semiconductor wafer fabrication equipment
US6234737B1 (en) * 1997-07-22 2001-05-22 Richard C. Young Robotic container handler system
US6053687A (en) 1997-09-05 2000-04-25 Applied Materials, Inc. Cost effective modular-linear wafer processing
US6002840A (en) 1997-09-30 1999-12-14 Brooks Automation Inc. Substrate transport apparatus
US6235634B1 (en) * 1997-10-08 2001-05-22 Applied Komatsu Technology, Inc. Modular substrate processing system
US6011508A (en) 1997-10-31 2000-01-04 Magnemotion, Inc. Accurate position-sensing and communications for guideway operated vehicles
JPH11145215A (ja) 1997-11-11 1999-05-28 Mitsubishi Electric Corp 半導体検査装置およびその制御方法
US6101952A (en) 1997-12-24 2000-08-15 Magnemotion, Inc. Vehicle guidance and switching via magnetic forces
US6363109B1 (en) 1998-02-03 2002-03-26 Texas Instruments Incorporated Methods and device for estimating and correcting clipping in a discrete multi-tone communications system
KR100265287B1 (ko) * 1998-04-21 2000-10-02 윤종용 반도체소자 제조용 식각설비의 멀티챔버 시스템
EP1086485A2 (en) 1998-05-12 2001-03-28 Semitool, Inc. Process and manufacturing tool architecture for use in the manufacture of one or more metallization levels on a workpiece
US6206176B1 (en) * 1998-05-20 2001-03-27 Applied Komatsu Technology, Inc. Substrate transfer shuttle having a magnetic drive
US6517303B1 (en) 1998-05-20 2003-02-11 Applied Komatsu Technology, Inc. Substrate transfer shuttle
US6176668B1 (en) 1998-05-20 2001-01-23 Applied Komatsu Technology, Inc. In-situ substrate transfer shuttle
US6017820A (en) 1998-07-17 2000-01-25 Cutek Research, Inc. Integrated vacuum and plating cluster system
US6540896B1 (en) 1998-08-05 2003-04-01 Caliper Technologies Corp. Open-Field serial to parallel converter
US6145444A (en) 1998-12-16 2000-11-14 Wilkinson; Kerry E. Micro clean sealed tubular transporter apparatus
NL1010836C2 (nl) 1998-12-17 2000-06-23 O T B Engineering B V Oven voor het vervaardigen van zonnecellen.
US6481558B1 (en) 1998-12-18 2002-11-19 Asyst Technologies, Inc. Integrated load port-conveyor transfer system
JP2000286318A (ja) 1999-01-27 2000-10-13 Shinko Electric Co Ltd 搬送システム
DE19921244A1 (de) 1999-05-07 2000-11-16 Siemens Ag Anlage zur Bearbeitung von Wafern
US6191394B1 (en) 1999-05-19 2001-02-20 Tokyo Electron Ltd. Heat treating apparatus
JP4330703B2 (ja) 1999-06-18 2009-09-16 東京エレクトロン株式会社 搬送モジュール及びクラスターシステム
WO2000078651A1 (en) 1999-06-21 2000-12-28 Sri International Frictionless transport apparatus and method
WO2001002211A1 (en) 1999-07-02 2001-01-11 Magnemotion, Inc. System for inductive transfer of power, communication and position sensing to a guideway-operated vehicle
TW504941B (en) 1999-07-23 2002-10-01 Semiconductor Energy Lab Method of fabricating an EL display device, and apparatus for forming a thin film
DE19945648C2 (de) 1999-09-23 2001-08-02 Steag Hamatech Ag Vorrichtung zum Be- und Entladen von Substraten
JP2001128316A (ja) * 1999-10-28 2001-05-11 Murata Mach Ltd 有軌道台車システム
US6374748B1 (en) * 1999-10-28 2002-04-23 Murata Kikai Kabushiki Kaisha Tracking cart system
AU2425401A (en) 1999-11-23 2001-06-04 Magnemotion, Inc. Modular linear motor tracks and methods of fabricating same
US6364592B1 (en) 1999-12-01 2002-04-02 Brooks Automation, Inc. Small footprint carrier front end loader
US6271606B1 (en) * 1999-12-23 2001-08-07 Nikon Corporation Driving motors attached to a stage that are magnetically coupled through a chamber
JP3814453B2 (ja) * 2000-01-11 2006-08-30 キヤノン株式会社 位置決め装置、半導体露光装置およびデバイス製造方法
US6417537B1 (en) * 2000-01-18 2002-07-09 Micron Technology, Inc. Metal oxynitride capacitor barrier layer
EP1286851A4 (en) 2000-03-07 2003-05-28 J Kirston Henderson MAGNETIC FLOATING TRANSPORT SYSTEM
US6781524B1 (en) 2000-03-17 2004-08-24 Magnemotion, Inc. Passive position-sensing and communications for vehicles on a pathway
TW494523B (en) 2000-04-05 2002-07-11 Tokyo Electron Ltd Processing device
US6641350B2 (en) 2000-04-17 2003-11-04 Hitachi Kokusai Electric Inc. Dual loading port semiconductor processing equipment
US6297611B1 (en) 2000-07-06 2001-10-02 Genmark Automation Robot having independent end effector linkage motion
US20020061248A1 (en) 2000-07-07 2002-05-23 Applied Materials, Inc. High productivity semiconductor wafer processing system
JP2002064968A (ja) * 2000-08-21 2002-02-28 Nippon Thompson Co Ltd 可動コイル型リニアモータを内蔵したスライド装置
JP4753224B2 (ja) * 2000-08-22 2011-08-24 日本エー・エス・エム株式会社 ガスラインシステム
JP2002068476A (ja) 2000-08-29 2002-03-08 Anelva Corp 磁気搬送装置
US6962471B2 (en) 2000-10-26 2005-11-08 Leica Microsystems Jena Gmbh Substrate conveying module and system made up of substrate conveying module and workstation
NL1016733C2 (nl) 2000-11-29 2002-05-31 Otb Group Bv Transportinrichting geschikt voor het transporteren van ringvormige producten.
US6570273B2 (en) * 2001-01-08 2003-05-27 Nikon Corporation Electric linear motor
AU2002258579A1 (en) 2001-03-26 2002-10-08 James Russell Powell Electrical power storage and delivery using magnetic levitation technology
US6852194B2 (en) 2001-05-21 2005-02-08 Tokyo Electron Limited Processing apparatus, transferring apparatus and transferring method
US20020182036A1 (en) 2001-06-04 2002-12-05 Applied Materials, Inc. Semiconductor wafer handling robot for linear transfer chamber
US6752585B2 (en) * 2001-06-13 2004-06-22 Applied Materials Inc Method and apparatus for transferring a semiconductor substrate
JP4821074B2 (ja) 2001-08-31 2011-11-24 東京エレクトロン株式会社 処理システム
CN1996552B (zh) 2001-08-31 2012-09-05 克罗辛自动化公司 晶片机
US6983701B2 (en) 2001-10-01 2006-01-10 Magnemotion, Inc. Suspending, guiding and propelling vehicles using magnetic forces
US6719517B2 (en) * 2001-12-04 2004-04-13 Brooks Automation Substrate processing apparatus with independently configurable integral load locks
JP4389424B2 (ja) 2001-12-25 2009-12-24 東京エレクトロン株式会社 被処理体の搬送機構及び処理システム
KR100480333B1 (ko) 2002-04-08 2005-04-06 엘지.필립스 엘시디 주식회사 액정표시장치용 어레이기판과 그 제조방법
ES2341320T3 (es) 2002-04-22 2010-06-18 Vsl International Ag Metodo para impedir desplazamientos relativos transversales de un tubo y al menos un cable.
US6684794B2 (en) * 2002-05-07 2004-02-03 Magtube, Inc. Magnetically levitated transportation system and method
NL1020633C2 (nl) 2002-05-21 2003-11-24 Otb Group Bv Samenstel voor het behandelen van substraten.
US7988398B2 (en) 2002-07-22 2011-08-02 Brooks Automation, Inc. Linear substrate transport apparatus
CN1759051B (zh) 2002-07-22 2014-01-08 布鲁克斯自动化公司 衬底处理装置
US6952846B2 (en) 2002-08-30 2005-10-11 Regalo International, Llc. Mattress hugging bed rail
DE10251382A1 (de) 2002-11-01 2004-05-13 Siemens Ag Verfahren zur Betätigung einer Sperrklinke in einem Schloss mit Drehfalle für ein Kraftfahrzeug
DE10351716B4 (de) 2002-11-06 2005-04-14 Richard Bergner Verbindungstechnik Gmbh & Co. Kg Trägerteil mit Fixierbolzen
US7380946B1 (en) 2003-01-28 2008-06-03 Pixelworks, Inc. Semiautomatic keystone correction system and method
JP4363064B2 (ja) * 2003-03-07 2009-11-11 株式会社安川電機 真空内駆動装置およびこれを用いた基板搬送装置
KR20050020138A (ko) 2003-08-21 2005-03-04 삼성전자주식회사 반송 시스템
US7458763B2 (en) 2003-11-10 2008-12-02 Blueshift Technologies, Inc. Mid-entry load lock for semiconductor handling system
US7422406B2 (en) 2003-11-10 2008-09-09 Blueshift Technologies, Inc. Stacked process modules for a semiconductor handling system
CN101578700B (zh) 2006-08-18 2012-11-14 布鲁克斯自动化公司 容量减少的载物台,传送,装载端口,缓冲系统
CN101501774A (zh) 2006-12-28 2009-08-05 松下电器产业株式会社 信息记录介质评价方法、信息记录介质、信息记录介质的制造方法、信号处理方法、访问控制装置
JP5176416B2 (ja) 2007-07-20 2013-04-03 コニカミノルタビジネステクノロジーズ株式会社 文書処理装置、文書処理方法、および文書処理プログラム
JP5090383B2 (ja) 2009-01-21 2012-12-05 アルプス電気株式会社 光モジュール

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4624617A (en) * 1984-10-09 1986-11-25 David Belna Linear induction semiconductor wafer transportation apparatus
US5417537A (en) * 1993-05-07 1995-05-23 Miller; Kenneth C. Wafer transport device
CN1258093A (zh) * 1998-11-06 2000-06-28 佳能株式会社 样品处理系统
US6540869B2 (en) * 2000-06-02 2003-04-01 Tokyo Electron Limited Semiconductor processing system

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
同上.

Also Published As

Publication number Publication date
KR20070065423A (ko) 2007-06-22
WO2006042273A1 (en) 2006-04-20
US7988398B2 (en) 2011-08-02
US8827617B2 (en) 2014-09-09
JP2008516457A (ja) 2008-05-15
JP5065900B2 (ja) 2012-11-07
US20110280693A1 (en) 2011-11-17
EP1805792A4 (en) 2009-07-08
KR100951680B1 (ko) 2010-04-07
US20050105991A1 (en) 2005-05-19
KR20100017469A (ko) 2010-02-16
KR101110207B1 (ko) 2012-02-15
US8371792B2 (en) 2013-02-12
CN101091241A (zh) 2007-12-19
EP1805792B1 (en) 2015-12-23
US20130230369A1 (en) 2013-09-05
EP1805792A1 (en) 2007-07-11

Similar Documents

Publication Publication Date Title
CN101091241B (zh) 衬底处理装置
CN1759051B (zh) 衬底处理装置
TWI486999B (zh) 基板處理裝置
US8602706B2 (en) Substrate processing apparatus
KR101387585B1 (ko) 통합식 웨이퍼 운반 기구
TWI637892B (zh) 基板處理系統
US20070183871A1 (en) Substrate processing apparatus
US20120014769A1 (en) Stacked process modules for a semiconductor handling system
US20090053016A1 (en) Mid-entry load lock for semiconductor handling system
CN105814677A (zh) 处理设备
KR20110098774A (ko) 기판 처리 장치

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
TR01 Transfer of patent right
TR01 Transfer of patent right

Effective date of registration: 20220322

Address after: Massachusetts

Patentee after: Borucos automation USA Co.,Ltd.

Address before: Massachusetts

Patentee before: Borukos automation Holding Co.,Ltd.

Effective date of registration: 20220322

Address after: Massachusetts

Patentee after: Borukos automation Holding Co.,Ltd.

Address before: Massachusetts

Patentee before: BROOKS AUTOMATION, Inc.