CN101241844A - 用于前段工艺制造的原地干洗腔 - Google Patents

用于前段工艺制造的原地干洗腔 Download PDF

Info

Publication number
CN101241844A
CN101241844A CNA2008100825653A CN200810082565A CN101241844A CN 101241844 A CN101241844 A CN 101241844A CN A2008100825653 A CNA2008100825653 A CN A2008100825653A CN 200810082565 A CN200810082565 A CN 200810082565A CN 101241844 A CN101241844 A CN 101241844A
Authority
CN
China
Prior art keywords
electrode
gas
substrate
supporting member
fluid
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CNA2008100825653A
Other languages
English (en)
Other versions
CN101241844B (zh
Inventor
C-T·高
J-P·周
C·赖
S·阿姆托艾
J·休斯顿
S·郑
M·张
X·袁
Y·张
X·陆
W·W·王
S-E·潘
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of CN101241844A publication Critical patent/CN101241844A/zh
Application granted granted Critical
Publication of CN101241844B publication Critical patent/CN101241844B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/02Pretreatment of the material to be coated
    • C23C14/021Cleaning or etching treatments
    • C23C14/022Cleaning or etching treatments by means of bombardment with energetic particles or radiation
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/50Substrate holders
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/54Controlling or regulating the coating process
    • C23C14/541Heating or cooling of the substrates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32357Generation remote from the workpiece, e.g. down-stream
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32522Temperature
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/32541Shape
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/32568Relative arrangement or disposition of electrodes; moving means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32853Hygiene
    • H01J37/32862In situ cleaning of vessels and/or internal parts
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/20Positioning, supporting, modifying or maintaining the physical state of objects being observed or treated
    • H01J2237/2001Maintaining constant desired temperature
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Abstract

本发明提供了一种用于前段工艺制造的原地干洗腔。本发明进一步提供了一种用于从衬底表面上去除天然氧化物的方法和装置。一方面,该腔包括一个腔体和一个至少部分布置在腔体内并适合在其上支撑衬底的支撑装置。该支撑装置包括至少部分在其中形成并能冷却衬底的一个或多个流体通道。该腔进一步包括布置在腔体上表面的盖装置。该盖装置包括在其间限定了等离子空腔的第一电极和第二电极,其中第二电极适合连接地加热衬底。

Description

用于前段工艺制造的原地干洗腔
本申请是于2005年2月25日提交的名称为“用于前段工艺制造的原地干洗腔”的中国专利申请200510056532.8的分案申请。
技术领域
本发明的实施方式一般涉及半导体处理设备。更具体地,本发明的实施方式涉及用于半导体制造的化学气相沉积(CVD)系统和使用该系统的原地干洗方法。
背景技术
当衬底表面暴露在氧气中时,一般会形成天然氧化物。在大气条件下,将衬底在处理腔之间移动时或者当残留在真空腔中的少量氧接触衬底表面时会发生氧气暴露(oxygen exposure)。如果衬底表面在蚀刻过程中被污染,那么也可能产生天然氧化物。天然氧化物一般在衬底表面上形成一个不希望有的薄膜。尽管天然氧化物薄膜通常非常薄(例如在5至20埃之间),但是其厚度已经足以在后续的制造过程中形成困难。
这种困难通常会对在衬底上所形成的半导体器件的电性能造成影响。例如,当天然氧化硅薄膜形成在暴露的含硅层上时,会出现一个特定问题,尤其是在金属氧化物硅场效应晶体管(Metal Oxide SiliconField Effect Transistor,MOSFET)结构的加工过程中。氧化硅薄膜是电绝缘的,因此在与接触电极或互连电路径(electrical pathways)的界面处是不希望有的,因为它们导致高的电接触电阻。在MOSFET结构中,电极和互连电路径包括硅化物层,其是通过在裸硅上沉积难熔金属以及对该层进行退火以产生金属硅化物层而形成的。在衬底和金属之间的界面处的天然氧化硅薄膜,通过阻止形成金属硅化物的扩散化学反应来降低硅化物层的成分均匀性。这导致较低的衬底产量,并且由于电接触处过热而提高了故障率。天然氧化硅薄膜还阻止了随后沉积在衬底上的其它CVD或溅射层的粘合。
已尝试用溅射蚀刻工艺来减少纵横比小于约4∶1的大部件或小部件中的污染物。但是,溅射蚀刻工艺会由于物理轰击而破坏精密的硅层。对应地,还尝试了例如使用氢氟酸(HF)和去离子水的湿法蚀刻工艺。但是,诸如这类的湿法蚀刻工艺对于当前纵横比超过4∶1的较小器件上是不利的,尤其是在纵横比超过10∶1时。特别地,湿法溶液不能渗透入形成在衬底表面内的这些尺寸的微通路(vias)、触点或其它部件。因此,天然氧化物膜的去除是不完全的。同样,湿法蚀刻溶液即使能成功渗透这种尺寸的部件,但当蚀刻完成时,则更难于从该部件中去除湿法蚀刻溶液。
另一去除天然氧化物膜的方法是干法蚀刻工艺,如使用含氟气体的工艺。但是,使用含氟气体的一个缺点是氟一般残留在衬底表面上。残留在衬底表面上的氟原子或氟基可能是有害的。例如,遗留的氟原子可继续蚀刻衬底,从而导致其中有空隙。
最近的去除天然氧化物膜的方法是在衬底表面上形成一种含氟/硅盐,随后可通过热退火将其去除。在这种方法中,通过使含氟气体与氧化硅表面反应从而形成该盐的一个薄层。然后将该盐加热至足够高的高温,以将该盐分解成挥发性副产物,然后从处理腔中去除该副产物。反应性含氟气体的形成通常借助于热加成(thermal addition)或等离子能。该盐通常在降低的温度下形成,这需要对衬底表面进行冷却。这种先进行冷、然后进行加热的顺序,通常是通过将衬底从衬底一个冷却腔中转移到一个独立的退火腔或炉中来实现的,衬底在该冷却腔中被冷却,在该退火腔或炉中被加热。
出于各种原因,这种反应性氟处理顺序是不理想的。也就是说,由于转移晶片所耗费的时间,使晶片产量大大降低。另外,晶片在转移过程中非常易于遭受另外的氧化或其它污染。此外,由于需要两个独立的腔来完成氧化物去除处理,因此使用户的成本加倍。
因此,需要一种处理腔,其能够遥控等离子产生、加热和冷却,从而能够在单个腔内完成单个干法蚀刻过程(即原地(in-situ))。
发明内容
本发明提供了一种用于从衬底表面上去除天然氧化物的处理腔。一方面,该腔包括一个腔体和一个支撑装置,该支撑装置至少部分地被布置在该腔体内部,并且适于在该支撑装置上支撑一个衬底。该支撑装置包括一个或多个至少部分地形成在其中的流体通道,该流体通道能够提供流体来冷却该衬底。该腔进一步包括一个布置在该腔体上表面的盖装置(lid assembly)。该盖装置包括一个第一电极和一个第二电极,在该第一电极和该第二电极之间限定了一个等离子空腔,其中该第二电极被加热并适于连接地(connectively)加热衬底。
本发明还提供了一种用于从衬底表面蚀刻天然氧化物的方法。一方面,该方法包括将一个待处理的衬底装载入一个处理腔内,该处理腔包括一个腔体和一个支撑装置,该支撑装置至少部分地被布置在该腔体内部,并且适于在该支撑装置上支撑一个衬底。该支撑装置包括一个或多个至少部分地形成在其中的流体通道,该流体通道能够提供流体来冷却该衬底。该腔进一步包括一个布置在该腔体上表面的盖装置。该盖装置包括一个第一电极和一个第二电极,在该第一电极和该第二电极之间限定了一个等离子空腔,其中该第二电极被加热并适于连接地加热衬底。
该方法进一步包括在该等离子空腔内产生反应性气体的等离子,通过使传热介质流过该支撑装置的该一个或多个流体通道来冷却该衬底,使该反应性气体通过第二电极流到该衬底表面,利用该反应性气体来蚀刻该衬底表面,通过施加电力到一个与第二电极接触的加热元件来加热第二电极,以及通过将该支撑装置紧密靠近该被加热的第二电极来利用该被加热的第二电极对该衬底进行加热。
附图说明
为了能详细理解本发明上述特征的方式,因而结合实施方式对上面简要概括的本发明进行更具体的描述,其中部分实施方式示于附图中。但是应注意到,附图仅示出了本发明的典型实施方式,因此不能被认为是限制其范围的,本发明可允许其它等效的实施方式。
图1A示出了一个用于加热、冷却和蚀刻的示例性处理腔100的局部剖面图。
图1B示出了一个布置在图1A处理腔内部的示例性衬套的放大示意图。
图2A示出了一个可布置在图1A所示腔体上端的示例性盖装置的放大剖面图。
图2B和2C示出了图2A中气体分布板的放大示意图。
图3A示出了一个至少部分布置在图1A腔体112内部的示例性支撑装置的局部剖面图。
图3B示出了图3A中示例性支撑装置300的放大局部剖面图。
图4A示出了另一个示例性盖装置400的示意性剖面图。
图4B示出了图4A中上部电极的放大的示意性局部剖面图。
图4C示出了使用图4A的盖装置400的示例性处理腔100的局部剖面图。
图5A-图5H是用于形成示例性有源电子器件(例如MOSFET结构)的制造顺序的示意性剖面图。
图6是适合进行多种处理操作的示例性多腔处理系统的的示意图。
具体实施方式
本发明提供了一种用于任意数量衬底处理技术的处理腔。该处理腔特别地用于实现既需要加热衬底表面又需要冷却衬底表面而不破坏真空的等离子辅助干法蚀刻工艺。例如,可预料到本文描述的处理腔非常适合于从衬底表面去除氧化物和其它污染物的前段工艺(FrontEnd Of Line,FEOL)清洁腔。
本文使用的“衬底表面”是指,可在其上进行处理的任何衬底表面。例如,衬底表面可包括硅、氧化硅、掺杂硅、锗、砷化镓、玻璃、蓝宝石和任何其它材料,例如金属、金属氮化物、金属合金和其它导电材料,这取决于具体应用。衬底表面还可包括介电材料如二氧化硅、有机硅酸盐和碳掺杂的硅氧化物。衬底本身不限于任何特定的尺寸或形状。一方面,术语“衬底”是指具有200mm直径或300mm直径的圆形晶片。另一方面,术语“衬底”是指任何多边形、方形、矩形、曲线形或其它非圆形工件,例如在平板显示器制造中使用的玻璃衬底。
图1A是一个局部剖面图,其示出了一个示例性的处理腔100。在一个实施方式中,处理腔100包括腔体112、盖装置200和支撑装置300。盖装置200布置在腔体112的上端,支撑装置300至少部分布置在腔体112的内部。例如,处理腔100和相关部件优选由一种或多种工艺相容(process-compatible)的材料形成,例如铝、阳极氧化铝、镀镍铝、镀镍铝6061-T6、不锈钢,以及它们的组合和合金。
腔体112包括一个在其侧壁中形成的槽阀开口160,以提供进入处理腔100内部的通道。选择性地打开和关闭槽阀开口160以允许通过晶片操作机器人(未示出)访问(access)腔体112的内部。晶片操作机器人是本领域技术人员公知的,可以使用任何合适的机器人。例如,在1990年8月28日签发的题为“Multi-chamber Integrated ProcessSystem”的普通转让美国专利4951601中描述了一种典型的机器人转移装置,在此通过引入将其全部内容并入。在一个实施方式中,晶片可通过槽阀开口160被传送进和传送出处理腔100到一个邻近的转移腔和/或装载锁定腔,或在集群工具(cluster tool)内的另一个腔。在1993年2月16日签发的题为“Staged-Vacuum Wafer Processing Systemand Method”的普通转让美国专利5186718中描述了可连接到处理腔100的一类集群工具,在此通过引入将其并入。
在一个或多个实施方式中,腔体112包括一个通道113,其形成于腔体112中,用于流过传热流体。传热流体可以是某种加热流体或某种冷却剂,用于在处理和衬底转移过程中控制腔体112的温度。腔体112的温度对防止不需要的气体或副产物在腔壁上的凝结至关重要。典型的传热流体包括水、乙二醇或它们的混合物。典型的传热流体还可包括氮气。
腔体112可进一步包括环绕支撑装置300的衬套133。衬套133优选是可拆卸的以便于维护和清洁。衬套133可由诸如铝或陶瓷材料之类的金属制成。但是,衬套133可以是任何工艺相容的材料。可对衬套133进行珠光处理(bead blast)以增强任何沉积在其上的材料的粘合力,从而防止导致处理腔100污染的材料的剥落。在一个或多个实施方式中,衬套133包括一个或多个孔135和一个在其中形成的与真空系统流体连通的抽气通道129。孔135为气体提供到抽气通道129的流动途径,其为处理腔100内部的气体提供出口。
真空系统可包括真空泵125和调节通过处理腔100的气体流量的节流阀127。真空泵125连接到布置在腔体112上的真空端口131上,从而与衬套133内所形成的抽气通道129流体连通。术语“气体”和“多种气体”除非另有说明否则是互换使用的,并涉及一种或多种前体、反应物、催化剂、载体、净化气、清洁气、它们的组合,以及任何其它引入到腔体112内的流体。
更详细地观察衬套133,图1B示出了衬套133一个实施方式的放大示意图。在这个实施方式中,衬套133包括上部133A和下部133B。在衬套133内部形成一个与布置在腔体112侧壁上的槽阀开口160对准的孔133C,以使衬底能进入腔体112和从中取出。典型地,抽气通道129形成在上部133A内部。上部133A还包括一个或多个穿过其中形成的孔135,以为气体提供进入抽气通道129的出入口(passageways)或流动途径。
参考图1A和图1B,孔135使得抽气通道129与腔体112内部的处理区域140流体连通。处理区域140由盖装置200的底面和支撑装置300的顶面限定,并被衬套133环绕。孔135可以是大小均匀的,并可以在衬套133周围均匀地间隔开。但是,可使用任意数量、位置、大小或形状的孔,每一个设计参数可根据气体穿过衬底接受表面的所需流动模式而改变,这在下面更详细地讨论。另外,孔135的尺寸、数量和位置被配置成使离开处理腔100的气体的均匀流动。此外,孔尺寸和位置可被配置成提供快速或大容量抽气来帮助气体从腔100快速排出。例如,靠近真空端口131的孔135的数量和尺寸可小于远离真空端口131的孔135的尺寸。
仍然参考图1A和图1B,衬套133的下部133B包括一个布置在其中的流动途径或真空通道129A。真空通道129A与上述真空系统流体连通。真空通道129A还通过在衬套133外径中形成的凹口或端口129B与抽气通道129流体连通。通常,在上部133A和下部133B之间,两个气体端口129B(在此图中只示出一个)形成在衬套133外径中。气体端口129B在抽气通道129和真空通道129A之间提供流动途径。每个端口129B的尺寸和位置是要设计的问题,并由所需薄膜的化学计算法、正形成的器件的几何形状、处理腔100的容量以及连接其上的真空系统的能力来确定。典型地,端口129B彼此相对或在衬套133外径周围隔开180度排列。
操作时,离开处理腔100的一种或多种气体通过穿过衬套133上部133A形成的孔135流入到抽气通道129。然后气体在抽气通道129内流动并通过口129B进入真空通道129A。气体通过真空端口131离开真空通道129A进入到真空泵125。
更详细地观察盖装置200,图2A示出了一个可被布置在图1A所示腔体112上端处的示例性盖装置200的放大剖面图。参考图1A和图2A,盖装置200包括大量的在彼此顶部堆叠的部件,如图1A所示。在一个或多个实施方式中,盖装置200包括盖缘210、气体传送装置220和顶板250。气体传送装置220连接到盖缘210的顶面上,并被排列成与盖缘210的热接触最小。盖装置200的部件优选由具有高热导率和低热阻的材料构造成,例如具有高度精加工表面的铝合金。优选地,该部件的热阻小于约5×10-4m2K/W。盖缘210被设计成支撑构成盖装置200的部件的重量,并经由铰接装置(在此图中未示出)连接到腔体112的顶面以提供访问内部腔部件(例如支撑装置300)的途径。
参考图2B和图2C,气体传送装置220可包括分布板或喷淋头225。图2B示出了示例性气体分布板225的一个实施方式的放大示意图,图2C示出了局部剖面图。在一个或多个实施方式中,分布板225是基本圆盘状的,并包括多个孔225A或出入口以对通过其中的气流进行分配。分布板225的孔225A通过减速和重新定向流动气体的速度分布图来防止流过盖装置200的气体直接与下面的衬底表面碰撞。分布板225的孔225A还均匀分配离开盖装置200的气体流,从而提供气体沿衬底表面的均匀分布。
参考图2A、图2B和图2C,分布板225进一步包括在其周边处形成的环形固定法兰222,其大小被设计成可搁置在盖缘210上。因此,分布板225与盖装置200有最小的接触。优选地,O环型密封224(例如弹性体O形环)至少部分布置在环形固定法兰222内部,以确保与盖缘210流体密封接触。
气体传送装置220可进一步包括一个靠近分布板225布置的折流装置(blocker assembly)230。折流装置230提供气体到分布板225后部的均匀分布。优选地,折流装置230由铝合金制成,并可拆卸地连接到分布板225上以确保良好的热接触。例如,可使用螺栓221或类似的紧固件将折流装置230连接到分布板225上。优选地,折流装置230与盖缘210没有热接触,如图2A所示。
在一个或多个实施方式中,折流装置230包括一个固定到第二折流板(blocker plate)235的第一折流板233。第二折流板235包括通过其中形成的通路259。优选地,通路259位于并贯穿第二折流板235的中心,使得通路259与由顶板250的底面和第二折流板235的顶面限定的第一空腔或容积261流体连通。通路259还与由第二折流板235的底面和第一折流板233的顶面限定的第二空腔或容积262流体连通。通路259还与由第一折流板233的底面和分布板255的顶面限定的第三空腔或容积263流体连通。通路259连接到气体入口223。气体入口223在其第一端连接到顶板250上。尽管未示出,气体入口223在其第二端连接到一个或多个上游气源和/或其它气体传送部件(例如气体混合器)上。
第一折流板233包括在其中形成的多个出入口233A,出入口233A适合将从通路259流动的气体分散到气体分布板225的。尽管出入口233A被示为环形或圆形,但出入口233A可为方形、矩形或任何其它形状。可设计出入口233A的大小并在折流板233周围定位,以提供沿衬底表面的受控和均匀的流量分布。如上所述,第一折流板233可容易地从第二折流板235和分布板225上拆下,以有利于这些部件的清洗或更换。
使用时,将一种或多种处理气体通过气体入口223引入到气体传送装置220。该处理气体流入第一容积261,并通过第二折流板235的通路259进入第二容积262。然后,该处理气体通过第一折流板233的孔233A分配到第三容积263,并进一步通过分布板225的孔225A分配,直到气体遇到布置在腔体112内的衬底的暴露表面。
一般使用气体供应板(未示出)向处理腔100提供一种或多种气体。所使用的特定气体取决于在腔100内进行的处理。示例性气体可包括但不限于一种或多种前体、还原剂、催化剂、载体、净化气、清洁气、或它们的任意混合物或组合。典型地,引入到处理腔100的一种或多种气体通过入口223流入到盖装置200,然后通过气体传送装置220进入到腔体112。可使用电控阀(electronically operated valve)和/或流量控制装置(未示出)控制从气体供应源到处理腔100的气体流量。根据具体处理工艺,可传送任意数量的气体到处理腔100,并可在处理腔100中或在气体被传送到处理腔100前混合,例如在气体混合器(未示出)内。
仍然参考图1A和图2A,盖装置200可进一步包括电极240,以在盖装置200内产生反应性组分(reactive species)的等离子。在一个实施方式中,电极240被支撑在顶板250上并与其电绝缘。例如,可在电极240下部周围布置一个将电极240与顶板250分开的绝缘衬环241,如图2A所示。还可在绝缘衬环241的外表面周围布置环形绝缘体242。然后可在电极240的上部周围布置环形绝缘体243,以便电极240与顶板250和盖装置200的所有其它部件电绝缘。这些环241、242、243中的每一个可由氧化铝或任何其它绝缘的工艺相容的材料制成。
在一个或多个实施方式中,电极240连接到电源(未示出),而气体传送装置220接地(即气体传送装置220用作电极)。因此,可在电极240(“第一电极”)和气体传送装置220(“第二电极”)之间,在容积261、262和/或263中产生一种或多种处理气体的等离子。例如,等离子可被触发并包含在电极240和折流装置230之间。或者,在没有折流装置230时,等离子可被触发并包含在电极240和分布板225之间。在这两个实施方式中,等离子都很好地被限制或包含在盖装置200内。因此,等离子为“远程等离子”,因为没有反应性等离子与布置在腔体112内的衬底直接接触。因此,因为等离子与衬底表面充分分开,避免了等离子对衬底的破坏。
可使用任何能够将气体激发成反应性组分并保持反应性组分等离子的能源。例如,可使用射频(RF)、直流电(DC)或基于微波(MW)的放电技术。还可通过基于热的技术、气体击穿技术、高强度光源(如UV能)或暴露于X射线源产生激发。或者,可使用远程激发源(例如远程等离子发生器)产生反应性组分的等离子,其然后被传送到腔100内。典型的远程等离子发生器可从供应商(例如MKS Instruments,Inc.和Advanced Energy Industries,Inc)处得到。优选地,RF电源连接到电极240。
参考图2A,可根据处理气体和在处理腔100内进行的操作来加热气体传送装置220。在一个实施方式中,可将加热元件270(例如电阻加热器)连接到分布板225上。在一个实施方式中,加热元件270为管状元件,并被压进分布板225的上表面中,更详细地如图2B和图2C所示。
参考图2B和图2C,分布板225的上表面包括一个宽度比加热元件270的外径稍小的凹槽或凹通道,从而使加热元件270可利用干涉配合固定在凹槽中。加热元件270调节气体传送装置220的温度,因为传送装置220的部件(包括分布板225和折流装置230)各自传导地彼此连接。连接到分布板225的热电偶272可帮助温度调节。可在反馈回路中使用热电偶272控制从电源施加到加热元件270的电流,从而使气体传送装置220温度可被保持或控制在所需的温度或在所需的温度范围内。因为气体传送装置220与盖装置200的其它部件具有最小的热接触并因而限制了热传导,如上所述,因此可帮助控制气体传送装置220温度。
在一个或多个实施方式中,盖装置200可包括一个或多个在其中形成的流体通道202,其用于传热介质流动以为气体传送装置220提供温度控制。在一个实施方式中,流体通道202可在盖缘210内形成,如图2A所示。或者,流体通道202可在盖装置200的任何部件内形成,以为气体传送装置220提供均匀的传热。流体通道202可包含加热或冷却介质以控制气体传送装置220的温度,这取决于腔100内的具体处理要求。可使用任何传热介质,例如氮气、水、乙二醇或它们的混合物。
在一个或多个实施方式中,可使用一个或多个加热灯(未示出)加热气体传送装置220。典型地,加热灯被排列在分布板225上表面的周围以通过辐射加热分布板225。
图3A示出了一个示例性支撑装置300的局部剖面图。支撑装置300可至少部分地被布置在腔体112内。支撑装置300可包括一个支撑构件310,其支撑一个要在腔体112内进行处理的衬底(在此图中未示出)。支撑构件310可通过轴314连接到提升机构330上,轴314通过在腔体112底面中形成的位于中心的孔114延伸。可通过能防止轴314周围真空泄漏的波纹管333将提升机构330柔性地密封到腔体112上。提升机构330允许支撑构件310在腔体112内在处理位置和较低的转移位置之间垂直移动。转移位置稍微低于在腔体112侧壁中形成的槽阀开口160。
图3B示出了图3A所示支撑装置300的放大局部横截面。在一个或多个实施方式中,支撑构件310具有一个用于在其上支撑待处理衬底的平的圆形表面或基本平的圆形表面。支撑构件310优选由铝制成。支撑构件310可包括由一些其它材料(例如硅或陶瓷材料)制成的可拆卸顶板311,例如以减少衬底的背面污染。
在一个或多个实施方式中,支撑构件310或顶板311可包括多个排列在其上表面的扩展部分或凹窝311A。在图3B中,凹窝311A被示于顶板311的上表面上。可预想到如果不需要顶板311,则凹窝311A也可排列在支撑构件310的上表面上。凹窝311A提供衬底下表面和支撑装置300(即支撑构件310或顶板311)的支撑表面之间的最小接触。
在一个或多个实施方式中,可使用真空卡盘或吸盘(chuck)将衬底(未示出)固定到支撑装置300上。顶板311可包括多个孔312,其与形成在支撑构件310中的一个或多个槽316流体连通。槽316经由布置在轴314和支撑构件310内的真空管道313与真空泵(未示出)流体连通。在某些条件下,可使用真空管道313供应净化气到支撑构件310的表面,以在衬底未被放置在支撑构件310上的时候,防止沉积。真空管道313还可在处理过程中通过净化气以防止反应性气体或副产物接触衬底的背面。
在一个或多个实施方式中,可使用静电卡盘将衬底(未示出)固定到支撑构件310上。在一个或多个实施方式中,可利用机械夹具(未示出),例如常规夹圈,将衬底夹持在支撑构件310的适当位置上。
优选地,使用静电卡盘来固定衬底。典型的静电卡盘包括至少一种环绕电极(未示出)的介电材料,其可位于支撑构件310的上表面上或形成为支撑构件310的组成部分。该卡盘的电介质部分使卡盘电极与衬底和支撑装置300的其余部分电绝缘。
在一个或多个实施方式中,卡盘电介质的周边可稍微小于衬底的周边。换句话说,衬底稍微悬于卡盘电解质的周边上,从而使卡盘电解质保持被衬底完全覆盖,即使衬底在定位到卡盘上时偏离中心。确保衬底完全覆盖卡盘电介质,确保了衬底挡住卡盘不暴露于腔体112内的潜在腐蚀性或破坏性物质。
可通过独立的“卡盘”电源(未示出)提供操作静电卡盘的电压。卡盘电源的一个输出端子连接到卡盘电极上。另一输出端子一般接地,但或者可连接到支撑装置300的金属体部分。操作时,衬底被放置成与电介质部分接触,并在电极上施加直流电压产生静电吸力或偏压以将衬底附着到支撑构件310的上表面上。
仍然参考图3A和图3B,支撑构件310可包括一个或多个穿过其形成的孔323以容纳提升杆(lift pin)325。每个提升杆325一般由陶瓷或含陶瓷材料制成,并用于衬底装卸和运送。每个提升杆325可滑动地安装在孔323内。一方面,孔323衬有陶瓷套以帮助自由滑动提升杆325。提升杆325通过啮合布置在腔体112内的环形提升环320而在其各自的孔323内可移动。提升环320是可移动的,从而使提升杆325的上表面可在提升环320处于上部位置时位于支撑构件310的衬底支撑表面的上方。相反,提升杆325的下表面在提升环320处于下部位置时位于支撑构件310的衬底支撑表面的上方。因此,当提升环320从任意一个下部位置移动到上部位置时,每个提升杆325的部分在支撑构件310内穿过其各自的孔323。
当被活动时,提升杆325推压衬底的下表面,将衬底升起离开支撑构件310。相反,可使提升杆325不活动(de-activated)以降低衬底,从而将衬底搁置在支撑构件310上。提升杆325可包括扩大的上端或锥形头以防止提升杆325从支撑构件310上落下。其它杆设计也可被使用,而且是本领域技术人员所公知的。
在一个实施方式中,一个或多个提升杆325包括布置在其上的由防滑或高摩擦材料制成的涂层或附件以防止衬底在被支撑到其上时滑动。优选的材料为不会划伤或破坏衬底背面以至在处理腔100内产生污染的高温聚合材料。优选地,涂层或附件是可从DuPont处获得的KALREZTM涂层。
为了驱动提升环320,通常使用传动装置,例如常规气压缸或步进电动机(未示出)。步进电动机或气压缸驱动提升环320到上或下位置,其又驱动升高或降低衬底的提升杆325。在一种具体的实施方式中,衬底(未示出)通过三个提升杆325(在此图中未示出)被支撑到支撑构件310上,三个提升杆325以大约120度分散开并从提升环320突出。
再次参考图3A,支撑装置300可包括一个布置在支撑构件310周围的边缘环305。边缘环305可由各种材料(例如陶瓷、石英、铝和钢等等)制成。在一个或多个实施方式中,边缘环305是一个环形构件,其适合盖住支撑构件310的外周,保护支撑构件310免受沉积。边缘环305可位于或靠近支撑构件310,以在支撑构件310的外径和边缘环305的内径之间形成环形净化气通道334。环形净化气通道334可与穿过支撑构件310和轴314形成的净化气管道335流体连通。优选地,净化气管道335与净化气供应源(未示出)流体连通,以为净化气通道334提供净化气。可单独或组合使用任何合适的净化气,例如氮气、氩气或氦气。操作时,净化气流过管道335,进入净化气通道334,并流到布置在支撑构件310上的衬底边缘附近。因此,净化气在操作时与边缘环305共同防止衬底的边缘和/或背部的沉积。
再次参考图3A和图3B,利用通过嵌入在支撑构件310主体中的流体通道360循环的流体控制支撑装置300的温度。在一个或多个实施方式中,流体通道360与通过支撑装置300的轴314布置的传热管道361流体连通。优选地,流体通道360位于支撑构件310周围以为支撑构件310的衬底接受表面提供均匀传热。流体通道360和传热管道361可流动传热流体以加热或冷却支撑构件310。可使用任何合适的传热流体,例如水、氮气、乙二醇或它们的混合物。支撑装置300可进一步包括一个监测支撑构件310支撑表面的温度的嵌入式热电偶(未示出)。例如,可在反馈回路中使用来自热电偶的信号,对通过流体通道360而循环的流体的温度或流速进行控制。
回过来参考图3A,支撑构件310可在腔体112内垂直移动,从而可控制支撑构件310和盖装置200之间的距离。传感器(未示出)可提供有关腔100内支撑构件310的位置信息。1999年9月14日签发给Selyutin等人的题为“Self-Aligning Lift Mechanism”的美国专利号5951776中详细描述了用于支撑构件310的提升机构的例子,本文通过引用将其全部并入。
操作时,支撑构件310可被升高到紧靠盖装置200,以控制正被处理的衬底的温度。这样,可通过由加热元件270所控制的分布板225发出的辐射来加热衬底。或者,可使用通过提升环320活动的提升杆325,将衬底升高离开支撑构件310到靠近加热的盖装置200。
在超过使用周期后或在指定的定期检修时间时,可有规律地检测、更换或清洁处理腔100的某些部件(包括上文所述的那些部件)。这些部件一般为被共同称为“处理配件(process kit)”的部件。处理配件的示例性部件可包括但不限于,例如喷淋头225、顶板311、边缘环305、衬套133和提升杆325。一般在有规律间隔时或根据按需原则从腔100中拆下并清洗或更换这些部件中的任意一个或多个。
图4A示出了另一个示例性盖装置400的局部剖面图。盖装置400包括至少两个堆叠部件,这些堆叠部件被配置成在它们之间形成等离子容积或空腔。在一个或多个实施方式中,盖装置400包括一个垂直布置在第二电极450(“下部电极”)上方的第一电极410(“上部电极”),在电极之间限定出等离子容积或腔425。第一电极410连接到电源415例如RF电源,第二电极450接地,从而在两个电极410、450之间形成电容。
在一个或多个实施方式中,盖装置400包括一个或多个气体入口412(只示出一个),其至少部分在第一电极410的上段413内形成。一种或多种处理气体通过一个或多个气体入口412进入盖装置400。一个或多个气体入口412在其第一端与等离子空腔425流体连通,并在其第二端连接到一个或多个上游气源和/或其它气体传送部件如气体混合器上。一个或多个气体入口412的第一端可在扩展段420的内径430的最高点处通到等离子空腔425,如图4A所示。类似地,一个或多个气体入口412的第一端可在沿扩展段420的内径430的任意高度间隔处通到等离子空腔425。尽管未示出,可在扩展段420的相对侧布置两个气体入口412,以形成进入扩展段420的旋涡流模式或涡流,以帮助在等离子空腔425内混合气体。2001年12月21日提交的美国专利申请号20030079686提供了这种流型和气体入口排列的更详细描述,在此通过引入并入本文。
在一个或多个实施方式中,第一电极410具有容纳等离子空腔425的扩展段420。如图4A所示,扩展段420按如上所述与气体入口412流体连通。在一个或多个实施方式中,扩展段420为内表面或内径430从其上部420A到其下部420B渐增的环形构件。这样,第一电极410和第二电极450之间的距离是变化的。变化的距离有助于控制等离子空腔425内产生的等离子的形成和稳定性。
在一个或多个实施方式中,扩展段420像一个圆锥体或“漏斗”,如图4A和4B所示。图4B示出了图4A上部电极的放大的示意性局部剖面图。在一个或多个实施方式中,扩展段420的内表面430从扩展段420的上部420A到下部420B逐渐倾斜。内径430的斜率或角度可根据工艺要求和/或工艺限制而变化。扩展段420的长度或高度也可具体的工艺要求和/或限制而变化。在一个或多个实施方式中,内径430的斜率或扩展段420的高度或两者可根据需要处理的等离子的容积变化。例如,内径430的斜率可为至少1∶1,或至少1.5∶1,或至少2∶1,或至少3∶1,或至少4∶1,或至少5∶1,或至少10∶1。在一个或多个实施方式中,内径430斜率的范围可从下限2∶1到上限20∶1。
在一个或多个实施方式中,扩展段420可以是弯曲的或者是弧形的,尽管在图中未示出。例如,扩展段420的内表面430可是弯曲的或者是弧形的,以至是凸出的或凹入的。在一个或多个实施方式中,扩展段420的内表面430可具有多个各自倾斜、逐渐变细、凸出或凹入的段。
如上所述,第一电极410的扩展段420由于第一电极410的内表面430渐增而改变了第一电极410和第二电极450之间的垂直距离。这种可变距离与等离子空腔425内的功率级直接相关。不希望受理论约束,两个电极410、450之间的距离的变化应能使等离子得到必要的功率级以在等离子空腔425的某些部分维持自身,如果不能在整个等离子空腔425的话。因此等离子空腔425内的等离子较小地依赖于压力,从而能在较宽的操作窗口内产生并维持等离子。这样,可在盖装置400内形成重复性更高和更可靠的等离子。
第一电极410可由任何工艺相容的材料制成,例如铝、阳极氧化铝、镀镍铝、镀镍铝6061-T6、不锈钢以及它们的组合和合金。在一个或多个实施方式中,整个第一电极410或其部分镀有镍以减少不需要的粒子形成。优选地,至少扩展段420的内表面430镀镍。
第二电极450可包括一个或多个堆叠板。当需要两个或多个板时,板应彼此电连通。每个板应包括多个孔或气体通路以使来自等离子空腔425的一种或多种气体流过。
参考图4B,盖装置400可进一步包括绝缘环440,以将第一电极410与第二电极450电绝缘。绝缘环440可由氧化铝或任何其它绝缘的工艺相容材料制成。优选地,绝缘环440环绕或基本上环绕至少扩展段420,如图4B所示。
再次参考图4A所示的具体实施方式,第二电极450包括顶板460、分布板470和折流板480。顶板460、分布板470和折流板480被堆叠并被布置在与腔体112连接的盖缘490上,如图4B所示。本领域中公知的是,可使用铰接装置(未示出)将盖缘490连接到腔体112上。盖缘490可包括一个用于容纳传热介质的嵌入通道或通路492。传热介质可用于加热、冷却或两者,这取决于工艺要求,上面列出了示例性的传热介质。
在一个或多个实施方式中,顶板460包括多个形成于等离子空腔425下方的气体通路或孔465,以使气体从等离子空腔425通过其流动。在一个或多个实施方式中,顶板460可包括一个凹进部分462,其适合容纳第一电极410的至少一部分。在一个或多个实施方式中,孔465在凹进部分462下方通过顶板460的截面。顶板460的凹进部分462可为阶梯状,如图4A所示,以在其中提供更好的密封配合。此外,顶板460的外径可被设计成安装或搁置在分布板470的外径上,如图4A所示。O环型密封例如弹性体O环463,可至少部分布置在顶板460的凹进部分462内,以确保与第一电极410的密封接触。同样地,可使用O环型密封466提供顶板460和分布板470的外径之间的密封接触。
在一个或多个实施方式中,分布板470与上面结合图2A-图2C所示和所述的分布板225相同。特别地,分布板470基本上为圆盘状,并包括多个孔475或出入口以对通过其中的气流进行分配。孔475可被设计大小并被定位成在分布板470周围,以提供受控和均匀的流体分布到待处理衬底所在的腔体112。此外,孔475通过减速和重新定向流动气体的速度分布来防止气体直接在衬底表面上碰撞,以及均匀地分布气流提供气体沿衬底表面的均匀分布。
分布板470还包括一个在其外周边处形成的环形固定法兰472。固定法兰472的大小可被设计成能搁置在盖缘490的上表面上。O环型密封(例如弹性体O形环)可至少部分布置在环形固定法兰472内部,以确保与盖缘490密封接触。
在一个或多个实施方式中,分布板470包括一个或多个用于容纳加热器或加热流体的嵌入通道或通路474,以提供盖装置400的温度控制。类似于上面所述的盖装置200,可在通路474内插入电阻加热元件来加热分布板470。热电偶可连接到分布板470上调节其温度。如上所述,可在反馈回路中使用热电偶来控制施加到加热元件的电流。
或者,可使传热介质传过通路474。如果需要的话,一个或多个通路474可包含冷却介质,以更好地根据腔体112内的工艺要求控制分布板470的温度。如上所述,可使用任何传热介质,例如氮气、水、乙二醇或它们的混合物。
在一个或多个实施方式中,可使用一个或多个加热灯(未示出)来加热盖装置400。典型地,加热灯被排列在分布板470上表面的周围以通过辐射加热盖装置400的部件包括分布板470。
折流板480是任选的,并被布置在顶板460和分布板470之间。优选地,折流板480可拆卸地安装到顶板460的下表面上。折流板480应与顶板460有良好的热接触和电接触。在一个或多个实施方式中,折流板480可使用螺栓或类似的紧固件连接到顶板460上。折流板480还可拧到或旋到顶板460的外径上。
折流板480包括多个孔485以提供从顶板460到分布板470的多个气体通路。孔485可被设计大小并被定位在折流板480周围以提供分布板470的受控和均匀的流体分布。
图4C示出了上面布置有盖装置400的腔体112的局部剖面图。优选地,扩展段420位于支撑装置300正上方,如图4C所示。等离子空腔425内等离子的约束和约束等离子的中心位置,使分离气体均匀可重复地分布到腔体112中。特别地,离开等离子容积425的气体通过顶板460的孔465流到折流板480的上表面。折流板480的孔485分布气体到分布板470的背部,气体在接触腔体112内的衬底(未示出)前,在这里通过分布板470的孔475进一步被分布。
可以认为,等离子约束在等离子空腔425中心位置处和第一电极410和第二电极450之间的变化距离在盖装置400内产生了稳定可靠的等离子。
为简洁和易于描述,现描述在处理腔100内进行的使用氨气(NH3)和三氟化氮(NF3)气体混合物去除氧化硅的典型干法蚀刻工艺。可认为,对于从除了在单一处理环境中加热和冷却衬底之外,从等离子处理中受益的任何干法蚀刻工艺(包括退火处理),处理腔100是有利的。
参考图1,干法蚀刻工艺开始于将衬底(未示出)例如半导体衬底放置到处理腔100。一般通过槽阀开口160将衬底放到腔体112内,并布置在支撑构件310的上表面上。将衬底卡到或吸到(chuck)支撑构件310的上表面上,边缘净化气通过通道334。优选地,通过与经由管道313与真空泵流体连通的孔312和槽316吸真空将衬底吸到支撑构件310的上表面上。如果还未在处理位置,则升高支撑构件310到处理腔112内的处理位置。腔体112优选保持为50℃和80℃之间的温度,更优选在约65℃。通过经由流体通道113通入传热介质将腔体112保持在这种温度。
通过经由在支撑装置300内形成的流体通道360通入传热介质或冷却剂将衬底冷却至65℃以下,例如在15℃和50℃之间。在一个实施方式中,衬底被维持在室温以下。在另一实施方式中,衬底保持在22℃和40℃之间的温度。典型地,支撑构件310保持在约22℃以下,以达到上面指定的所需衬底温度。为冷却支撑构件310,使冷却剂通过流体通道360。为更好地控制支撑构件310的温度,优选连续的冷却剂流。冷却剂优选为50%体积的乙二醇和50%体积的水。当然,可使用任意比例的水和乙二醇,只要能保持所需的衬底温度。
然后,将氨和三氟化氮气体引入到腔100内形成清洁气体混合物。引入到腔内的每种气体的量是可变的,并可调整以适应例如要被去除的氧化物层的厚度、正被清洁的衬底的几何形状、等离子的容量、腔体112的容量以及连接到腔体112的真空系统的能力。一方面,加入气体提供氨和三氟化氮摩尔比为至少1∶1的气体混合物。另一方面,气体混合物的摩尔比为至少约3∶1(氨∶三氟化氮)。优选地,以从5∶1(氨∶三氟化氮)到30∶1的摩尔比将气体引入腔100中。更优选地,气体混合物的摩尔比为从约5∶1(氨∶三氟化氮)到10∶1。气体混合物的摩尔比还可在约10∶1(氨∶三氟化氮)和约20∶1之间。
还可将净化气或载气加入到气体混合物中。可使用任何合适的净化气/载气,如氩气、氦气、氢气、氮气或它们的混合物。典型地,全部气体混合物为约0.05%-20%体积的氨和三氟化氮。其余的为载气。在一个实施方式中,在反应性气体之前将净化气或载气首先引入到腔体112以稳定腔体112内的压力。
腔体112内的工作压力是可变的。典型地,压力保持在约500mTorr和约30Torr之间。优选地,压力保持在约1Torr和约10Torr之间。更优选地,腔体112内的工作压力保持在约3Torr和约6Torr之间。
从约5到约600瓦的RF功率被施加到电极240以在气体传送装置220内包含的容积261、262和263内引发气体混合物的等离子。优选地,RF功率小于100瓦。更优选的是施加功率的频率非常低,例如小于100kHz。优选地,频率在约50kHz到约90kHz的范围内。
等离子能量离解氨和三氟化氮气体成为反应性组分,它们在气相中化合形成高反应性的氟化氨(NH4F)化合物和/或氟化氢铵(NH4F·HF)。这些分子然后经由分布板225的孔225A流过气体传送装置220与要被清洁的衬底表面反应。在一个实施方式中,首先将载气引入到腔100中,产生载气的等离子,然后向等离子中加入反应性气体氨和三氟化氮。
不希望受理论束缚,认为蚀刻剂气体NH4F和/或NH4F·HF与氧化硅表面反应形成六氟硅酸胺(NH4)2SiF6、NH3和H2O产物。NH3和H2O在处理条件下为蒸汽,通过真空泵125从腔100去除。特别地,在气体通过真空端口131离开腔100到真空泵125前,挥发性气体通过衬套133中形成的孔135流入到抽气通道129。(NH4)2SiF6薄膜留在衬底表面上。这种反应机理可归结如下:
NF3+NH3→NH4F+NH4F·HF+N2
6NH4F+SiO2→(NH4)2SiF6+H2O
(NH4)2SiF6+热→NH3+HF+SiF4
当薄膜在衬底表面上形成后,将其上支撑有衬底的支撑构件310升高到紧靠着已加热的分布板225的退火位置。从分布板225辐射的热应该足以将(NH4)2SiF6薄膜离解或升华成挥发性的SiF4、NH3和HF产物。如上所述,这些挥发性产物然后通过真空泵125从腔100中去除。典型地,使用75℃或更高的温度有效地从衬底升华和去除薄膜。优选地,使用100℃或更高的温度,例如在约115℃和约200℃之间。
通过分布板225对流或辐射将(NH4)2SiF6薄膜离解成挥发性组分的热能。如上所述,加热元件270直接连接到分布板225上,并被启动以加热分布板225和与其热接触的部件到约75℃和250℃之间的温度。一个方面,分布板225被加热到100℃和150℃之间的温度,例如约120℃。
可利用各种方法实现高度变化。例如,提升机构330可向着分布板225的下表面升高支撑构件310。在这个升起步骤中,衬底被固定到支撑构件310上,例如通过上述的真空卡盘或静电卡盘。或者,可通过用提升环320升高提升杆325将衬底升起离开支撑构件310并放到紧靠已加热的分布板225的地方。
上面具有薄膜的衬底的上表面和分布板225之间的距离并不重要,仅是常规的试验问题。本领域的普通技术人员可容易地确定有效蒸发薄膜而不破坏下面的衬底所需的间隔。但是,可认为在约0.254mm(10密耳)和5.08mm(200密耳)之间的间隔是有效的。
一旦薄膜从衬底上去除,就净化并抽空该腔。然后通过降低衬底到转移位置、去掉衬底的卡盘和将衬底通过槽阀开口160转移来从腔体112取出清洁的衬底。
可使用一个系统控制器(未示出)来调节处理腔100的操作。系统控制器可在储存在计算机硬盘驱动器上的计算机程序的控制下运行。例如,计算机程序可规定处理的先后顺序和时间选择、气体混合物、腔压力、RF功率级、基座定位、槽阀开口打开和关闭、晶片冷却和其它特定的工艺参数。可通过CRT监视器和光笔(未示出)进行使用者和系统控制器之间的交互。在优选实施方式中,使用两个监视器,一个监视器装在操作人员的干净房间壁上,另一个监视器在服务技师的墙后面。还优选两个监视器同时显示相同的信息,但只有一个光笔可用。光笔利用笔顶端的光传感器检测CRT显示器发出的光。为了选择特定的屏幕或功能,操作人员可接触显示器屏幕的指定区域并按下笔上的按纽。显示器屏幕通常通过改变其外观即加亮或颜色或显示新的菜单或屏幕来确认光笔和被触区域的联系。
可使用在例如系统控制器上运行的计算机程序产品实施各种工艺。可用任何常规的计算机可读编程语言例如68000汇编语言、C、C++或Pascal来编写计算机程序代码。可使用常规的文本编辑器使适当的程序代码成为单一文件或多个文件,并储存或包含到计算机可用介质上,例如计算机的存储系统。如果输入的代码文本是高级程序语言,则编译代码,然后将得到的编译代码与预编译库程序的目标代码连接。为执行连接的编译目标代码,系统用户调用目标代码,使计算机系统将代码装入存储器,CPU从其读取并执行代码以实现程序中确定的任务。
图5A-图5H为使用干法蚀刻工艺和本文描述的处理腔100形成典型的有源电子器件例如MOSFET结构500的典型制造顺序的示意性剖面图。参考图5A-图5H,可在半导体材料(例如硅或砷化镓)衬底525上形成典型的MOSFET结构。优选地,衬底525为具有<100>结晶取向(crystallographic orientation)和150mm(6英寸)、200mm(8英寸)或300mm(12英寸)直径的硅晶片。典型地,MOSFET结构包括以下的组合:(i)介电层,例如二氧化硅、有机硅酸盐、碳掺杂的硅氧化物、磷硅酸盐玻璃(PSG)、硼磷硅酸盐玻璃(BPSG)、氮化硅或它们的组合;(ii)半导体层,例如掺杂多晶硅和n-型或p-型掺杂单晶硅;和(iii)由金属或金属硅化物层形成的电接触和互连线,例如钨、硅化钨、钛、硅化钛、硅化钴、硅化镍或它们的组合。
参考图5A,有源电子器件的制造开始于形成电绝缘结构,其将有源电子器件与其它器件电绝缘。有几种类型的电绝缘结构,通常如由McGraw-Hill Publishing Company出版(1988)的S.M.Sze的《VLSITechnology》第二版第11章中所述,此处通过引用将其并入本文。在一种形式中,首先在整个衬底525上生长出厚度为约2000埃的场效氧化层(未示出),去除部分氧化物层形成环绕暴露区域的场效氧化物阻挡层545A、545B,其中在暴露区域中形成器件的电有源元件。暴露区域被热氧化以形成厚度为约50至300埃的薄的栅极氧化物层550。然后沉积多晶硅层,制作布线图案,并蚀刻形成栅电极555。可再氧化多晶硅栅电极555的表面形成绝缘介电层560,从而提供图5A中所示的结构。
参考图5B,通过用适当的掺杂原子来掺杂适宜的区域以邻近地形成源极570A和漏极570B。例如,在p型衬底525上,使用n型掺杂剂种类包括砷或磷。典型地,通过离子注入器进行掺杂,并可包括例如在约30-80Kev能级下浓度为约1013原子/cm2的磷(31P),或在10Kev-100Kev能级下剂量为约1015-1017原子/cm2的砷(75As)。在注入过程后,通过加热衬底将掺杂剂驱赶到衬底525中,例如,在快速热处理(RTP)装置中。此后,通过常规的剥离工艺剥离覆盖源极区域570A和漏极区域570B的氧化物层550,以去除任何由注入过程引起并被捕获到氧化物层的杂质,从而提供图8B所示的结构。
参考图5C和图5D,使用SiH2、Cl2和NH3的混合气体通过低压化学气相沉积(LPCVD)在栅电极555和衬底525的表面上沉积氮化硅层575。然后使用反应离子蚀刻(RIE)技术蚀刻氮化硅层575以在栅电极555的侧壁上形成氮化物间隔区580,如图5D所示。间隔区580将形成在栅电极555表面上的硅化物层与沉积在源极570A和漏极570B上的其余硅化物层电绝缘。应注意电绝缘侧壁间隔区580和覆盖层可由其它材料(例如氧化硅)制成。用于形成侧壁间隔区580的氧化硅层一般在约600℃-约1000℃范围内的温度下由四乙氧基硅烷(TEOS)原料气通过CVD或PECVD沉积。
参考图5E,在处理前和处理后由于暴露在大气中而在暴露的硅表面上形成天然氧化硅层585。在栅极555、源极570A和漏极570B上形成导电金属硅化物触点前必须去除天然氧化硅层585以提高合金化反应和形成的金属硅化物的导电性。天然氧化硅层585会增加半导体材料的电阻,并对硅和随后沉积的金属层的硅化反应有不利影响。因此,必须在形成用于互连有源电子器件的金属硅化物触点或导体前,利用所述的干法蚀刻工艺去除这种天然氧化硅层。干法蚀刻工艺去除天然氧化硅层585以暴露出源极570A、漏极570B和栅电极555的顶面,如图5F所示。
此后,如图5G所示,使用PVD溅射工艺沉积金属层590。然后利用常规炉退火来对金属和硅层进行退火以在金属层590与硅接触的区域形成金属硅化物。退火一般是在单独的处理系统中进行。因此,可在金属590上沉积保护覆盖层(未示出)。覆盖层一般为氮化物材料,并可包括选自氮化钛、氮化钨、氮化钽、氮化nafnium和氮化硅的一种或多种材料。可通过任何沉积工艺沉积覆盖层,优选通过PVD。
退火一般包括在氮气中将衬底500加热至600℃和800℃之间的温度并保持约30分钟。或者,可利用将衬底500快速加热至约1000℃并保持约30秒的快速热退火处理形成金属氮化物595。合适的导电金属包括钴、钛、镍、钨、铂和任何其它具有低接触电阻并能在多晶硅和单晶硅上形成可靠金属氮化物触点的金属。
可通过使用王水(HCl和HNO3)的湿法蚀刻来去除金属层590的未反应部分,王水可以去除金属而不腐蚀金属氮化物595、间隔区580或场效氧化物545A、545B,从而在栅极555、源极570A和漏极570B上留下自动对准的金属硅化物触点595,如图5H所示。此后,可在电极结构上沉积绝缘覆盖层,包括例如氧化硅、BPSG或PSG。通过在CVD腔中化学气相沉积来沉积绝缘覆盖层,其中材料在低压或大气压力下由原料气凝结,如1996年3月19日签发的普通转让的美国专利5500249中所述,此处通过引用将其并入。此后,在玻璃转化温度下退火结构500形成光滑的平面。
在一个或多个实施方式中,处理腔100可集成到一个多处理平台中,例如可从位于Santa Clara,California的Applied Materials,Inc.得到的EnduraTM平台。这种处理平台能进行几种处理操作而不破坏真空。EnduraTM平台的细节描述在1999年11月30日提交的题为“IntegratedModular Processing Platform”的普通转让美国专利申请序列号09/451628中,此处通过引用将其并入。
图6是一个示例性多腔处理系统600的示意性顶视图。系统600可包括一个或多个用于转移衬底进出系统600的装载锁定腔602、604。典型地,由于系统600处于真空下,装载锁定腔602、604可“抽空(pumpdown)”引入到系统600的衬底。第一机器人610可在装载锁定腔602、604和第一组一个或多个衬底处理腔612、614、616、618(示出4个)之间转移衬底。每个处理腔612、614、616、618可被配备进行大量衬底处理操作,包括本文描述的干法蚀刻工艺,另外还有循环层沉积(CLD)、原子层沉积(ALD)、化学气相沉积(CVD)、物理气相沉积(PVD)、蚀刻、预清洁、除气、取向和其它衬底处理。
第一机器人610还可将衬底转进/转出到一个或多个转移腔622、624。转移腔622、624可被用来保持超高真空条件,同时使衬底在系统600内被转移。第二机器人630可在转移腔622、624和第二组一个或多个处理腔632、634、636、638之间转移衬底。类似于处理腔612、614、616、618,处理腔632、634、636、638可被配备进行各种衬底处理操作,包括本文描述的干法蚀刻工艺,另外还有例如循环层沉积(CLD)、原子层沉积(ALD)、化学气相沉积(CVD)、物理气相沉积(PVD)、蚀刻、预清洁、除气和取向。如果对于系统600要进行的具体工艺而言并不需要,那么可将衬底处理腔612、614、616、618、632、634、636、638中的任何一个从系统600去除。
用于形成图5A-图5H的MOSFET结构的示例性多处理系统600可包括如上所述的两个处理腔100、沉积金属500的两个物理气相沉积腔和沉积任选的覆盖层(未示出)的两个物理气相沉积腔。图6中所示的处理腔612、614、616、618、632、634、636、638中的任何一个代表PVD腔和/或处理腔100。
尽管描述了有关MOSFET器件形成的上述处理顺序,但也可使用本文描述的干法蚀刻工艺形成其它具有另外金属硅化物层如钨、钽、钼的硅化物的半导体结构和器件。在沉积不同的金属层包括例如铝、铜、钴、镍、硅、钛、钯、铪、硼、钨、钽或它们的混合物前还可应用清洁工艺。
为了更好地理解上文所讨论的内容,特提供下面的非限制性实施例。尽管该实施例是关于一个特定实施方式的,但不应认为该实施例在任何具体方面限制本发明。
实施例:
在蚀刻过程中,将2sccm(标准毫升/分)NF3、10sccm NH3和2500sccm氩气的气体混合物引入到腔。使用100W的功率激发气体混合物的等离子。底部净化气为1500sccm的氩气,边缘净化气为50sccm的氩气。腔压力保持在约6Torr,衬底温度为约22℃。衬底被蚀刻120秒。
在随后的退火过程中,间隔为750密耳,盖温度为120℃。衬底被退火约60秒。从衬底表面去除约50埃的材料。没有观察到退火效应。蚀刻速度为约0.46埃/秒(28/min)。对于50的蚀刻,观察到的蚀刻均匀性为约5%。
除非另外指明,在说明书和权利要求书中使用的表示成分、性质、反应条件等的量的数字都被认为是近似值。这些近似值基于本发明设法得到的所需性质和测量误差,并应至少按照报告的有效数字和通过应用普通舍入技术来分析。另外,可进一步优选本文表示的任何量包括温度、压力、间隔、摩尔、比例、流速等以获得所需的蚀刻选择性和粒子性能。
尽管前面涉及到本发明的实施方式,但只要不脱离本发明的基本范围和后面的权利要求所确定的范围,就可设计出本发明的其它或更多的实施方式。

Claims (60)

1.一种用于半导体处理的盖装置,其包括:
一个第一电极,其包括一个内径渐增的扩展段;和
与该第一电极相对布置的一个第二电极,其中一个等离子空腔被限定在该第一电极的扩展段的内径和该第二电极的第一表面之间。
2.根据权利要求1所述的盖装置,其中该第二电极包括一个加热元件,其被连接到一个热能源以加热该第二电极。
3.根据权利要求1所述的盖装置,进一步包括一个布置在该扩展段外径周围的绝缘环。
4.根据权利要求3所述的盖装置,其中该绝缘环和该第一电极的扩展段在该第二电极的第一表面中形成的凹进处配合。
5.根据权利要求1所述的盖装置,其中该第二电极包括与该等离子空腔流体连通的多个气体通道。
6.根据权利要求5所述的盖装置,其中该第二电极包括一个有切口的外径,用于安装到腔体上。
7.根据权利要求5所述的盖装置,进一步包括一个与该第二电极的第二表面相对布置的第一多孔板,其中该第一多孔板的孔与该第二电极的多个气体通路流体连通。
8.根据权利要求7所述的盖装置,进一步包括一个布置在该第一多孔板和该第二电极之间的第二多孔板,其中该第二多孔板的孔与该第一多孔板的孔和该第二电极的多个气体通路流体连通。
9.根据权利要求8所述的盖装置,其中该第二电极和该第一多孔板均包括一个具有切口的外径,其中该第二电极的具有切口的外径适合于安装到该第一多孔板的具有切口的外径上。
10.根据权利要求9所述的盖装置,其中该第一多孔板的具有切口的外径适合于安装到腔体上。
11.一种用于半导体处理的盖装置,其包括:
一个第一电极,其包括一个内径渐增的扩展段;
与该第一电极相对布置的一个第二电极,其中该第二电极包括穿过其形成的多个气体通路,其中一个等离子空腔被限定在该第一电极扩展段的内径和该第二电极的第一表面之间;和
与该第二电极的第二表面相对布置的一个多孔板,其中:
该多孔板的孔和该第二电极的多个气体通路流体连通;
该第二电极和多孔板均包括一个具有切口的外径;和
该第二电极的具有切口的外径适合于安装到该多孔板的具有切口的外径上。
12.根据权利要求11所述的盖装置,其中该多孔板包括一个流体通道,该流体通道嵌入在其外径中用于传送加热介质以加热该多孔板。
13.根据权利要求11所述的盖装置,其中该多孔板对流加热该第二电极。
14.根据权利要求11所述的盖装置,进一步包括一个布置在该扩展段外径周围的绝缘环。
15.根据权利要求14所述的盖装置,其中该绝缘环和该第一电极的扩展段在该第二电极的第一表面中形成的凹进处配合。
16.一种用于半导体处理的盖装置,其包括:
一个第一电极,其包括一个内径渐增的扩展段;
与第一电极相对布置的一个第二电极,其中该第二电极包括穿过其形成的多个气体通路,其中一个等离子空腔被限定在该第一电极扩展段的内径和该第二电极的第一表面之间;
一个与该第二电极的第二表面相对布置的第一多孔板;和
一个布置在该第二电极和该第一多孔板之间的第二多孔板,其中:
该第二电极和该第一多孔板均包括一个具有切口的外径;
该第二电极的具有切口的外径适合于安装到该第一多孔板的具有切口的外径上;和
该第二多孔板的至少一部分适合于安装到该第二电极的第二表面上。
17.根据权利要求16所述的盖装置,其中该第一多孔板包括一个流体通道,该流体通道嵌入在其外径中用于传送加热介质以加热该第一多孔板。
18.根据权利要求16所述的盖装置,进一步包括一个布置在该扩展段外径周围的绝缘环,其中该绝缘环和该第一电极的扩展段在该第二电极的第一表面中形成的凹进处配合。
19.根据权利要求16所述的盖装置,其中该第一电极的扩展段连接到RF电源上,并适合于约束该等离子空腔内的反应性气体的等离子。
20.根据权利要求16所述的盖装置,其中该第一多孔板的孔和该第二多孔板的孔与该第二电极的气体通路流体连通。
21.一种用于从衬底表面去除天然氧化物的方法,其包括:
在一个真空腔内支撑该衬底表面;
在真空腔内由气体混合物产生反应性组分;
在该真空腔内冷却该衬底表面;
将该反应性组分引到被冷却的衬底表面上,以与其上的天然氧化物反应并在该衬底表面上形成薄膜;和
在该真空腔内加热该衬底表面以蒸发该薄膜。
22.根据权利要求21所述的方法,进一步包括从该真空腔中去除被蒸发的薄膜。
23.根据权利要求21所述的方法,其中该薄膜是一种包含氮和氟原子的盐。
24.根据权利要求21所述的方法,其中该气体混合物包括氨和氮的氟化物。
25.根据权利要求21所述的方法,其中冷却该衬底表面包括使冷却剂流过一个支撑装置,在该支撑装置上布置有该衬底表面并保持该衬底温度低于室温。
26.根据权利要求25所述的方法,其中将该衬底温度保持在约22℃以下。
27.根据权利要求21所述的方法,其中加热该衬底表面包括将该衬底表面靠近一个已加热气体分布板放置,并将该衬底温度保持在约100℃以上,该已加热气体分布板布置在该真空腔内。
28.根据权利要求27所述的方法,其中将该衬底温度保持在约120℃。
29.根据权利要求27所述的方法,其中该衬底表面位于离该已加热气体分布板约10密耳和200密耳之间。
30.根据权利要求21所述的方法,其中将该反应性组分引到被冷却的衬底表面上包括使该反应性组分流过一个气体分布板。
31.根据权利要求30所述的方法,其中加热该衬底表面包括加热该气体分布板,并将该衬底表面紧靠着该气体分布板放置。
32.根据权利要求31所述的方法,其中该衬底表面被加热到约100℃以上。
33.根据权利要求32所述的方法,其中加热该气体分布板包括将一个加热元件连接到该气体分布板的至少一部分上。
34.根据权利要求33所述的方法,其中将该气体分布板保持在约100℃和约150℃之间的温度,同时在该真空腔内加热该衬底表面以升华该薄膜。
35.根据权利要求24所述的方法,其中该气体混合物进一步包括载气。
36.根据权利要求24所述的方法,其中该气体混合物包括摩尔比至少3∶1的氨和三氟化氮。
37.根据权利要求36所述的方法,其中氨和三氟化氮的摩尔比在约10∶1和约20∶1之间。
38.根据权利要求31所述的方法,其中该衬底表面位于离该已加热气体分布板约10密耳和200密耳之间。
39.一种用于在单个真空腔内从衬底表面去除天然氧化物的方法,其包括:
在该真空腔的第一段中产生一反应性组分的等离子;
在该真空腔的第二段中冷却其上具有天然氧化物的该衬底表面;
使该反应性组分从该第一段流到该第二段以与被冷却的衬底表面反应;
在被冷却的衬底表面上沉积一个薄膜;
将该衬底表面移到该真空腔的第三段;和
在该真空腔的第三段中加热该衬底表面以升华该薄膜。
40.一种用于在单个处理腔内从衬底表面去除天然氧化物的方法,其包括:
产生一包括氮和氟原子的反应性组分的等离子;
将该衬底表面暴露到该反应性组分;
将该衬底表面冷却到约22℃以下的温度;
在被冷却的衬底表面上沉积氮和氟原子的薄膜;和
对该衬底表面进行退火处理以升华该薄膜。
41.一种衬底支撑装置,其包括:
一个主体,该主体具有通过其布置的一个或多个流体管道;
一个布置在该主体第一端上的支撑构件,该支撑构件具有在其上表面中形成的一个或多个流体通道,其中每个流体通道与该一个或多个流体管道连通;
一个冷却介质源,其与该一个或多个流体管道流体连通;和
一个其中形成有多个孔的第一电极,该第一电极被布置在该支撑构件的上表面上,以使该多个孔中的每一个与在该支撑构件上表面形成的一个或多个流体通道中的至少一个流体连通。
42.根据权利要求41所述的装置,其中该一个或多个流体管道中的至少一个与一个真空泵流体连通,该真空泵用于将一个衬底卡到该第一电极。
43.根据权利要求41所述的装置,其中该一个或多个流体管道中的至少一个提供净化气到在该支撑构件上表面中形成的一个或多个流体通道,以防止在该支撑构件侧壁上的沉积。
44.根据权利要求41所述的装置,其中该一个或多个流体管道中的至少一个提供冷却剂到在该支撑构件上表面中形成的一个或多个流体通道以冷却该支撑构件。
45.根据权利要求41所述的装置,其中该第一电极是一个可拆卸构件,其搁置在该支撑构件上表面上。
46.根据权利要求41所述的装置,其中该第一电极包括多个布置在其上表面的凸起凹坑,以减少与其上支撑的衬底的接触。
47.根据权利要求41所述的装置,其中该第一电极由硅制成。
48.根据权利要求41所述的装置,其中该第一电极具有与该支撑构件中的孔对齐的一个或多个垂直孔,以容纳一个穿过其的可移动支撑销。
49.根据权利要求48所述的装置,其中该一个或多个垂直孔被衬上一个陶瓷套,以减少与该可移动支撑销的摩擦。
50.根据权利要求41所述的装置,进一步包括一个环状环,其布置在支撑构件外圆周的周围。
51.根据权利要求50所述的装置,其中该环状环用于引导该支撑构件外圆周周围的净化气以防止在其上沉积。
52.一种衬底支撑装置,其包括:
一个主体,该主体具有通过其布置的至少一个气体管道和至少两个液体管道;
一个布置在该主体第一端上的支撑构件,该支撑构件具有一个在其上表面中形成的通道,该通道与该至少一个气体管道流体连通,该支撑构件还具有一个在其中形成的热交换通路,该热交换通路与该至少两个液体管道流体连通;和
一个其中形成有多个孔的第一电极,该第一电极被布置在该支撑构件的上表面上,以使该多个孔中的每一个与在该支撑构件上表面形成的通道流体连通。
53.根据权利要求52所述的装置,进一步包括一个环状环,其布置在该支撑构件外圆周的周围。
54.根据权利要求53所述的装置,其中该环状环适合于引导该支撑构件外圆周周围的净化气以防止在其上沉积。
55.根据权利要求52所述的装置,其中该第一电极是一个可拆卸构件,其搁置在该支撑构件上表面上。
56.根据权利要求52所述的装置,其中该第一电极具有一个凹的上表面,该上表面具有凸起凹坑以减少与其上支撑的衬底的接触。
57.根据权利要求41所述的装置,其中该第一电极具有穿过该第一电极形成的一个或多个孔,并且该支撑构件具有用于容纳穿过其的可移动支撑销的对应的孔。
58.根据权利要求57所述的装置,其中该一个或多个孔被衬上一个陶瓷套,以减少与该可移动支撑销的摩擦。
59.一种用于支撑和冷却衬底的方法,其包括:
提供一个衬底支撑装置,该衬底支撑装置包括:
一个主体,该主体具有通过其布置的一个或多个流体通路;
一个布置在该主体第一端上的支撑构件,该支撑构件具有在其上表面中形成的一个或多个流体通道,其中每个与该流体通路中的一个或多个连体连通;以及
其中形成有多个孔的第一电极,该第一电极被布置在支撑构件的上表面上,以使该多个孔中的每一个与该一个或多个流体通道中的至少一个流体连通;
施加真空到该第一电极以咬合该衬底,其中通过在该主体中形成的一个或多个流体通路来施加真空;
通过形成在该支撑构件中的一个或多个流体通道使净化气流到该衬底背面;和
通过使冷却介质流过形成在该主体内的流体通路来冷却该衬底。
60.根据权利要求59所述的方法,进一步包括使用布置在该支撑构件外圆周周围的环形构件引导在该支撑构件外圆周周围的净化气流。
CN2008100825653A 2004-02-26 2005-02-25 用于前段工艺制造的原地干洗腔 Active CN101241844B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US54783904P 2004-02-26 2004-02-26
US60/547,839 2004-02-26

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
CNB2005100565328A Division CN100487857C (zh) 2004-02-26 2005-02-25 用于前段工艺制造的原地干洗腔

Publications (2)

Publication Number Publication Date
CN101241844A true CN101241844A (zh) 2008-08-13
CN101241844B CN101241844B (zh) 2010-09-22

Family

ID=34749068

Family Applications (4)

Application Number Title Priority Date Filing Date
CNB2005100565328A Active CN100487857C (zh) 2004-02-26 2005-02-25 用于前段工艺制造的原地干洗腔
CN2008100825653A Active CN101241844B (zh) 2004-02-26 2005-02-25 用于前段工艺制造的原地干洗腔
CN2010102461165A Active CN101916740B (zh) 2004-02-26 2005-02-25 用于前段工艺制造的原地干洗腔
CN2010102461146A Active CN101916715B (zh) 2004-02-26 2005-02-25 用于前段工艺制造的原地干洗腔

Family Applications Before (1)

Application Number Title Priority Date Filing Date
CNB2005100565328A Active CN100487857C (zh) 2004-02-26 2005-02-25 用于前段工艺制造的原地干洗腔

Family Applications After (2)

Application Number Title Priority Date Filing Date
CN2010102461165A Active CN101916740B (zh) 2004-02-26 2005-02-25 用于前段工艺制造的原地干洗腔
CN2010102461146A Active CN101916715B (zh) 2004-02-26 2005-02-25 用于前段工艺制造的原地干洗腔

Country Status (6)

Country Link
US (14) US20050230350A1 (zh)
EP (2) EP1568797B1 (zh)
JP (3) JP4960598B2 (zh)
KR (6) KR101148431B1 (zh)
CN (4) CN100487857C (zh)
TW (5) TWI421370B (zh)

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102792426A (zh) * 2010-03-10 2012-11-21 应用材料公司 循环氧化与蚀刻的设备及方法
CN102934203A (zh) * 2010-04-28 2013-02-13 应用材料公司 用于短生命周期物种的具有内建等离子体源的处理腔室盖设计
CN103695839A (zh) * 2013-12-07 2014-04-02 深圳市金凯新瑞光电有限公司 一种应用在镀膜设备中的离子源清洗装置
CN111312583A (zh) * 2020-04-01 2020-06-19 山东职业学院 一种制备半导体硅芯片的生产工艺
CN115142046A (zh) * 2021-03-31 2022-10-04 中微半导体设备(上海)股份有限公司 基片承载组件、化学气相沉积设备及吹扫方法

Families Citing this family (458)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6502530B1 (en) * 2000-04-26 2003-01-07 Unaxis Balzers Aktiengesellschaft Design of gas injection for the electrode in a capacitively coupled RF plasma reactor
US20090004850A1 (en) 2001-07-25 2009-01-01 Seshadri Ganguli Process for forming cobalt and cobalt silicide materials in tungsten contact applications
TWI224815B (en) * 2001-08-01 2004-12-01 Tokyo Electron Ltd Gas processing apparatus and gas processing method
CN101818334B (zh) * 2002-01-17 2012-12-12 松德沃技术公司 Ald装置和方法
US7013834B2 (en) * 2002-04-19 2006-03-21 Nordson Corporation Plasma treatment system
US20050150452A1 (en) * 2004-01-14 2005-07-14 Soovo Sen Process kit design for deposition chamber
TWI274978B (en) * 2004-02-25 2007-03-01 Advanced Display Proc Eng Co Apparatus for manufacturing flat-panel display
US7780793B2 (en) 2004-02-26 2010-08-24 Applied Materials, Inc. Passivation layer formation by plasma clean process to reduce native oxide growth
US20050230350A1 (en) * 2004-02-26 2005-10-20 Applied Materials, Inc. In-situ dry clean chamber for front end of line fabrication
US20070123051A1 (en) * 2004-02-26 2007-05-31 Reza Arghavani Oxide etch with nh4-nf3 chemistry
US7550381B2 (en) 2005-07-18 2009-06-23 Applied Materials, Inc. Contact clean by remote plasma and repair of silicide surface
US20070051388A1 (en) 2005-09-06 2007-03-08 Applied Materials, Inc. Apparatus and methods for using high frequency chokes in a substrate deposition apparatus
JP4806241B2 (ja) * 2005-09-14 2011-11-02 東京エレクトロン株式会社 基板処理装置及び基板リフト装置
US7470919B2 (en) * 2005-09-30 2008-12-30 Applied Materials, Inc. Substrate support assembly with thermal isolating plate
KR100672731B1 (ko) * 2005-10-04 2007-01-24 동부일렉트로닉스 주식회사 반도체 소자의 금속배선 형성방법
US20070087573A1 (en) * 2005-10-19 2007-04-19 Yi-Yiing Chiang Pre-treatment method for physical vapor deposition of metal layer and method of forming metal silicide layer
JP5046506B2 (ja) * 2005-10-19 2012-10-10 東京エレクトロン株式会社 基板処理装置,基板処理方法,プログラム,プログラムを記録した記録媒体
TWI329135B (en) 2005-11-04 2010-08-21 Applied Materials Inc Apparatus and process for plasma-enhanced atomic layer deposition
US7662723B2 (en) * 2005-12-13 2010-02-16 Lam Research Corporation Methods and apparatus for in-situ substrate processing
JP4601070B2 (ja) * 2006-01-17 2010-12-22 東京エレクトロン株式会社 熱処理装置
US7494545B2 (en) * 2006-02-03 2009-02-24 Applied Materials, Inc. Epitaxial deposition process and apparatus
US20170046458A1 (en) 2006-02-14 2017-02-16 Power Analytics Corporation Systems and methods for real-time dc microgrid power analytics for mission-critical power systems
US8097120B2 (en) * 2006-02-21 2012-01-17 Lam Research Corporation Process tuning gas injection from the substrate edge
US7743731B2 (en) * 2006-03-30 2010-06-29 Tokyo Electron Limited Reduced contaminant gas injection system and method of using
JP5042517B2 (ja) * 2006-04-10 2012-10-03 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
US20070248767A1 (en) * 2006-04-19 2007-10-25 Asm Japan K.K. Method of self-cleaning of carbon-based film
JP2007311540A (ja) * 2006-05-18 2007-11-29 Renesas Technology Corp 半導体装置の製造方法
US20070281106A1 (en) * 2006-05-30 2007-12-06 Applied Materials, Inc. Process chamber for dielectric gapfill
US7879184B2 (en) * 2006-06-20 2011-02-01 Lam Research Corporation Apparatuses, systems and methods for rapid cleaning of plasma confinement rings with minimal erosion of other chamber parts
US7718032B2 (en) 2006-06-22 2010-05-18 Tokyo Electron Limited Dry non-plasma treatment system and method of using
US7416989B1 (en) 2006-06-30 2008-08-26 Novellus Systems, Inc. Adsorption based material removal process
US7651948B2 (en) * 2006-06-30 2010-01-26 Applied Materials, Inc. Pre-cleaning of substrates in epitaxy chambers
JP2008027796A (ja) * 2006-07-24 2008-02-07 Canon Inc プラズマ処理装置
US8419341B2 (en) 2006-09-19 2013-04-16 Brooks Automation, Inc. Linear vacuum robot with Z motion and articulated arm
US8293066B2 (en) * 2006-09-19 2012-10-23 Brooks Automation, Inc. Apparatus and methods for transporting and processing substrates
US7901539B2 (en) * 2006-09-19 2011-03-08 Intevac, Inc. Apparatus and methods for transporting and processing substrates
US9524896B2 (en) * 2006-09-19 2016-12-20 Brooks Automation Inc. Apparatus and methods for transporting and processing substrates
JP5260861B2 (ja) * 2006-11-29 2013-08-14 東京エレクトロン株式会社 キャパシタ電極の製造方法と製造システムおよび記録媒体
US7967996B2 (en) * 2007-01-30 2011-06-28 Applied Materials, Inc. Process for wafer backside polymer removal and wafer front side photoresist removal
US20080179008A1 (en) * 2007-01-30 2008-07-31 Collins Kenneth S Reactor for wafer backside polymer removal using an etch plasma feeding a lower process zone and a scavenger plasma feeding an upper process zone
US7977249B1 (en) 2007-03-07 2011-07-12 Novellus Systems, Inc. Methods for removing silicon nitride and other materials during fabrication of contacts
JP4949091B2 (ja) * 2007-03-16 2012-06-06 東京エレクトロン株式会社 基板処理装置、基板処理方法および記録媒体
US7670952B2 (en) * 2007-03-23 2010-03-02 Texas Instruments Incorporated Method of manufacturing metal silicide contacts
US20080236614A1 (en) * 2007-03-30 2008-10-02 Hitachi High-Technologies Corporation Plasma processing apparatus and plasma processing method
US20100151677A1 (en) * 2007-04-12 2010-06-17 Freescale Semiconductor, Inc. Etch method in the manufacture of a semiconductor device
US7732353B2 (en) * 2007-04-18 2010-06-08 Ultratech, Inc. Methods of forming a denuded zone in a semiconductor wafer using rapid laser annealing
KR100898440B1 (ko) * 2007-06-27 2009-05-21 주식회사 동부하이텍 플래시 메모리 소자의 제조 방법
KR101046520B1 (ko) * 2007-09-07 2011-07-04 어플라이드 머티어리얼스, 인코포레이티드 내부 챔버 상의 부산물 막 증착을 제어하기 위한 pecvd 시스템에서의 소스 가스 흐름 경로 제어
US7867900B2 (en) 2007-09-28 2011-01-11 Applied Materials, Inc. Aluminum contact integration on cobalt silicide junction
CN101399197B (zh) * 2007-09-30 2011-12-07 北京北方微电子基地设备工艺研究中心有限责任公司 一种腔室的衬
US20090090382A1 (en) * 2007-10-05 2009-04-09 Asm Japan K.K. Method of self-cleaning of carbon-based film
US7659616B2 (en) 2007-10-10 2010-02-09 International Business Machines Corporation On-chip cooling systems for integrated circuits
US8673080B2 (en) 2007-10-16 2014-03-18 Novellus Systems, Inc. Temperature controlled showerhead
US7967994B2 (en) * 2007-10-25 2011-06-28 Ovonyx, Inc. Method and apparatus for chalcogenide device formation
US8187486B1 (en) 2007-12-13 2012-05-29 Novellus Systems, Inc. Modulating etch selectivity and etch rate of silicon nitride thin films
WO2009082763A2 (en) * 2007-12-25 2009-07-02 Applied Materials, Inc. Method and apparatus for controlling plasma uniformity
KR100952671B1 (ko) * 2007-12-27 2010-04-13 세메스 주식회사 척킹부재, 이를 갖는 기판 처리 장치 및 이를 이용한 기판 처리 방법
US8883650B2 (en) * 2008-01-24 2014-11-11 United Microelectronics Corp. Method of removing oxides
KR101431197B1 (ko) * 2008-01-24 2014-09-17 삼성전자주식회사 원자층 증착설비 및 그의 원자층 증착방법
US20090191703A1 (en) * 2008-01-29 2009-07-30 Applied Materials, Inc. Process with saturation at low etch amount for high contact bottom cleaning efficiency for chemical dry clean process
US20090236682A1 (en) * 2008-03-20 2009-09-24 Hocine Boubekeur Layer stack including a tungsten layer
US8357435B2 (en) 2008-05-09 2013-01-22 Applied Materials, Inc. Flowable dielectric equipment and processes
US8333842B2 (en) * 2008-05-15 2012-12-18 Applied Materials, Inc. Apparatus for etching semiconductor wafers
JP4914902B2 (ja) * 2008-05-30 2012-04-11 キヤノンアネルバ株式会社 シリサイド形成方法とその装置
US8291857B2 (en) * 2008-07-03 2012-10-23 Applied Materials, Inc. Apparatuses and methods for atomic layer deposition
US7981763B1 (en) 2008-08-15 2011-07-19 Novellus Systems, Inc. Atomic layer removal for high aspect ratio gapfill
US7968441B2 (en) 2008-10-08 2011-06-28 Applied Materials, Inc. Dopant activation anneal to achieve less dopant diffusion (better USJ profile) and higher activation percentage
US20100099263A1 (en) * 2008-10-20 2010-04-22 Applied Materials, Inc. Nf3/h2 remote plasma process with high etch selectivity of psg/bpsg over thermal oxide and low density surface defects
US8209833B2 (en) * 2008-11-07 2012-07-03 Tokyo Electron Limited Thermal processing system and method of using
CN101740338B (zh) * 2008-11-24 2012-07-18 中芯国际集成电路制造(北京)有限公司 薄膜去除方法
US7994002B2 (en) 2008-11-24 2011-08-09 Applied Materials, Inc. Method and apparatus for trench and via profile modification
US20100140222A1 (en) * 2008-12-10 2010-06-10 Sun Jennifer Y Filled polymer composition for etch chamber component
US8058179B1 (en) 2008-12-23 2011-11-15 Novellus Systems, Inc. Atomic layer removal process with higher etch amount
KR101566922B1 (ko) * 2009-02-16 2015-11-09 삼성전자주식회사 저스트 드라이 에칭과 케미컬 드라이 에칭을 조합한 반도체소자의 금속 실리사이드막 형성 방법
KR101801696B1 (ko) 2009-03-05 2017-11-27 어플라이드 머티어리얼스, 인코포레이티드 감소된 계면 오염을 갖는 층들의 증착 방법
US8511281B2 (en) * 2009-07-10 2013-08-20 Tula Technology, Inc. Skip fire engine control
US8980382B2 (en) 2009-12-02 2015-03-17 Applied Materials, Inc. Oxygen-doping for non-carbon radical-component CVD films
US8741788B2 (en) 2009-08-06 2014-06-03 Applied Materials, Inc. Formation of silicon oxide using non-carbon flowable CVD processes
US8211808B2 (en) * 2009-08-31 2012-07-03 Applied Materials, Inc. Silicon-selective dry etch for carbon-containing films
US20110065276A1 (en) * 2009-09-11 2011-03-17 Applied Materials, Inc. Apparatus and Methods for Cyclical Oxidation and Etching
US20110061812A1 (en) * 2009-09-11 2011-03-17 Applied Materials, Inc. Apparatus and Methods for Cyclical Oxidation and Etching
US20110082597A1 (en) 2009-10-01 2011-04-07 Edsa Micro Corporation Microgrid model based automated real time simulation for market based electric power system optimization
US8525139B2 (en) * 2009-10-27 2013-09-03 Lam Research Corporation Method and apparatus of halogen removal
US8232538B2 (en) * 2009-10-27 2012-07-31 Lam Research Corporation Method and apparatus of halogen removal using optimal ozone and UV exposure
CN102054687B (zh) * 2009-11-10 2012-05-23 中芯国际集成电路制造(上海)有限公司 表面氧化物的去除方法
US8449942B2 (en) 2009-11-12 2013-05-28 Applied Materials, Inc. Methods of curing non-carbon flowable CVD films
US9034142B2 (en) * 2009-12-18 2015-05-19 Novellus Systems, Inc. Temperature controlled showerhead for high temperature operations
TWI558841B (zh) * 2009-12-22 2016-11-21 應用材料股份有限公司 狹縫閥通道支撐件
US8501629B2 (en) * 2009-12-23 2013-08-06 Applied Materials, Inc. Smooth SiConi etch for silicon-containing films
KR101126389B1 (ko) * 2009-12-29 2012-03-28 주식회사 케이씨텍 원자층 증착장치의 서셉터 유닛
KR20120111738A (ko) 2009-12-30 2012-10-10 어플라이드 머티어리얼스, 인코포레이티드 융통성을 가진 질소/수소 비율을 이용하여 제조된 라디칼에 의한 유전체 필름의 성장
US8329262B2 (en) 2010-01-05 2012-12-11 Applied Materials, Inc. Dielectric film formation using inert gas excitation
CN102754193A (zh) 2010-01-06 2012-10-24 应用材料公司 使用氧化物衬垫的可流动电介质
JP2013516788A (ja) 2010-01-07 2013-05-13 アプライド マテリアルズ インコーポレイテッド ラジカル成分cvd用のインサイチュオゾン硬化
KR101155291B1 (ko) * 2010-02-22 2012-06-12 주식회사 테스 건식식각장치 및 이를 구비한 기판처리시스템
US8563445B2 (en) 2010-03-05 2013-10-22 Applied Materials, Inc. Conformal layers by radical-component CVD
SG183536A1 (en) * 2010-03-12 2012-09-27 Applied Materials Inc Atomic layer deposition chamber with multi inject
US8435902B2 (en) * 2010-03-17 2013-05-07 Applied Materials, Inc. Invertable pattern loading with dry etch
KR20110114030A (ko) 2010-04-12 2011-10-19 삼성전자주식회사 플래시 메모리 장치의 제조 방법
US8562742B2 (en) * 2010-04-30 2013-10-22 Applied Materials, Inc. Apparatus for radial delivery of gas to a chamber and methods of use thereof
US8475674B2 (en) 2010-04-30 2013-07-02 Applied Materials, Inc. High-temperature selective dry etch having reduced post-etch solid residue
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
WO2011156625A1 (en) * 2010-06-09 2011-12-15 Intevac, Inc. Full-enclosure, controlled-flow mini-environment for thin film chambers
WO2011162770A1 (en) 2010-06-25 2011-12-29 Tousimis Anastasios J Integrated processing and critical point drying systems for semiconductor and mems devices
US9184028B2 (en) 2010-08-04 2015-11-10 Lam Research Corporation Dual plasma volume processing apparatus for neutral/ion flux control
US8869742B2 (en) * 2010-08-04 2014-10-28 Lam Research Corporation Plasma processing chamber with dual axial gas injection and exhaust
JP5647845B2 (ja) * 2010-09-29 2015-01-07 株式会社Screenホールディングス 基板乾燥装置及び基板乾燥方法
US9285168B2 (en) 2010-10-05 2016-03-15 Applied Materials, Inc. Module for ozone cure and post-cure moisture treatment
US10658161B2 (en) * 2010-10-15 2020-05-19 Applied Materials, Inc. Method and apparatus for reducing particle defects in plasma etch chambers
US8664127B2 (en) 2010-10-15 2014-03-04 Applied Materials, Inc. Two silicon-containing precursors for gapfill enhancing dielectric liner
US8911553B2 (en) * 2010-10-19 2014-12-16 Applied Materials, Inc. Quartz showerhead for nanocure UV chamber
US8741778B2 (en) 2010-12-14 2014-06-03 Applied Materials, Inc. Uniform dry etch in two stages
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8450191B2 (en) 2011-01-24 2013-05-28 Applied Materials, Inc. Polysilicon films by HDP-CVD
US8771539B2 (en) 2011-02-22 2014-07-08 Applied Materials, Inc. Remotely-excited fluorine and water vapor etch
US20120220116A1 (en) * 2011-02-25 2012-08-30 Applied Materials, Inc. Dry Chemical Cleaning For Semiconductor Processing
US20130334199A1 (en) * 2011-03-01 2013-12-19 Applied Materials, Inc. Thin heated substrate support
JP6054314B2 (ja) 2011-03-01 2016-12-27 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 基板搬送及びラジカル閉じ込めのための方法及び装置
TWI555058B (zh) 2011-03-01 2016-10-21 應用材料股份有限公司 雙負載閘配置之減弱及剝離處理腔室
US11171008B2 (en) 2011-03-01 2021-11-09 Applied Materials, Inc. Abatement and strip process chamber in a dual load lock configuration
US8716154B2 (en) 2011-03-04 2014-05-06 Applied Materials, Inc. Reduced pattern loading using silicon oxide multi-layers
KR101937115B1 (ko) 2011-03-04 2019-01-09 노벨러스 시스템즈, 인코포레이티드 하이브리드 세라믹 샤워헤드
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
KR20120108324A (ko) * 2011-03-23 2012-10-05 한국기초과학지원연구원 중성 입자빔을 이용한 발광 소자 제조 방법 및 그 장치
US8445078B2 (en) 2011-04-20 2013-05-21 Applied Materials, Inc. Low temperature silicon oxide conversion
US8912096B2 (en) * 2011-04-28 2014-12-16 Applied Materials, Inc. Methods for precleaning a substrate prior to metal silicide fabrication process
KR101295794B1 (ko) * 2011-05-31 2013-08-09 세메스 주식회사 기판 처리 장치
US9245717B2 (en) 2011-05-31 2016-01-26 Lam Research Corporation Gas distribution system for ceramic showerhead of plasma etch reactor
US8562785B2 (en) * 2011-05-31 2013-10-22 Lam Research Corporation Gas distribution showerhead for inductively coupled plasma etch reactor
US8466073B2 (en) 2011-06-03 2013-06-18 Applied Materials, Inc. Capping layer for reduced outgassing
US9404178B2 (en) 2011-07-15 2016-08-02 Applied Materials, Inc. Surface treatment and deposition for reduced outgassing
US8771536B2 (en) 2011-08-01 2014-07-08 Applied Materials, Inc. Dry-etch for silicon-and-carbon-containing films
KR101870667B1 (ko) * 2011-08-17 2018-06-26 세메스 주식회사 기판 처리 장치 및 기판 처리 방법
US20130052809A1 (en) * 2011-08-25 2013-02-28 United Microelectronics Corporation Pre-clean method for epitaxial deposition and applications thereof
US8679982B2 (en) 2011-08-26 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and oxygen
US8679983B2 (en) 2011-09-01 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and nitrogen
US8927390B2 (en) 2011-09-26 2015-01-06 Applied Materials, Inc. Intrench profile
US8617989B2 (en) 2011-09-26 2013-12-31 Applied Materials, Inc. Liner property improvement
US8551891B2 (en) 2011-10-04 2013-10-08 Applied Materials, Inc. Remote plasma burn-in
TWI672981B (zh) * 2011-10-05 2019-09-21 美商應用材料股份有限公司 對稱電漿處理腔室
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
DE112011105754B4 (de) * 2011-10-24 2014-12-31 Toyota Jidosha Kabushiki Kaisha Halbleitermodul
JP5977986B2 (ja) * 2011-11-08 2016-08-24 株式会社日立ハイテクノロジーズ 熱処理装置
WO2013070436A1 (en) 2011-11-08 2013-05-16 Applied Materials, Inc. Methods of reducing substrate dislocation during gapfill processing
US8808564B2 (en) * 2011-11-15 2014-08-19 Applied Materials, Inc. Method and apparatus for selective nitridation process
KR101356664B1 (ko) * 2012-02-03 2014-02-05 주식회사 유진테크 측방배기 방식 기판처리장치
SG11201404872SA (en) 2012-02-14 2014-09-26 Advanced Tech Materials Carbon dopant gas and co-flow for implant beam and source life performance improvement
US9034199B2 (en) 2012-02-21 2015-05-19 Applied Materials, Inc. Ceramic article with reduced surface defect density and process for producing a ceramic article
US9212099B2 (en) 2012-02-22 2015-12-15 Applied Materials, Inc. Heat treated ceramic substrate having ceramic coating and heat treatment for coated ceramics
CN104137248B (zh) 2012-02-29 2017-03-22 应用材料公司 配置中的除污及剥除处理腔室
US9679751B2 (en) 2012-03-15 2017-06-13 Lam Research Corporation Chamber filler kit for plasma etch chamber useful for fast gas switching
CN103377868A (zh) * 2012-04-14 2013-10-30 靖江先锋半导体科技有限公司 一种刻蚀电极机中的下电极装置
US9090046B2 (en) 2012-04-16 2015-07-28 Applied Materials, Inc. Ceramic coated article and process for applying ceramic coating
US8647439B2 (en) * 2012-04-26 2014-02-11 Applied Materials, Inc. Method of epitaxial germanium tin alloy surface preparation
US9234276B2 (en) 2013-05-31 2016-01-12 Novellus Systems, Inc. Method to obtain SiC class of films of desired composition and film properties
US10325773B2 (en) 2012-06-12 2019-06-18 Novellus Systems, Inc. Conformal deposition of silicon carbide films
US9447499B2 (en) 2012-06-22 2016-09-20 Novellus Systems, Inc. Dual plenum, axi-symmetric showerhead with edge-to-center gas delivery
US8916477B2 (en) 2012-07-02 2014-12-23 Novellus Systems, Inc. Polysilicon etch with high selectivity
US9034773B2 (en) * 2012-07-02 2015-05-19 Novellus Systems, Inc. Removal of native oxide with high selectivity
US10283615B2 (en) 2012-07-02 2019-05-07 Novellus Systems, Inc. Ultrahigh selective polysilicon etch with high throughput
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9604249B2 (en) 2012-07-26 2017-03-28 Applied Materials, Inc. Innovative top-coat approach for advanced device on-wafer particle performance
US9343289B2 (en) 2012-07-27 2016-05-17 Applied Materials, Inc. Chemistry compatible coating material for advanced device on-wafer particle performance
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
CN102814305B (zh) * 2012-08-03 2015-04-08 京东方科技集团股份有限公司 用于刻蚀工艺前清洁腔室的装置及方法
US20140053984A1 (en) * 2012-08-27 2014-02-27 Hyun Ho Doh Symmetric return liner for modulating azimuthal non-uniformity in a plasma processing system
TWI467625B (zh) * 2012-08-30 2015-01-01 Univ Chang Gung 電漿處理裝置
US8889566B2 (en) 2012-09-11 2014-11-18 Applied Materials, Inc. Low cost flowable dielectric films
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US20140083360A1 (en) * 2012-09-26 2014-03-27 Applied Materials, Inc. Process chamber having more uniform gas flow
US9177780B2 (en) * 2012-10-02 2015-11-03 Applied Materials, Inc. Directional SiO2 etch using plasma pre-treatment and high-temperature etchant deposition
US8980761B2 (en) * 2012-10-03 2015-03-17 Applied Materials, Inc. Directional SIO2 etch using low-temperature etchant deposition and plasma post-treatment
TWI591712B (zh) * 2012-10-03 2017-07-11 應用材料股份有限公司 使用低溫蝕刻劑沉積與電漿後處理的方向性二氧化矽蝕刻
CN103785646A (zh) * 2012-10-30 2014-05-14 中微半导体设备(上海)有限公司 反应腔室清洗方法
US9165783B2 (en) * 2012-11-01 2015-10-20 Applied Materials, Inc. Method of patterning a low-k dielectric film
US8765574B2 (en) 2012-11-09 2014-07-01 Applied Materials, Inc. Dry etch process
TW201430996A (zh) * 2012-11-12 2014-08-01 Greene Tweed & Co Inc 用於在供基板處理之一真空腔室內之一環形組件的機械式夾具總成
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
JP5507654B2 (ja) * 2012-11-30 2014-05-28 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
US9064816B2 (en) 2012-11-30 2015-06-23 Applied Materials, Inc. Dry-etch for selective oxidation removal
US9916998B2 (en) 2012-12-04 2018-03-13 Applied Materials, Inc. Substrate support assembly having a plasma resistant protective layer
US9685356B2 (en) 2012-12-11 2017-06-20 Applied Materials, Inc. Substrate support assembly having metal bonded protective layer
US9982343B2 (en) * 2012-12-14 2018-05-29 Applied Materials, Inc. Apparatus for providing plasma to a process chamber
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US10316409B2 (en) 2012-12-21 2019-06-11 Novellus Systems, Inc. Radical source design for remote plasma atomic layer deposition
US8941969B2 (en) 2012-12-21 2015-01-27 Applied Materials, Inc. Single-body electrostatic chuck
CN103915306B (zh) * 2012-12-31 2016-04-20 北京北方微电子基地设备工艺研究中心有限责任公司 微电子工艺处理设备和用于其的反应腔室
US9358702B2 (en) 2013-01-18 2016-06-07 Applied Materials, Inc. Temperature management of aluminium nitride electrostatic chuck
US9018108B2 (en) 2013-01-25 2015-04-28 Applied Materials, Inc. Low shrinkage dielectric films
US9123758B2 (en) * 2013-02-06 2015-09-01 Applied Materials, Inc. Gas injection apparatus and substrate process chamber incorporating same
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9685316B2 (en) * 2013-02-25 2017-06-20 United Microelectronics Corp. Semiconductor process
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US8801952B1 (en) 2013-03-07 2014-08-12 Applied Materials, Inc. Conformal oxide dry etch
US10170282B2 (en) 2013-03-08 2019-01-01 Applied Materials, Inc. Insulated semiconductor faceplate designs
US20140262031A1 (en) * 2013-03-12 2014-09-18 Sergey G. BELOSTOTSKIY Multi-mode etch chamber source assembly
US9669653B2 (en) 2013-03-14 2017-06-06 Applied Materials, Inc. Electrostatic chuck refurbishment
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
KR20210003959A (ko) * 2013-03-15 2021-01-12 어플라이드 머티어리얼스, 인코포레이티드 반도체 프로세싱을 위한 챔버 디자인
US9887121B2 (en) 2013-04-26 2018-02-06 Applied Materials, Inc. Protective cover for electrostatic chuck
CN111211074B (zh) 2013-04-30 2023-09-22 应用材料公司 具有空间分布的气体通道的气流控制衬垫
US9666466B2 (en) 2013-05-07 2017-05-30 Applied Materials, Inc. Electrostatic chuck having thermally isolated zones with minimal crosstalk
US8895449B1 (en) 2013-05-16 2014-11-25 Applied Materials, Inc. Delicate dry clean
US9114438B2 (en) 2013-05-21 2015-08-25 Applied Materials, Inc. Copper residue chamber clean
US9865434B2 (en) 2013-06-05 2018-01-09 Applied Materials, Inc. Rare-earth oxide based erosion resistant coatings for semiconductor application
US9850568B2 (en) 2013-06-20 2017-12-26 Applied Materials, Inc. Plasma erosion resistant rare-earth oxide based thin film coatings
US10808317B2 (en) 2013-07-03 2020-10-20 Lam Research Corporation Deposition apparatus including an isothermal processing zone
US9677176B2 (en) 2013-07-03 2017-06-13 Novellus Systems, Inc. Multi-plenum, dual-temperature showerhead
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US20160211151A1 (en) * 2013-07-26 2016-07-21 Hitachi Kokusai Electric Inc. Substrate processing apparatus and method of manufacturing semiconductor device
KR20210047971A (ko) 2013-08-09 2021-04-30 어플라이드 머티어리얼스, 인코포레이티드 에피택셜 성장 이전에 기판 표면을 사전 세정하기 위한 방법 및 장치
US9543163B2 (en) 2013-08-20 2017-01-10 Applied Materials, Inc. Methods for forming features in a material layer utilizing a combination of a main etching and a cyclical etching process
US9837250B2 (en) * 2013-08-30 2017-12-05 Applied Materials, Inc. Hot wall reactor with cooled vacuum containment
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US8956980B1 (en) 2013-09-16 2015-02-17 Applied Materials, Inc. Selective etch of silicon nitride
US20150083042A1 (en) * 2013-09-26 2015-03-26 Applied Materials, Inc. Rotatable substrate support having radio frequency applicator
US9472416B2 (en) * 2013-10-21 2016-10-18 Applied Materials, Inc. Methods of surface interface engineering
US9371579B2 (en) * 2013-10-24 2016-06-21 Lam Research Corporation Ground state hydrogen radical sources for chemical vapor deposition of silicon-carbon-containing films
US8951429B1 (en) 2013-10-29 2015-02-10 Applied Materials, Inc. Tungsten oxide processing
TW201522696A (zh) 2013-11-01 2015-06-16 Applied Materials Inc 使用遠端電漿cvd技術的低溫氮化矽膜
US9236265B2 (en) 2013-11-04 2016-01-12 Applied Materials, Inc. Silicon germanium processing
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9117855B2 (en) 2013-12-04 2015-08-25 Applied Materials, Inc. Polarity control for remote plasma
DE102013020106A1 (de) * 2013-12-06 2015-06-11 Oliver Feddersen-Clausen Reaktionskammer insbesondere für Atomic Laver Deposition
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9263278B2 (en) 2013-12-17 2016-02-16 Applied Materials, Inc. Dopant etch selectivity control
US9190293B2 (en) 2013-12-18 2015-11-17 Applied Materials, Inc. Even tungsten etch for high aspect ratio trenches
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
TWI670389B (zh) * 2014-01-21 2019-09-01 美商應用材料股份有限公司 允許低壓汰換工具之原子層沉積處理腔室及具有其之處理系統
JP2015138931A (ja) * 2014-01-24 2015-07-30 株式会社日立ハイテクノロジーズ 真空処理装置および真空処理方法
US9484190B2 (en) * 2014-01-25 2016-11-01 Yuri Glukhoy Showerhead-cooler system of a semiconductor-processing chamber for semiconductor wafers of large area
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9824865B2 (en) * 2014-03-05 2017-11-21 Lam Research Corporation Waferless clean in dielectric etch process
US9673092B2 (en) * 2014-03-06 2017-06-06 Asm Ip Holding B.V. Film forming apparatus, and method of manufacturing semiconductor device
US9508561B2 (en) 2014-03-11 2016-11-29 Applied Materials, Inc. Methods for forming interconnection structures in an integrated cluster system for semicondcutor applications
US9368370B2 (en) * 2014-03-14 2016-06-14 Applied Materials, Inc. Temperature ramping using gas distribution plate heat
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9299557B2 (en) 2014-03-19 2016-03-29 Asm Ip Holding B.V. Plasma pre-clean module and process
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9136273B1 (en) 2014-03-21 2015-09-15 Applied Materials, Inc. Flash gate air gap
JP5941491B2 (ja) * 2014-03-26 2016-06-29 株式会社日立国際電気 基板処理装置及び半導体装置の製造方法並びにプログラム
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
US9380694B2 (en) * 2014-04-17 2016-06-28 Millenium Synthfuels Corporation Plasma torch having an externally adjustable anode and cathode
US10741365B2 (en) * 2014-05-05 2020-08-11 Lam Research Corporation Low volume showerhead with porous baffle
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9847289B2 (en) 2014-05-30 2017-12-19 Applied Materials, Inc. Protective via cap for improved interconnect performance
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US20150367418A1 (en) * 2014-06-20 2015-12-24 Velo3D, Inc. Apparatuses, systems and methods for three-dimensional printing
US11302520B2 (en) 2014-06-28 2022-04-12 Applied Materials, Inc. Chamber apparatus for chemical etching of dielectric materials
US9412581B2 (en) 2014-07-16 2016-08-09 Applied Materials, Inc. Low-K dielectric gapfill by flowable deposition
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US20160032451A1 (en) * 2014-07-29 2016-02-04 Applied Materials, Inc. Remote plasma clean source feed between backing plate and diffuser
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9159606B1 (en) 2014-07-31 2015-10-13 Applied Materials, Inc. Metal air gap
US20160033070A1 (en) * 2014-08-01 2016-02-04 Applied Materials, Inc. Recursive pumping member
US9165786B1 (en) 2014-08-05 2015-10-20 Applied Materials, Inc. Integrated oxide and nitride recess for better channel contact in 3D architectures
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9558928B2 (en) 2014-08-29 2017-01-31 Lam Research Corporation Contact clean in high-aspect ratio structures
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9653320B2 (en) 2014-09-12 2017-05-16 Applied Materials, Inc. Methods for etching a hardmask layer for an interconnection structure for semiconductor applications
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9478434B2 (en) 2014-09-24 2016-10-25 Applied Materials, Inc. Chlorine-based hardmask removal
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9359679B2 (en) 2014-10-03 2016-06-07 Applied Materials, Inc. Methods for cyclically etching a metal layer for an interconnection structure for semiconductor applications
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9818633B2 (en) 2014-10-17 2017-11-14 Lam Research Corporation Equipment front end module for transferring wafers and method of transferring wafers
US9673071B2 (en) 2014-10-23 2017-06-06 Lam Research Corporation Buffer station for thermal control of semiconductor substrates transferred therethrough and method of transferring semiconductor substrates
US9368369B2 (en) 2014-11-06 2016-06-14 Applied Materials, Inc. Methods for forming a self-aligned contact via selective lateral etch
US9520302B2 (en) 2014-11-07 2016-12-13 Applied Materials, Inc. Methods for controlling Fin recess loading
US11637002B2 (en) * 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
KR102438139B1 (ko) * 2014-12-22 2022-08-29 어플라이드 머티어리얼스, 인코포레이티드 높은 처리량의 프로세싱 챔버를 위한 프로세스 키트
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9474163B2 (en) 2014-12-30 2016-10-18 Asm Ip Holding B.V. Germanium oxide pre-clean module and process
US9431268B2 (en) 2015-01-05 2016-08-30 Lam Research Corporation Isotropic atomic layer etch for silicon and germanium oxides
US9425041B2 (en) 2015-01-06 2016-08-23 Lam Research Corporation Isotropic atomic layer etch for silicon oxides using no activation
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US10373850B2 (en) 2015-03-11 2019-08-06 Asm Ip Holding B.V. Pre-clean chamber and process with substrate tray for changing substrate temperature
US11384432B2 (en) * 2015-04-22 2022-07-12 Applied Materials, Inc. Atomic layer deposition chamber with funnel-shaped gas dispersion channel and gas distribution plate
US10199230B2 (en) * 2015-05-01 2019-02-05 Applied Materials, Inc. Methods for selective deposition of metal silicides via atomic layer deposition cycles
US10378107B2 (en) 2015-05-22 2019-08-13 Lam Research Corporation Low volume showerhead with faceplate holes for improved flow uniformity
US10023959B2 (en) 2015-05-26 2018-07-17 Lam Research Corporation Anti-transient showerhead
US9595452B2 (en) 2015-05-27 2017-03-14 Lam Research Corporation Residue free oxide etch
US10053774B2 (en) * 2015-06-12 2018-08-21 Asm Ip Holding B.V. Reactor system for sublimation of pre-clean byproducts and method thereof
US9564341B1 (en) 2015-08-04 2017-02-07 Applied Materials, Inc. Gas-phase silicon oxide selective etch
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10008366B2 (en) 2015-09-08 2018-06-26 Applied Materials, Inc. Seasoning process for establishing a stable process and extending chamber uptime for semiconductor chip processing
US10322384B2 (en) * 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US10020218B2 (en) 2015-11-17 2018-07-10 Applied Materials, Inc. Substrate support assembly with deposited surface features
JP6333232B2 (ja) * 2015-12-02 2018-05-30 株式会社日立国際電気 基板処理装置、半導体装置の製造方法およびプログラム
US10204795B2 (en) * 2016-02-04 2019-02-12 Applied Materials, Inc. Flow distribution plate for surface fluorine reduction
WO2017136093A1 (en) * 2016-02-05 2017-08-10 Applied Materials, Inc. Integrated layer etch system with multiple type chambers
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
KR102385717B1 (ko) * 2016-06-15 2022-04-12 에바텍 아크티엔게젤샤프트 진공 처리 챔버 및 진공 처리된 플레이트형 기판의 제조방법
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US9698042B1 (en) 2016-07-22 2017-07-04 Lam Research Corporation Wafer centering in pocket to improve azimuthal thickness uniformity at wafer edge
WO2018034715A1 (en) * 2016-08-18 2018-02-22 Mattson Technology, Inc. Separation grid for plasma chamber
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
JP6827287B2 (ja) 2016-09-28 2021-02-10 株式会社日立ハイテク プラズマ処理装置の運転方法
US10249525B2 (en) 2016-10-03 2019-04-02 Applied Materials, Inc. Dynamic leveling process heater lift
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10546729B2 (en) * 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
JP6820717B2 (ja) 2016-10-28 2021-01-27 株式会社日立ハイテク プラズマ処理装置
US20180122670A1 (en) * 2016-11-01 2018-05-03 Varian Semiconductor Equipment Associates, Inc. Removable substrate plane structure ring
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10510851B2 (en) * 2016-11-29 2019-12-17 Taiwan Semiconductor Manufacturing Company, Ltd. Low resistance contact method and structure
US10504720B2 (en) * 2016-11-29 2019-12-10 Taiwan Semiconductor Manufacturing Company, Ltd. Etching using chamber with top plate formed of non-oxygen containing material
US10604841B2 (en) 2016-12-14 2020-03-31 Lam Research Corporation Integrated showerhead with thermal control for delivering radical and precursor gas to a downstream chamber to enable remote plasma film deposition
KR102587615B1 (ko) * 2016-12-21 2023-10-11 삼성전자주식회사 플라즈마 처리 장치의 온도 조절기 및 이를 포함하는 플라즈마 처리 장치
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
KR101850895B1 (ko) * 2017-01-03 2018-04-20 한국표준과학연구원 플라즈마 발생 장치
US10629416B2 (en) * 2017-01-23 2020-04-21 Infineon Technologies Ag Wafer chuck and processing arrangement
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10249532B2 (en) 2017-02-27 2019-04-02 International Business Machines Corporation Modulating the microstructure of metallic interconnect structures
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
KR102431354B1 (ko) 2017-07-11 2022-08-11 삼성디스플레이 주식회사 화학기상 증착장치 및 이를 이용한 표시 장치의 제조 방법
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10190216B1 (en) * 2017-07-25 2019-01-29 Lam Research Corporation Showerhead tilt mechanism
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
JP6772117B2 (ja) 2017-08-23 2020-10-21 株式会社日立ハイテク エッチング方法およびエッチング装置
CN109427647B (zh) * 2017-09-04 2021-04-20 联华电子股份有限公司 隔离结构的制作方法
US10907252B2 (en) * 2017-10-23 2021-02-02 Applied Materials, Inc. Horizontal heat choke faceplate design
US20190119815A1 (en) * 2017-10-24 2019-04-25 Applied Materials, Inc. Systems and processes for plasma filtering
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
CN107937886A (zh) * 2017-11-14 2018-04-20 武汉华星光电半导体显示技术有限公司 化学气相沉积设备及成膜方法
JP6890085B2 (ja) * 2017-11-30 2021-06-18 東京エレクトロン株式会社 基板処理装置
KR20200087267A (ko) 2017-12-08 2020-07-20 램 리써치 코포레이션 리모트 플라즈마 막 증착을 인에이블하도록 다운스트림 챔버로 라디칼 및 전구체 가스를 전달하기 위해 개선된 홀 패턴을 갖는 통합된 샤워헤드
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10410854B2 (en) * 2017-12-28 2019-09-10 Globalfoundries Singapore Pte. Ltd. Method and device for reducing contamination for reliable bond pads
US10766057B2 (en) * 2017-12-28 2020-09-08 Micron Technology, Inc. Components and systems for cleaning a tool for forming a semiconductor device, and related methods
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
KR102560283B1 (ko) * 2018-01-24 2023-07-26 삼성전자주식회사 샤워 헤드를 설계하고 제조하는 장치 및 방법
JP7066438B2 (ja) * 2018-02-13 2022-05-13 東京エレクトロン株式会社 冷却システム
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US11047035B2 (en) 2018-02-23 2021-06-29 Applied Materials, Inc. Protective yttria coating for semiconductor equipment parts
TWI766433B (zh) 2018-02-28 2022-06-01 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US11515130B2 (en) * 2018-03-05 2022-11-29 Applied Materials, Inc. Fast response pedestal assembly for selective preclean
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
CN111954927A (zh) * 2018-04-17 2020-11-17 应用材料公司 加热的陶瓷面板
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US11328929B2 (en) * 2018-05-01 2022-05-10 Applied Materials, Inc. Methods, apparatuses and systems for substrate processing for lowering contact resistance
WO2019226341A1 (en) 2018-05-25 2019-11-28 Lam Research Corporation Thermal atomic layer etch with rapid temperature cycling
US20190385828A1 (en) * 2018-06-19 2019-12-19 Lam Research Corporation Temperature control systems and methods for removing metal oxide films
KR102436079B1 (ko) * 2018-06-20 2022-08-25 가부시키가이샤 아루박 진공 처리장치, 지지 샤프트
EP3588533A1 (en) * 2018-06-21 2020-01-01 Nederlandse Organisatie voor toegepast- natuurwetenschappelijk onderzoek TNO Plasma source and method of operating the same
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
WO2020014065A1 (en) 2018-07-09 2020-01-16 Lam Research Corporation Electron excitation atomic layer etch
JP7110020B2 (ja) * 2018-07-24 2022-08-01 キオクシア株式会社 基板支持装置およびプラズマ処理装置
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10889894B2 (en) * 2018-08-06 2021-01-12 Applied Materials, Inc. Faceplate with embedded heater
JP6966402B2 (ja) * 2018-09-11 2021-11-17 株式会社Kokusai Electric 基板処理装置、半導体装置の製造方法および基板処理装置の電極
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
SG11202101349SA (en) * 2018-09-26 2021-04-29 Applied Materials Inc Gas distribution assemblies and operation thereof
WO2020068343A1 (en) 2018-09-28 2020-04-02 Applied Materials, Inc. Coaxial lift device with dynamic leveling
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
KR102386210B1 (ko) * 2018-10-15 2022-04-12 세메스 주식회사 가열 플레이트 냉각 방법과 기판 처리 장치 및 방법
KR20210063434A (ko) 2018-10-19 2021-06-01 램 리써치 코포레이션 갭 충진 (gapfill) 을 위한 도핑되거나 도핑되지 않은 실리콘 카바이드 증착 및 원격 수소 플라즈마 노출
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
CN109600898B (zh) * 2018-12-13 2020-04-17 大连理工大学 一种喷淋式电极及放电系统
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
JP2020123672A (ja) 2019-01-30 2020-08-13 東京エレクトロン株式会社 基板処理装置の制御方法、基板処理装置及びクラスタシステム
US11515167B2 (en) 2019-02-01 2022-11-29 Hitachi High-Tech Corporation Plasma etching method and plasma processing apparatus
WO2020217266A1 (ja) 2019-04-22 2020-10-29 株式会社日立ハイテクノロジーズ プラズマ処理方法およびプラズマ処理装置
US20200377998A1 (en) * 2019-05-28 2020-12-03 Applied Materials, Inc. Apparatus for improved flow control in process chambers
KR102628919B1 (ko) * 2019-05-29 2024-01-24 주식회사 원익아이피에스 기판처리장치 및 이를 이용한 기판처리방법
KR20210005515A (ko) * 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
CN114207771B (zh) * 2019-07-29 2023-12-29 应用材料公司 半导体处理腔室和用于清洁所述半导体处理腔室的方法
US10692730B1 (en) * 2019-08-30 2020-06-23 Mattson Technology, Inc. Silicon oxide selective dry etch process
US10957512B1 (en) * 2019-09-25 2021-03-23 Applied Materials, Inc. Method and device for a carrier proximity mask
US10991547B2 (en) 2019-09-25 2021-04-27 Applied Materials, Inc. Method and device for a carrier proximity mask
TWI721578B (zh) * 2019-09-27 2021-03-11 聚昌科技股份有限公司 快速更換產線之模組化電漿反應腔室結構
US11236424B2 (en) 2019-11-01 2022-02-01 Applied Materials, Inc. Process kit for improving edge film thickness uniformity on a substrate
TW202125573A (zh) * 2019-11-16 2021-07-01 美商應用材料股份有限公司 具有嵌入式螺帽的噴淋頭
US20210175103A1 (en) * 2019-12-06 2021-06-10 Applied Materials, Inc. In situ failure detection in semiconductor processing chambers
KR102274459B1 (ko) * 2019-12-27 2021-07-07 한국기계연구원 플라즈마 세정장치 및 이를 구비한 반도체 공정설비
WO2021150625A1 (en) 2020-01-23 2021-07-29 Applied Materials, Inc. Method of cleaning a structure and method of depositiing a capping layer in a structure
US20210249239A1 (en) * 2020-02-10 2021-08-12 Applied Materials, Inc. Methods and apparatus for improving flow uniformity in a process chamber
US11854839B2 (en) 2020-04-15 2023-12-26 Mks Instruments, Inc. Valve apparatuses and related methods for reactive process gas isolation and facilitating purge during isolation
US20210335586A1 (en) * 2020-04-22 2021-10-28 Applied Materials, Inc. Methods and apparatus for cleaning a showerhead
KR20230007440A (ko) * 2020-04-28 2023-01-12 램 리써치 코포레이션 웨이퍼 베벨/에지 상의 증착을 제어하기 위한 샤워헤드 설계들
KR102629845B1 (ko) 2020-06-16 2024-01-29 주식회사 히타치하이테크 플라스마 처리 장치 및 플라스마 처리 방법
US11242600B2 (en) * 2020-06-17 2022-02-08 Applied Materials, Inc. High temperature face plate for deposition application
WO2022040165A1 (en) * 2020-08-18 2022-02-24 Mattson Technology, Inc. Rapid thermal processing system with cooling system
US20220084845A1 (en) * 2020-09-17 2022-03-17 Applied Materials, Inc. High conductance process kit
US11584993B2 (en) 2020-10-19 2023-02-21 Applied Materials, Inc. Thermally uniform deposition station
US20220195617A1 (en) * 2020-12-22 2022-06-23 Applied Materials, Inc. Multi-layer epi chamber body
JP7312160B2 (ja) * 2020-12-28 2023-07-20 株式会社アルバック エッチング装置及びエッチング方法
CN112813415A (zh) * 2020-12-31 2021-05-18 拓荆科技股份有限公司 腔体内的清洁方法
US11781212B2 (en) * 2021-04-07 2023-10-10 Applied Material, Inc. Overlap susceptor and preheat ring
US11851758B2 (en) * 2021-04-20 2023-12-26 Applied Materials, Inc. Fabrication of a high temperature showerhead
US20230009692A1 (en) * 2021-07-07 2023-01-12 Applied Materials, Inc Coated substrate support assembly for substrate processing

Family Cites Families (378)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US2369620A (en) * 1941-03-07 1945-02-13 Battelle Development Corp Method of coating cupreous metal with tin
US3756511A (en) * 1971-02-02 1973-09-04 Kogyo Kaihatsu Kenyusho Nozzle and torch for plasma jet
US4397812A (en) * 1974-05-24 1983-08-09 Richardson Chemical Company Electroless nickel polyalloys
US4632857A (en) 1974-05-24 1986-12-30 Richardson Chemical Company Electrolessly plated product having a polymetallic catalytic film underlayer
US4232060A (en) * 1979-01-22 1980-11-04 Richardson Chemical Company Method of preparing substrate surface for electroless plating and products produced thereby
US3937857A (en) * 1974-07-22 1976-02-10 Amp Incorporated Catalyst for electroless deposition of metals
US4006047A (en) * 1974-07-22 1977-02-01 Amp Incorporated Catalysts for electroless deposition of metals on comparatively low-temperature polyolefin and polyester substrates
US4230515A (en) * 1978-07-27 1980-10-28 Davis & Wilder, Inc. Plasma etching apparatus
US4265943A (en) * 1978-11-27 1981-05-05 Macdermid Incorporated Method and composition for continuous electroless copper deposition using a hypophosphite reducing agent in the presence of cobalt or nickel ions
US4234628A (en) * 1978-11-28 1980-11-18 The Harshaw Chemical Company Two-step preplate system for polymeric surfaces
US4361441A (en) * 1979-04-17 1982-11-30 Plasma Holdings N.V. Treatment of matter in low temperature plasmas
US4209357A (en) * 1979-05-18 1980-06-24 Tegal Corporation Plasma reactor apparatus
IT1130955B (it) * 1980-03-11 1986-06-18 Oronzio De Nora Impianti Procedimento per la formazione di elettroci sulle superficie di membrane semipermeabili e sistemi elettrodo-membrana cosi' prodotti
US4405435A (en) * 1980-08-27 1983-09-20 Hitachi, Ltd. Apparatus for performing continuous treatment in vacuum
US4368223A (en) * 1981-06-01 1983-01-11 Asahi Glass Company, Ltd. Process for preparing nickel layer
US4585920A (en) * 1982-05-21 1986-04-29 Tegal Corporation Plasma reactor removable insert
JPS591671A (ja) * 1982-05-28 1984-01-07 Fujitsu Ltd プラズマcvd装置
JPS6060060A (ja) * 1983-09-12 1985-04-06 株式会社日立製作所 鉄道車両の扉開閉装置
US4579618A (en) * 1984-01-06 1986-04-01 Tegal Corporation Plasma reactor apparatus
US4807016A (en) * 1985-07-15 1989-02-21 Texas Instruments Incorporated Dry etch of phosphosilicate glass with selectivity to undoped oxide
US4892753A (en) * 1986-12-19 1990-01-09 Applied Materials, Inc. Process for PECVD of silicon oxide using TEOS decomposition
US5000113A (en) * 1986-12-19 1991-03-19 Applied Materials, Inc. Thermal CVD/PECVD reactor and use for thermal chemical vapor deposition of silicon dioxide and in-situ multi-step planarized process
US5228501A (en) * 1986-12-19 1993-07-20 Applied Materials, Inc. Physical vapor deposition clamping mechanism and heater/cooler
US4872947A (en) * 1986-12-19 1989-10-10 Applied Materials, Inc. CVD of silicon oxide using TEOS decomposition and in-situ planarization process
US4951601A (en) * 1986-12-19 1990-08-28 Applied Materials, Inc. Multi-chamber integrated process system
JPS63204726A (ja) * 1987-02-20 1988-08-24 Anelva Corp 真空処理装置
US5322976A (en) 1987-02-24 1994-06-21 Polyonics Corporation Process for forming polyimide-metal laminates
US4868071A (en) 1987-02-24 1989-09-19 Polyonics Corporation Thermally stable dual metal coated laminate products made from textured polyimide film
US5198034A (en) * 1987-03-31 1993-03-30 Epsilon Technology, Inc. Rotatable substrate supporting mechanism with temperature sensing device for use in chemical vapor deposition equipment
EP0286306B1 (en) * 1987-04-03 1993-10-06 Fujitsu Limited Method and apparatus for vapor deposition of diamond
US4753898A (en) * 1987-07-09 1988-06-28 Motorola, Inc. LDD CMOS process
US4886570A (en) 1987-07-16 1989-12-12 Texas Instruments Incorporated Processing apparatus and method
US4810520A (en) * 1987-09-23 1989-03-07 Magnetic Peripherals Inc. Method for controlling electroless magnetic plating
EP0336979B1 (en) * 1987-10-14 1995-05-24 The Furukawa Electric Co., Ltd. Apparatus for thin film formation by plasma cvd
US4792378A (en) * 1987-12-15 1988-12-20 Texas Instruments Incorporated Gas dispersion disk for use in plasma enhanced chemical vapor deposition reactor
US5015331A (en) * 1988-08-30 1991-05-14 Matrix Integrated Systems Method of plasma etching with parallel plate reactor having a grid
JPH02121330A (ja) 1988-10-31 1990-05-09 Hitachi Ltd プラズマ処理方法及び装置
JP2981243B2 (ja) 1988-12-27 1999-11-22 株式会社東芝 表面処理方法
DE68928402T2 (de) * 1988-12-27 1998-03-12 Toshiba Kawasaki Kk Verfahren zur Entfernung einer Oxidschicht auf einem Substrat
US4985372A (en) * 1989-02-17 1991-01-15 Tokyo Electron Limited Method of forming conductive layer including removal of native oxide
US5186718A (en) * 1989-05-19 1993-02-16 Applied Materials, Inc. Staged-vacuum wafer processing system and method
US4987856A (en) * 1989-05-22 1991-01-29 Advanced Semiconductor Materials America, Inc. High throughput multi station processor for multiple single wafers
US5000319A (en) * 1989-06-02 1991-03-19 Leon Mermelstein Negative storage page with lock-in flaps
US4994404A (en) * 1989-08-28 1991-02-19 Motorola, Inc. Method for forming a lightly-doped drain (LDD) structure in a semiconductor device
EP0447155B1 (en) * 1990-03-12 1995-07-26 Ngk Insulators, Ltd. Wafer heaters for use in semi-conductor-producing apparatus, heating units using such wafer heaters, and production of heaters
US4971653A (en) * 1990-03-14 1990-11-20 Matrix Integrated Systems Temperature controlled chuck for elevated temperature etch processing
US5089441A (en) * 1990-04-16 1992-02-18 Texas Instruments Incorporated Low-temperature in-situ dry cleaning process for semiconductor wafers
US5147692A (en) * 1990-05-08 1992-09-15 Macdermid, Incorporated Electroless plating of nickel onto surfaces such as copper or fused tungston
US5238499A (en) * 1990-07-16 1993-08-24 Novellus Systems, Inc. Gas-based substrate protection during processing
US5235139A (en) 1990-09-12 1993-08-10 Macdermid, Incorprated Method for fabricating printed circuits
US5074456A (en) * 1990-09-18 1991-12-24 Lam Research Corporation Composite electrode for plasma processes
US5549780A (en) * 1990-10-23 1996-08-27 Semiconductor Energy Laboratory Co., Ltd. Method for plasma processing and apparatus for plasma processing
US5578130A (en) * 1990-12-12 1996-11-26 Semiconductor Energy Laboratory Co., Ltd. Apparatus and method for depositing a film
JP2787142B2 (ja) * 1991-03-01 1998-08-13 上村工業 株式会社 無電解錫、鉛又はそれらの合金めっき方法
US5203911A (en) * 1991-06-24 1993-04-20 Shipley Company Inc. Controlled electroless plating
US5240497A (en) * 1991-10-08 1993-08-31 Cornell Research Foundation, Inc. Alkaline free electroless deposition
US5352636A (en) * 1992-01-16 1994-10-04 Applied Materials, Inc. In situ method for cleaning silicon surface and forming layer thereon in same chamber
JP3084497B2 (ja) * 1992-03-25 2000-09-04 東京エレクトロン株式会社 SiO2膜のエッチング方法
US5356476A (en) * 1992-06-15 1994-10-18 Materials Research Corporation Semiconductor wafer processing method and apparatus with heat and gas flow control
US5380560A (en) * 1992-07-28 1995-01-10 International Business Machines Corporation Palladium sulfate solution for the selective seeding of the metal interconnections on polyimide dielectrics for electroless metal deposition
US5282925A (en) * 1992-11-09 1994-02-01 International Business Machines Corporation Device and method for accurate etching and removal of thin film
US5382311A (en) * 1992-12-17 1995-01-17 Tokyo Electron Limited Stage having electrostatic chuck and plasma processing apparatus using same
US5500249A (en) * 1992-12-22 1996-03-19 Applied Materials, Inc. Uniform tungsten silicide films produced by chemical vapor deposition
US5345999A (en) * 1993-03-17 1994-09-13 Applied Materials, Inc. Method and apparatus for cooling semiconductor wafers
US5695568A (en) * 1993-04-05 1997-12-09 Applied Materials, Inc. Chemical vapor deposition chamber
DE69432383D1 (de) * 1993-05-27 2003-05-08 Applied Materials Inc Verbesserungen betreffend Substrathalter geeignet für den Gebrauch in Vorrichtungen für die chemische Abscheidung aus der Dampfphase
US5591269A (en) * 1993-06-24 1997-01-07 Tokyo Electron Limited Vacuum processing apparatus
US5560779A (en) * 1993-07-12 1996-10-01 Olin Corporation Apparatus for synthesizing diamond films utilizing an arc plasma
WO1995002900A1 (en) * 1993-07-15 1995-01-26 Astarix, Inc. Aluminum-palladium alloy for initiation of electroless plating
US5449410A (en) * 1993-07-28 1995-09-12 Applied Materials, Inc. Plasma processing apparatus
DE69421465T2 (de) * 1993-07-30 2000-02-10 Applied Materials Inc Verfahren zur Ablagerung von Silzium-Nitrid auf Siliziumoberflächen
US5468597A (en) * 1993-08-25 1995-11-21 Shipley Company, L.L.C. Selective metallization process
US5384284A (en) * 1993-10-01 1995-01-24 Micron Semiconductor, Inc. Method to form a low resistant bond pad interconnect
SE501888C2 (sv) * 1993-10-18 1995-06-12 Ladislav Bardos En metod och en apparat för generering av en urladdning i egna ångor från en radiofrekvenselektrod för kontinuerlig självförstoftning av elektroden
US5505816A (en) 1993-12-16 1996-04-09 International Business Machines Corporation Etching of silicon dioxide selectively to silicon nitride and polysilicon
JPH07193214A (ja) 1993-12-27 1995-07-28 Mitsubishi Electric Corp バイアホール及びその形成方法
US5415890A (en) * 1994-01-03 1995-05-16 Eaton Corporation Modular apparatus and method for surface treatment of parts with liquid baths
US5403434A (en) * 1994-01-06 1995-04-04 Texas Instruments Incorporated Low-temperature in-situ dry cleaning process for semiconductor wafer
US5451259A (en) * 1994-02-17 1995-09-19 Krogh; Ole D. ECR plasma source for remote processing
US5531835A (en) * 1994-05-18 1996-07-02 Applied Materials, Inc. Patterned susceptor to reduce electrostatic force in a CVD chamber
US5934856A (en) * 1994-05-23 1999-08-10 Tokyo Electron Limited Multi-chamber treatment system
US5628829A (en) * 1994-06-03 1997-05-13 Materials Research Corporation Method and apparatus for low temperature deposition of CVD and PECVD films
US5767373A (en) * 1994-06-16 1998-06-16 Novartis Finance Corporation Manipulation of protoporphyrinogen oxidase enzyme activity in eukaryotic organisms
EP0697467A1 (en) 1994-07-21 1996-02-21 Applied Materials, Inc. Method and apparatus for cleaning a deposition chamber
US5558717A (en) * 1994-11-30 1996-09-24 Applied Materials CVD Processing chamber
TW434745B (en) * 1995-06-07 2001-05-16 Tokyo Electron Ltd Plasma processing apparatus
US5716485A (en) * 1995-06-07 1998-02-10 Varian Associates, Inc. Electrode designs for controlling uniformity profiles in plasma processing reactors
JP2814370B2 (ja) * 1995-06-18 1998-10-22 東京エレクトロン株式会社 プラズマ処理装置
US6197364B1 (en) * 1995-08-22 2001-03-06 International Business Machines Corporation Production of electroless Co(P) with designed coercivity
US5755859A (en) * 1995-08-24 1998-05-26 International Business Machines Corporation Cobalt-tin alloys and their applications for devices, chip interconnections and packaging
WO1997009737A1 (en) * 1995-09-01 1997-03-13 Advanced Semiconductor Materials America, Inc. Wafer support system
US5716506A (en) * 1995-10-06 1998-02-10 Board Of Trustees Of The University Of Illinois Electrochemical sensors for gas detection
US5910340A (en) * 1995-10-23 1999-06-08 C. Uyemura & Co., Ltd. Electroless nickel plating solution and method
US6015724A (en) * 1995-11-02 2000-01-18 Semiconductor Energy Laboratory Co. Manufacturing method of a semiconductor device
US5648125A (en) * 1995-11-16 1997-07-15 Cane; Frank N. Electroless plating process for the manufacture of printed circuit boards
US5846598A (en) 1995-11-30 1998-12-08 International Business Machines Corporation Electroless plating of metallic features on nonmetallic or semiconductor layer without extraneous plating
US5733816A (en) * 1995-12-13 1998-03-31 Micron Technology, Inc. Method for depositing a tungsten layer on silicon
US6261637B1 (en) * 1995-12-15 2001-07-17 Enthone-Omi, Inc. Use of palladium immersion deposition to selectively initiate electroless plating on Ti and W alloys for wafer fabrication
EP0811083B1 (en) * 1995-12-19 2000-05-31 FSI International Electroless deposition of metal films with spray processor
US5824599A (en) 1996-01-16 1998-10-20 Cornell Research Foundation, Inc. Protected encapsulation of catalytic layer for electroless copper interconnect
US5891513A (en) * 1996-01-16 1999-04-06 Cornell Research Foundation Electroless CU deposition on a barrier layer by CU contact displacement for ULSI applications
US5674787A (en) 1996-01-16 1997-10-07 Sematech, Inc. Selective electroless copper deposited interconnect plugs for ULSI applications
WO1997036457A1 (en) * 1996-03-25 1997-10-02 Lesinski S George Attaching an implantable hearing aid microactuator
US6048798A (en) * 1996-06-05 2000-04-11 Lam Research Corporation Apparatus for reducing process drift in inductive coupled plasma etching such as oxide layer
US5846373A (en) * 1996-06-28 1998-12-08 Lam Research Corporation Method for monitoring process endpoints in a plasma chamber and a process monitoring arrangement in a plasma chamber
US5993916A (en) 1996-07-12 1999-11-30 Applied Materials, Inc. Method for substrate processing with improved throughput and yield
US5846332A (en) 1996-07-12 1998-12-08 Applied Materials, Inc. Thermally floating pedestal collar in a chemical vapor deposition chamber
US5781693A (en) * 1996-07-24 1998-07-14 Applied Materials, Inc. Gas introduction showerhead for an RTP chamber with upper and lower transparent plates and gas flow therebetween
US5917285A (en) * 1996-07-24 1999-06-29 Georgia Tech Research Corporation Apparatus and method for reducing operating voltage in gas discharge devices
US5747373A (en) * 1996-09-24 1998-05-05 Taiwan Semiconductor Manufacturing Company Ltd. Nitride-oxide sidewall spacer for salicide formation
US5846375A (en) * 1996-09-26 1998-12-08 Micron Technology, Inc. Area specific temperature control for electrode plates and chucks used in semiconductor processing equipment
US5904827A (en) * 1996-10-15 1999-05-18 Reynolds Tech Fabricators, Inc. Plating cell with rotary wiper and megasonic transducer
US5928389A (en) * 1996-10-21 1999-07-27 Applied Materials, Inc. Method and apparatus for priority based scheduling of wafer processing within a multiple chamber semiconductor wafer processing tool
US5951776A (en) * 1996-10-25 1999-09-14 Applied Materials, Inc. Self aligning lift mechanism
KR100237825B1 (ko) * 1996-11-05 2000-01-15 윤종용 반도체장치 제조설비의 페디스탈
US5939831A (en) * 1996-11-13 1999-08-17 Applied Materials, Inc. Methods and apparatus for pre-stabilized plasma generation for microwave clean applications
US5812403A (en) 1996-11-13 1998-09-22 Applied Materials, Inc. Methods and apparatus for cleaning surfaces in a substrate processing system
US6152070A (en) * 1996-11-18 2000-11-28 Applied Materials, Inc. Tandem process chamber
US5844195A (en) 1996-11-18 1998-12-01 Applied Materials, Inc. Remote plasma source
US5830805A (en) 1996-11-18 1998-11-03 Cornell Research Foundation Electroless deposition equipment or apparatus and method of performing electroless deposition
US5855681A (en) * 1996-11-18 1999-01-05 Applied Materials, Inc. Ultra high throughput wafer vacuum processing system
US5695810A (en) 1996-11-20 1997-12-09 Cornell Research Foundation, Inc. Use of cobalt tungsten phosphide as a barrier material for copper metallization
JPH10154699A (ja) 1996-11-25 1998-06-09 Anelva Corp リモートプラズマ型プラズマ処理装置
US5843538A (en) 1996-12-09 1998-12-01 John L. Raymond Method for electroless nickel plating of metal substrates
DE19700231C2 (de) * 1997-01-07 2001-10-04 Geesthacht Gkss Forschung Vorrichtung zum Filtern und Trennen von Strömungsmedien
US5913147A (en) * 1997-01-21 1999-06-15 Advanced Micro Devices, Inc. Method for fabricating copper-aluminum metallization
US6035101A (en) * 1997-02-12 2000-03-07 Applied Materials, Inc. High temperature multi-layered alloy heater assembly and related methods
JPH10284360A (ja) * 1997-04-02 1998-10-23 Hitachi Ltd 基板温度制御装置及び方法
US5969422A (en) 1997-05-15 1999-10-19 Advanced Micro Devices, Inc. Plated copper interconnect structure
US6083344A (en) * 1997-05-29 2000-07-04 Applied Materials, Inc. Multi-zone RF inductively coupled source configuration
US6706334B1 (en) * 1997-06-04 2004-03-16 Tokyo Electron Limited Processing method and apparatus for removing oxide film
US5885749A (en) * 1997-06-20 1999-03-23 Clear Logic, Inc. Method of customizing integrated circuits by selective secondary deposition of layer interconnect material
US5933757A (en) * 1997-06-23 1999-08-03 Lsi Logic Corporation Etch process selective to cobalt silicide for formation of integrated circuit structures
US6518155B1 (en) * 1997-06-30 2003-02-11 Intel Corporation Device structure and method for reducing silicide encroachment
JPH1136076A (ja) * 1997-07-16 1999-02-09 Tokyo Electron Ltd Cvd成膜装置およびcvd成膜方法
US6086688A (en) * 1997-07-28 2000-07-11 Alcan International Ltd. Cast metal-matrix composite material and its use
US6364957B1 (en) * 1997-10-09 2002-04-02 Applied Materials, Inc. Support assembly with thermal expansion compensation
JP3874911B2 (ja) 1997-10-15 2007-01-31 株式会社Neomaxマテリアル 微小プラスチック球へのめっき方法
GB9722028D0 (en) 1997-10-17 1997-12-17 Shipley Company Ll C Plating of polymers
US6136693A (en) 1997-10-27 2000-10-24 Chartered Semiconductor Manufacturing Ltd. Method for planarized interconnect vias using electroless plating and CMP
US6077780A (en) * 1997-12-03 2000-06-20 Advanced Micro Devices, Inc. Method for filling high aspect ratio openings of an integrated circuit to minimize electromigration failure
US6635185B2 (en) * 1997-12-31 2003-10-21 Alliedsignal Inc. Method of etching and cleaning using fluorinated carbonyl compounds
US6406759B1 (en) * 1998-01-08 2002-06-18 The University Of Tennessee Research Corporation Remote exposure of workpieces using a recirculated plasma
JPH11204442A (ja) 1998-01-12 1999-07-30 Tokyo Electron Ltd 枚葉式の熱処理装置
US6140234A (en) 1998-01-20 2000-10-31 International Business Machines Corporation Method to selectively fill recesses with conductive metal
US5932077A (en) * 1998-02-09 1999-08-03 Reynolds Tech Fabricators, Inc. Plating cell with horizontal product load mechanism
US6340435B1 (en) 1998-02-11 2002-01-22 Applied Materials, Inc. Integrated low K dielectrics and etch stops
US6627532B1 (en) 1998-02-11 2003-09-30 Applied Materials, Inc. Method of decreasing the K value in SiOC layer deposited by chemical vapor deposition
US6054379A (en) 1998-02-11 2000-04-25 Applied Materials, Inc. Method of depositing a low k dielectric with organo silane
US6197688B1 (en) * 1998-02-12 2001-03-06 Motorola Inc. Interconnect structure in a semiconductor device and method of formation
US6171661B1 (en) * 1998-02-25 2001-01-09 Applied Materials, Inc. Deposition of copper with increased adhesion
US6189484B1 (en) * 1999-03-05 2001-02-20 Applied Materials Inc. Plasma reactor having a helicon wave high density plasma source
US6565729B2 (en) * 1998-03-20 2003-05-20 Semitool, Inc. Method for electrochemically depositing metal on a semiconductor workpiece
US6197181B1 (en) * 1998-03-20 2001-03-06 Semitool, Inc. Apparatus and method for electrolytically depositing a metal on a microelectronic workpiece
WO1999052135A1 (en) 1998-04-02 1999-10-14 Applied Materials, Inc. Method for etching low k dielectrics
US6117245A (en) * 1998-04-08 2000-09-12 Applied Materials, Inc. Method and apparatus for controlling cooling and heating fluids for a gas distribution plate
US5997649A (en) * 1998-04-09 1999-12-07 Tokyo Electron Limited Stacked showerhead assembly for delivering gases and RF power to a reaction chamber
US6416647B1 (en) 1998-04-21 2002-07-09 Applied Materials, Inc. Electro-chemical deposition cell for face-up processing of single semiconductor substrates
US6113771A (en) * 1998-04-21 2000-09-05 Applied Materials, Inc. Electro deposition chemistry
US6179924B1 (en) * 1998-04-28 2001-01-30 Applied Materials, Inc. Heater for use in substrate processing apparatus to deposit tungsten
US6093594A (en) 1998-04-29 2000-07-25 Advanced Micro Devices, Inc. CMOS optimization method utilizing sacrificial sidewall spacer
US6086677A (en) * 1998-06-16 2000-07-11 Applied Materials, Inc. Dual gas faceplate for a showerhead in a semiconductor wafer processing system
US6147009A (en) 1998-06-29 2000-11-14 International Business Machines Corporation Hydrogenated oxidized silicon carbon material
DE69929607T2 (de) 1998-06-30 2006-07-27 Semitool, Inc., Kalispell Metallisierungsstrukturen für mikroelektronische anwendungen und verfahren zur herstellung dieser strukturen
US6073577A (en) * 1998-06-30 2000-06-13 Lam Research Corporation Electrode for plasma processes and method for manufacture and use thereof
US6562128B1 (en) * 2001-11-28 2003-05-13 Seh America, Inc. In-situ post epitaxial treatment process
US6248429B1 (en) * 1998-07-06 2001-06-19 Micron Technology, Inc. Metallized recess in a substrate
JP2000026975A (ja) * 1998-07-09 2000-01-25 Komatsu Ltd 表面処理装置
US6063683A (en) 1998-07-27 2000-05-16 Acer Semiconductor Manufacturing, Inc. Method of fabricating a self-aligned crown-shaped capacitor for high density DRAM cells
US6436816B1 (en) * 1998-07-31 2002-08-20 Industrial Technology Research Institute Method of electroless plating copper on nitride barrier
KR100271770B1 (ko) * 1998-09-03 2001-02-01 윤종용 반도체장치 제조를 위한 플라즈마 공정챔버
US6383951B1 (en) 1998-09-03 2002-05-07 Micron Technology, Inc. Low dielectric constant material for integrated circuit fabrication
US6165912A (en) 1998-09-17 2000-12-26 Cfmt, Inc. Electroless metal deposition of electronic components in an enclosable vessel
US6180523B1 (en) * 1998-10-13 2001-01-30 Industrial Technology Research Institute Copper metallization of USLI by electroless process
US6228758B1 (en) * 1998-10-14 2001-05-08 Advanced Micro Devices, Inc. Method of making dual damascene conductive interconnections and integrated circuit device comprising same
US6251802B1 (en) * 1998-10-19 2001-06-26 Micron Technology, Inc. Methods of forming carbon-containing layers
US6107199A (en) * 1998-10-24 2000-08-22 International Business Machines Corporation Method for improving the morphology of refractory metal thin films
JP3064268B2 (ja) 1998-10-29 2000-07-12 アプライド マテリアルズ インコーポレイテッド 成膜方法及び装置
US6176198B1 (en) * 1998-11-02 2001-01-23 Applied Materials, Inc. Apparatus and method for depositing low K dielectric materials
JP4124543B2 (ja) 1998-11-11 2008-07-23 東京エレクトロン株式会社 表面処理方法及びその装置
US6486081B1 (en) * 1998-11-13 2002-11-26 Applied Materials, Inc. Gas distribution system for a CVD processing chamber
US6462371B1 (en) 1998-11-24 2002-10-08 Micron Technology Inc. Films doped with carbon for use in integrated circuit technology
US6251236B1 (en) * 1998-11-30 2001-06-26 Applied Materials, Inc. Cathode contact ring for electrochemical deposition
US6258220B1 (en) * 1998-11-30 2001-07-10 Applied Materials, Inc. Electro-chemical deposition system
US6228233B1 (en) * 1998-11-30 2001-05-08 Applied Materials, Inc. Inflatable compliant bladder assembly
US6015747A (en) * 1998-12-07 2000-01-18 Advanced Micro Device Method of metal/polysilicon gate formation in a field effect transistor
US6242349B1 (en) * 1998-12-09 2001-06-05 Advanced Micro Devices, Inc. Method of forming copper/copper alloy interconnection with reduced electromigration
US6364954B2 (en) * 1998-12-14 2002-04-02 Applied Materials, Inc. High temperature chemical vapor deposition chamber
US6245669B1 (en) 1999-02-05 2001-06-12 Taiwan Semiconductor Manufacturing Company High selectivity Si-rich SiON etch-stop layer
US6010962A (en) * 1999-02-12 2000-01-04 Taiwan Semiconductor Manufacturing Company Copper chemical-mechanical-polishing (CMP) dishing
US6245670B1 (en) * 1999-02-19 2001-06-12 Advanced Micro Devices, Inc. Method for filling a dual damascene opening having high aspect ratio to minimize electromigration failure
US6136163A (en) 1999-03-05 2000-10-24 Applied Materials, Inc. Apparatus for electro-chemical deposition with thermal anneal chamber
US6312995B1 (en) 1999-03-08 2001-11-06 Advanced Micro Devices, Inc. MOS transistor with assisted-gates and ultra-shallow “Psuedo” source and drain extensions for ultra-large-scale integration
US6144099A (en) * 1999-03-30 2000-11-07 Advanced Micro Devices, Inc. Semiconductor metalization barrier
JP4236329B2 (ja) * 1999-04-15 2009-03-11 日本碍子株式会社 プラズマ処理装置
US6110836A (en) * 1999-04-22 2000-08-29 Applied Materials, Inc. Reactive plasma etch cleaning of high aspect ratio openings
US6541671B1 (en) * 2002-02-13 2003-04-01 The Regents Of The University Of California Synthesis of 2H- and 13C-substituted dithanes
US6464795B1 (en) * 1999-05-21 2002-10-15 Applied Materials, Inc. Substrate support member for a processing chamber
US6323128B1 (en) 1999-05-26 2001-11-27 International Business Machines Corporation Method for forming Co-W-P-Au films
US20020033233A1 (en) * 1999-06-08 2002-03-21 Stephen E. Savas Icp reactor having a conically-shaped plasma-generating section
US6174812B1 (en) * 1999-06-08 2001-01-16 United Microelectronics Corp. Copper damascene technology for ultra large scale integration circuits
US6821571B2 (en) 1999-06-18 2004-11-23 Applied Materials Inc. Plasma treatment to enhance adhesion and to minimize oxidation of carbon-containing layers
US6110530A (en) * 1999-06-25 2000-08-29 Applied Materials, Inc. CVD method of depositing copper films by using improved organocopper precursor blend
US6516815B1 (en) * 1999-07-09 2003-02-11 Applied Materials, Inc. Edge bead removal/spin rinse dry (EBR/SRD) module
US6258223B1 (en) * 1999-07-09 2001-07-10 Applied Materials, Inc. In-situ electroless copper seed layer enhancement in an electroplating system
US6351013B1 (en) 1999-07-13 2002-02-26 Advanced Micro Devices, Inc. Low-K sub spacer pocket formation for gate capacitance reduction
US6342733B1 (en) * 1999-07-27 2002-01-29 International Business Machines Corporation Reduced electromigration and stressed induced migration of Cu wires by surface coating
JP4057198B2 (ja) 1999-08-13 2008-03-05 東京エレクトロン株式会社 処理装置及び処理方法
US6375748B1 (en) * 1999-09-01 2002-04-23 Applied Materials, Inc. Method and apparatus for preventing edge deposition
US6441492B1 (en) * 1999-09-10 2002-08-27 James A. Cunningham Diffusion barriers for copper interconnect systems
US6432819B1 (en) * 1999-09-27 2002-08-13 Applied Materials, Inc. Method and apparatus of forming a sputtered doped seed layer
US6287643B1 (en) * 1999-09-30 2001-09-11 Novellus Systems, Inc. Apparatus and method for injecting and modifying gas concentration of a meta-stable or atomic species in a downstream plasma reactor
US6153935A (en) 1999-09-30 2000-11-28 International Business Machines Corporation Dual etch stop/diffusion barrier for damascene interconnects
US6364949B1 (en) * 1999-10-19 2002-04-02 Applied Materials, Inc. 300 mm CVD chamber design for metal-organic thin film deposition
DE29919142U1 (de) * 1999-10-30 2001-03-08 Agrodyn Hochspannungstechnik G Plasmadüse
US6551924B1 (en) * 1999-11-02 2003-04-22 International Business Machines Corporation Post metalization chem-mech polishing dielectric etch
EP1099776A1 (en) 1999-11-09 2001-05-16 Applied Materials, Inc. Plasma cleaning step in a salicide process
TW484170B (en) * 1999-11-30 2002-04-21 Applied Materials Inc Integrated modular processing platform
US6342453B1 (en) * 1999-12-03 2002-01-29 Applied Materials, Inc. Method for CVD process control for enhancing device performance
DE10060002B4 (de) * 1999-12-07 2016-01-28 Komatsu Ltd. Vorrichtung zur Oberflächenbehandlung
US6238513B1 (en) * 1999-12-28 2001-05-29 International Business Machines Corporation Wafer lift assembly
KR100767762B1 (ko) * 2000-01-18 2007-10-17 에이에스엠 저펜 가부시기가이샤 자가 세정을 위한 원격 플라즈마 소스를 구비한 cvd 반도체 공정장치
US6477980B1 (en) * 2000-01-20 2002-11-12 Applied Materials, Inc. Flexibly suspended gas distribution manifold for plasma chamber
US6656831B1 (en) 2000-01-26 2003-12-02 Applied Materials, Inc. Plasma-enhanced chemical vapor deposition of a metal nitride layer
US6494959B1 (en) 2000-01-28 2002-12-17 Applied Materials, Inc. Process and apparatus for cleaning a silicon surface
US6596085B1 (en) * 2000-02-01 2003-07-22 Applied Materials, Inc. Methods and apparatus for improved vaporization of deposition material in a substrate processing system
JP3723712B2 (ja) 2000-02-10 2005-12-07 株式会社日立国際電気 基板処理装置及び基板処理方法
US6743473B1 (en) * 2000-02-16 2004-06-01 Applied Materials, Inc. Chemical vapor deposition of barriers from novel precursors
US6573030B1 (en) * 2000-02-17 2003-06-03 Applied Materials, Inc. Method for depositing an amorphous carbon layer
US6350320B1 (en) * 2000-02-22 2002-02-26 Applied Materials, Inc. Heater for processing chamber
US6319766B1 (en) * 2000-02-22 2001-11-20 Applied Materials, Inc. Method of tantalum nitride deposition by tantalum oxide densification
US6958098B2 (en) * 2000-02-28 2005-10-25 Applied Materials, Inc. Semiconductor wafer support lift-pin assembly
JP3979791B2 (ja) 2000-03-08 2007-09-19 株式会社ルネサステクノロジ 半導体装置およびその製造方法
JP2001355074A (ja) * 2000-04-10 2001-12-25 Sony Corp 無電解メッキ処理方法およびその装置
JP2001308023A (ja) * 2000-04-21 2001-11-02 Tokyo Electron Ltd 熱処理装置及び方法
US6458718B1 (en) * 2000-04-28 2002-10-01 Asm Japan K.K. Fluorine-containing materials and processes
US6679981B1 (en) * 2000-05-11 2004-01-20 Applied Materials, Inc. Inductive plasma loop enhancing magnetron sputtering
US6553932B2 (en) * 2000-05-12 2003-04-29 Applied Materials, Inc. Reduction of plasma edge effect on plasma enhanced CVD processes
US6418874B1 (en) * 2000-05-25 2002-07-16 Applied Materials, Inc. Toroidal plasma source for plasma processing
US6729081B2 (en) 2000-06-09 2004-05-04 United Solar Systems Corporation Self-adhesive photovoltaic module
US6603269B1 (en) * 2000-06-13 2003-08-05 Applied Materials, Inc. Resonant chamber applicator for remote plasma source
US6461435B1 (en) * 2000-06-22 2002-10-08 Applied Materials, Inc. Showerhead with reduced contact area
US6645550B1 (en) 2000-06-22 2003-11-11 Applied Materials, Inc. Method of treating a substrate
US6491978B1 (en) * 2000-07-10 2002-12-10 Applied Materials, Inc. Deposition of CVD layers for copper metallization using novel metal organic chemical vapor deposition (MOCVD) precursors
US6794311B2 (en) 2000-07-14 2004-09-21 Applied Materials Inc. Method and apparatus for treating low k dielectric layers to reduce diffusion
KR100366623B1 (ko) * 2000-07-18 2003-01-09 삼성전자 주식회사 반도체 기판 또는 lcd 기판의 세정방법
US6764958B1 (en) 2000-07-28 2004-07-20 Applied Materials Inc. Method of depositing dielectric films
US6800830B2 (en) * 2000-08-18 2004-10-05 Hitachi Kokusai Electric, Inc. Chemistry for boron diffusion barrier layer and method of application in semiconductor device fabrication
US6446572B1 (en) * 2000-08-18 2002-09-10 Tokyo Electron Limited Embedded plasma source for plasma density improvement
US6436267B1 (en) * 2000-08-29 2002-08-20 Applied Materials, Inc. Method for achieving copper fill of high aspect ratio interconnect features
US6372657B1 (en) * 2000-08-31 2002-04-16 Micron Technology, Inc. Method for selective etching of oxides
US6465366B1 (en) 2000-09-12 2002-10-15 Applied Materials, Inc. Dual frequency plasma enhanced chemical vapor deposition of silicon carbide layers
JP2002100578A (ja) 2000-09-25 2002-04-05 Crystage Co Ltd 薄膜形成装置
TW588401B (en) * 2000-11-01 2004-05-21 Applied Materials Inc Method of plasma etching features on a dielectric layer on a substrate
WO2002043116A2 (en) * 2000-11-01 2002-05-30 Applied Materials, Inc. Etching of high aspect ratio features in a substrate
US6610362B1 (en) 2000-11-20 2003-08-26 Intel Corporation Method of forming a carbon doped oxide layer on a substrate
KR100382725B1 (ko) * 2000-11-24 2003-05-09 삼성전자주식회사 클러스터화된 플라즈마 장치에서의 반도체소자의 제조방법
US6291348B1 (en) * 2000-11-30 2001-09-18 Advanced Micro Devices, Inc. Method of forming Cu-Ca-O thin films on Cu surfaces in a chemical solution and semiconductor device thereby formed
AUPR179500A0 (en) * 2000-11-30 2000-12-21 Saintech Pty Limited Ion source
US6544340B2 (en) * 2000-12-08 2003-04-08 Applied Materials, Inc. Heater with detachable ceramic top plate
US6448537B1 (en) * 2000-12-11 2002-09-10 Eric Anton Nering Single-wafer process chamber thermal convection processes
US20020124867A1 (en) * 2001-01-08 2002-09-12 Apl Co., Ltd. Apparatus and method for surface cleaning using plasma
US6879981B2 (en) * 2001-01-16 2005-04-12 Corigin Ltd. Sharing live data with a non cooperative DBMS
US6849854B2 (en) * 2001-01-18 2005-02-01 Saintech Pty Ltd. Ion source
US6743732B1 (en) * 2001-01-26 2004-06-01 Taiwan Semiconductor Manufacturing Company Organic low K dielectric etch with NH3 chemistry
KR100756107B1 (ko) * 2001-02-09 2007-09-05 동경 엘렉트론 주식회사 성막 장치
JP4260404B2 (ja) * 2001-02-09 2009-04-30 東京エレクトロン株式会社 成膜装置
US6893969B2 (en) 2001-02-12 2005-05-17 Lam Research Corporation Use of ammonia for etching organic low-k dielectrics
US6537733B2 (en) 2001-02-23 2003-03-25 Applied Materials, Inc. Method of depositing low dielectric constant silicon carbide layers
US6878206B2 (en) * 2001-07-16 2005-04-12 Applied Materials, Inc. Lid assembly for a processing system to facilitate sequential deposition techniques
US6886491B2 (en) * 2001-03-19 2005-05-03 Apex Co. Ltd. Plasma chemical vapor deposition apparatus
US6670278B2 (en) 2001-03-30 2003-12-30 Lam Research Corporation Method of plasma etching of silicon carbide
JP3707394B2 (ja) 2001-04-06 2005-10-19 ソニー株式会社 無電解メッキ方法
US20030019428A1 (en) * 2001-04-28 2003-01-30 Applied Materials, Inc. Chemical vapor deposition chamber
KR100687531B1 (ko) * 2001-05-09 2007-02-27 에이에스엠 저펜 가부시기가이샤 반도체 장치용 저유전상수 절연막의 형성방법
US6537928B1 (en) * 2002-02-19 2003-03-25 Asm Japan K.K. Apparatus and method for forming low dielectric constant film
JP4720019B2 (ja) * 2001-05-18 2011-07-13 東京エレクトロン株式会社 冷却機構及び処理装置
US6717189B2 (en) * 2001-06-01 2004-04-06 Ebara Corporation Electroless plating liquid and semiconductor device
US20030010645A1 (en) * 2001-06-14 2003-01-16 Mattson Technology, Inc. Barrier enhancement process for copper interconnects
US6573606B2 (en) * 2001-06-14 2003-06-03 International Business Machines Corporation Chip to wiring interface with single metal alloy layer applied to surface of copper interconnect
US6506291B2 (en) * 2001-06-14 2003-01-14 Applied Materials, Inc. Substrate support with multilevel heat transfer mechanism
JP2003019433A (ja) 2001-07-06 2003-01-21 Sekisui Chem Co Ltd 放電プラズマ処理装置及びそれを用いた処理方法
US20030029715A1 (en) * 2001-07-25 2003-02-13 Applied Materials, Inc. An Apparatus For Annealing Substrates In Physical Vapor Deposition Systems
US6786175B2 (en) * 2001-08-08 2004-09-07 Lam Research Corporation Showerhead electrode design for semiconductor processing reactor
US7138649B2 (en) 2001-08-09 2006-11-21 Amberwave Systems Corporation Dual-channel CMOS transistors with differentially strained channels
TW554069B (en) 2001-08-10 2003-09-21 Ebara Corp Plating device and method
EP1418619A4 (en) * 2001-08-13 2010-09-08 Ebara Corp SEMICONDUCTOR COMPONENTS AND MANUFACTURING METHOD THEREFOR AND PLATING SOLUTION
JP2003059914A (ja) 2001-08-21 2003-02-28 Hitachi Kokusai Electric Inc プラズマ処理装置
US20030038305A1 (en) 2001-08-21 2003-02-27 Wasshuber Christoph A. Method for manufacturing and structure of transistor with low-k spacer
US6753506B2 (en) * 2001-08-23 2004-06-22 Axcelis Technologies System and method of fast ambient switching for rapid thermal processing
US6762127B2 (en) 2001-08-23 2004-07-13 Yves Pierre Boiteux Etch process for dielectric materials comprising oxidized organo silane materials
WO2003018867A1 (en) * 2001-08-29 2003-03-06 Applied Materials, Inc. Semiconductor processing using an efficiently coupled gas source
US20030047282A1 (en) * 2001-09-10 2003-03-13 Yasumi Sago Surface processing apparatus
US6960537B2 (en) * 2001-10-02 2005-11-01 Asm America, Inc. Incorporation of nitrogen into high k dielectric film
US6656837B2 (en) 2001-10-11 2003-12-02 Applied Materials, Inc. Method of eliminating photoresist poisoning in damascene applications
EP1302988A3 (de) 2001-10-12 2007-01-24 Bayer MaterialScience AG Photovoltaik-Module mit einer thermoplastischen Schmelzklebeschicht sowie ein Verfahren zu ihrer Herstellung
US20030072639A1 (en) * 2001-10-17 2003-04-17 Applied Materials, Inc. Substrate support
JP3954833B2 (ja) 2001-10-19 2007-08-08 株式会社アルバック バッチ式真空処理装置
US6916398B2 (en) * 2001-10-26 2005-07-12 Applied Materials, Inc. Gas delivery apparatus and method for atomic layer deposition
US7780785B2 (en) * 2001-10-26 2010-08-24 Applied Materials, Inc. Gas delivery apparatus for atomic layer deposition
JP4121269B2 (ja) * 2001-11-27 2008-07-23 日本エー・エス・エム株式会社 セルフクリーニングを実行するプラズマcvd装置及び方法
KR100443121B1 (ko) * 2001-11-29 2004-08-04 삼성전자주식회사 반도체 공정의 수행 방법 및 반도체 공정 장치
JP2006501634A (ja) * 2001-12-13 2006-01-12 アプライド マテリアルズ インコーポレイテッド 基板をエッチングするための方法及び装置
US6890850B2 (en) 2001-12-14 2005-05-10 Applied Materials, Inc. Method of depositing dielectric materials in damascene applications
US6605874B2 (en) * 2001-12-19 2003-08-12 Intel Corporation Method of making semiconductor device using an interconnect
US20030116439A1 (en) * 2001-12-21 2003-06-26 International Business Machines Corporation Method for forming encapsulated metal interconnect structures in semiconductor integrated circuit devices
US6821379B2 (en) * 2001-12-21 2004-11-23 The Procter & Gamble Company Portable apparatus and method for treating a workpiece
US20030116087A1 (en) * 2001-12-21 2003-06-26 Nguyen Anh N. Chamber hardware design for titanium nitride atomic layer deposition
US20030124842A1 (en) * 2001-12-27 2003-07-03 Applied Materials, Inc. Dual-gas delivery system for chemical vapor deposition processes
US6827815B2 (en) * 2002-01-15 2004-12-07 Applied Materials, Inc. Showerhead assembly for a processing chamber
JP2003217898A (ja) 2002-01-16 2003-07-31 Sekisui Chem Co Ltd 放電プラズマ処理装置
US6866746B2 (en) 2002-01-26 2005-03-15 Applied Materials, Inc. Clamshell and small volume chamber with fixed substrate support
US6998014B2 (en) * 2002-01-26 2006-02-14 Applied Materials, Inc. Apparatus and method for plasma assisted deposition
US7138014B2 (en) * 2002-01-28 2006-11-21 Applied Materials, Inc. Electroless deposition apparatus
US6806653B2 (en) * 2002-01-31 2004-10-19 Tokyo Electron Limited Method and structure to segment RF coupling to silicon electrode
US6632325B2 (en) 2002-02-07 2003-10-14 Applied Materials, Inc. Article for use in a semiconductor processing chamber and method of fabricating same
US7256370B2 (en) * 2002-03-15 2007-08-14 Steed Technology, Inc. Vacuum thermal annealer
US6913651B2 (en) * 2002-03-22 2005-07-05 Blue29, Llc Apparatus and method for electroless deposition of materials on semiconductor substrates
US6541397B1 (en) * 2002-03-29 2003-04-01 Applied Materials, Inc. Removable amorphous carbon CMP stop
US6843858B2 (en) 2002-04-02 2005-01-18 Applied Materials, Inc. Method of cleaning a semiconductor processing chamber
US20030190426A1 (en) 2002-04-03 2003-10-09 Deenesh Padhi Electroless deposition method
US6921556B2 (en) * 2002-04-12 2005-07-26 Asm Japan K.K. Method of film deposition using single-wafer-processing type CVD
US6616967B1 (en) * 2002-04-15 2003-09-09 Texas Instruments Incorporated Method to achieve continuous hydrogen saturation in sparingly used electroless nickel plating process
US7013834B2 (en) * 2002-04-19 2006-03-21 Nordson Corporation Plasma treatment system
US6528409B1 (en) * 2002-04-29 2003-03-04 Advanced Micro Devices, Inc. Interconnect structure formed in porous dielectric material with minimized degradation and electromigration
JP2003347278A (ja) * 2002-05-23 2003-12-05 Hitachi Kokusai Electric Inc 基板処理装置、及び半導体装置の製造方法
US6500728B1 (en) 2002-05-24 2002-12-31 Taiwan Semiconductor Manufacturing Company Shallow trench isolation (STI) module to improve contact etch process window
WO2004006303A2 (en) * 2002-07-02 2004-01-15 Applied Materials, Inc. Method for fabricating an ultra shallow junction of a field effect transistor
US6767844B2 (en) * 2002-07-03 2004-07-27 Taiwan Semiconductor Manufacturing Co., Ltd Plasma chamber equipped with temperature-controlled focus ring and method of operating
AU2003282533A1 (en) * 2002-08-08 2004-02-25 Trikon Technologies Limited Improvements to showerheads
US20040033677A1 (en) 2002-08-14 2004-02-19 Reza Arghavani Method and apparatus to prevent lateral oxidation in a transistor utilizing an ultra thin oxygen-diffusion barrier
US6946033B2 (en) * 2002-09-16 2005-09-20 Applied Materials Inc. Heated gas distribution plate for a processing chamber
KR100500852B1 (ko) * 2002-10-10 2005-07-12 최대규 원격 플라즈마 발생기
US6991959B2 (en) * 2002-10-10 2006-01-31 Asm Japan K.K. Method of manufacturing silicon carbide film
JP4606713B2 (ja) 2002-10-17 2011-01-05 ルネサスエレクトロニクス株式会社 半導体装置およびその製造方法
US6699380B1 (en) * 2002-10-18 2004-03-02 Applied Materials Inc. Modular electrochemical processing system
US6713873B1 (en) 2002-11-27 2004-03-30 Intel Corporation Adhesion between dielectric materials
JP3838969B2 (ja) 2002-12-17 2006-10-25 沖電気工業株式会社 ドライエッチング方法
US6720213B1 (en) * 2003-01-15 2004-04-13 International Business Machines Corporation Low-K gate spacers by fluorine implantation
CN100468611C (zh) * 2003-02-14 2009-03-11 应用材料股份有限公司 利用含氢自由基清洁自生氧化物的方法和设备
US6913992B2 (en) 2003-03-07 2005-07-05 Applied Materials, Inc. Method of modifying interlayer adhesion
WO2004081982A2 (en) 2003-03-07 2004-09-23 Amberwave Systems Corporation Shallow trench isolation process
US20040182315A1 (en) * 2003-03-17 2004-09-23 Tokyo Electron Limited Reduced maintenance chemical oxide removal (COR) processing system
US7126225B2 (en) 2003-04-15 2006-10-24 Taiwan Semiconductor Manufacturing Company, Ltd. Apparatus and method for manufacturing a semiconductor wafer with reduced delamination and peeling
JP4245996B2 (ja) 2003-07-07 2009-04-02 株式会社荏原製作所 無電解めっきによるキャップ膜の形成方法およびこれに用いる装置
US20050022735A1 (en) * 2003-07-31 2005-02-03 General Electric Company Delivery system for PECVD powered electrode
US7256134B2 (en) 2003-08-01 2007-08-14 Applied Materials, Inc. Selective etching of carbon-doped low-k dielectrics
US20050035455A1 (en) * 2003-08-14 2005-02-17 Chenming Hu Device with low-k dielectric in close proximity thereto and its method of fabrication
US7282244B2 (en) * 2003-09-05 2007-10-16 General Electric Company Replaceable plate expanded thermal plasma apparatus and method
TWI351725B (en) 2003-10-06 2011-11-01 Applied Materials Inc Apparatus to improve wafer temperature uniformity
US20070111519A1 (en) * 2003-10-15 2007-05-17 Applied Materials, Inc. Integrated electroless deposition system
US7465358B2 (en) 2003-10-15 2008-12-16 Applied Materials, Inc. Measurement techniques for controlling aspects of a electroless deposition process
US20050109276A1 (en) 2003-11-25 2005-05-26 Applied Materials, Inc. Thermal chemical vapor deposition of silicon nitride using BTBAS bis(tertiary-butylamino silane) in a single wafer chamber
US6958286B2 (en) 2004-01-02 2005-10-25 International Business Machines Corporation Method of preventing surface roughening during hydrogen prebake of SiGe substrates
US6893967B1 (en) 2004-01-13 2005-05-17 Advanced Micro Devices, Inc. L-shaped spacer incorporating or patterned using amorphous carbon or CVD organic materials
US20060033678A1 (en) * 2004-01-26 2006-02-16 Applied Materials, Inc. Integrated electroless deposition system
US20070123051A1 (en) * 2004-02-26 2007-05-31 Reza Arghavani Oxide etch with nh4-nf3 chemistry
US20050230350A1 (en) * 2004-02-26 2005-10-20 Applied Materials, Inc. In-situ dry clean chamber for front end of line fabrication
US7780793B2 (en) * 2004-02-26 2010-08-24 Applied Materials, Inc. Passivation layer formation by plasma clean process to reduce native oxide growth
US20060051966A1 (en) * 2004-02-26 2006-03-09 Applied Materials, Inc. In-situ chamber clean process to remove by-product deposits from chemical vapor etch chamber
US7407893B2 (en) 2004-03-05 2008-08-05 Applied Materials, Inc. Liquid precursors for the CVD deposition of amorphous carbon films
US7115974B2 (en) 2004-04-27 2006-10-03 Taiwan Semiconductor Manfacturing Company, Ltd. Silicon oxycarbide and silicon carbonitride based materials for MOS devices
WO2005112092A2 (en) 2004-05-11 2005-11-24 Applied Materials, Inc. CARBON-DOPED-Si OXIDE ETCH USING H2 ADDITIVE IN FLUOROCARBON ETCH CHEMISTRY
US7049200B2 (en) 2004-05-25 2006-05-23 Applied Materials Inc. Method for forming a low thermal budget spacer
US7122949B2 (en) * 2004-06-21 2006-10-17 Neocera, Inc. Cylindrical electron beam generating/triggering device and method for generation of electrons
US7217626B2 (en) 2004-07-26 2007-05-15 Texas Instruments Incorporated Transistor fabrication methods using dual sidewall spacers
KR100593740B1 (ko) 2004-09-16 2006-06-28 삼성전자주식회사 반도체 자연산화막 제거방법
US20060130971A1 (en) * 2004-12-21 2006-06-22 Applied Materials, Inc. Apparatus for generating plasma by RF power
WO2006069085A2 (en) * 2004-12-21 2006-06-29 Applied Materials, Inc. An in-situ chamber clean process to remove by-product deposits from chemical vapor etch chamber
US7253123B2 (en) * 2005-01-10 2007-08-07 Applied Materials, Inc. Method for producing gate stack sidewall spacers
JP4475136B2 (ja) * 2005-02-18 2010-06-09 東京エレクトロン株式会社 処理システム、前処理装置及び記憶媒体
WO2006102318A2 (en) 2005-03-18 2006-09-28 Applied Materials, Inc. Electroless deposition process on a contact containing silicon or silicide
US20060252252A1 (en) 2005-03-18 2006-11-09 Zhize Zhu Electroless deposition processes and compositions for forming interconnects
US20070071888A1 (en) * 2005-09-21 2007-03-29 Arulkumar Shanmugasundram Method and apparatus for forming device features in an integrated electroless deposition system
US20070087573A1 (en) * 2005-10-19 2007-04-19 Yi-Yiing Chiang Pre-treatment method for physical vapor deposition of metal layer and method of forming metal silicide layer
US7494545B2 (en) * 2006-02-03 2009-02-24 Applied Materials, Inc. Epitaxial deposition process and apparatus
DE202008016190U1 (de) 2007-12-04 2009-03-19 Parabel Ag Mehrschichtiges Solarelement
JP2009170890A (ja) 2007-12-18 2009-07-30 Takashima & Co Ltd 可撓性膜状太陽電池複層体

Cited By (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102792426A (zh) * 2010-03-10 2012-11-21 应用材料公司 循环氧化与蚀刻的设备及方法
CN102792426B (zh) * 2010-03-10 2016-08-17 应用材料公司 循环氧化与蚀刻的设备及方法
CN106057649A (zh) * 2010-03-10 2016-10-26 应用材料公司 循环氧化与蚀刻的设备及方法
CN106057649B (zh) * 2010-03-10 2019-10-01 应用材料公司 循环氧化与蚀刻的设备及方法
CN102934203A (zh) * 2010-04-28 2013-02-13 应用材料公司 用于短生命周期物种的具有内建等离子体源的处理腔室盖设计
CN102934203B (zh) * 2010-04-28 2015-09-23 应用材料公司 用于短生命周期物种的具有内建等离子体源的处理腔室盖设计
CN103695839A (zh) * 2013-12-07 2014-04-02 深圳市金凯新瑞光电有限公司 一种应用在镀膜设备中的离子源清洗装置
CN111312583A (zh) * 2020-04-01 2020-06-19 山东职业学院 一种制备半导体硅芯片的生产工艺
CN111312583B (zh) * 2020-04-01 2022-04-29 山东职业学院 一种制备半导体硅芯片的生产工艺
CN115142046A (zh) * 2021-03-31 2022-10-04 中微半导体设备(上海)股份有限公司 基片承载组件、化学气相沉积设备及吹扫方法
CN115142046B (zh) * 2021-03-31 2024-03-12 中微半导体设备(上海)股份有限公司 基片承载组件、化学气相沉积设备及吹扫方法

Also Published As

Publication number Publication date
JP4960598B2 (ja) 2012-06-27
CN100487857C (zh) 2009-05-13
US20050205110A1 (en) 2005-09-22
KR20080110967A (ko) 2008-12-22
KR20080110566A (ko) 2008-12-18
TW200923126A (en) 2009-06-01
EP1568797A3 (en) 2006-10-04
KR20110110746A (ko) 2011-10-07
JP2011205135A (ja) 2011-10-13
TWI393800B (zh) 2013-04-21
US20120267346A1 (en) 2012-10-25
US20090095621A1 (en) 2009-04-16
KR101148431B1 (ko) 2012-05-23
EP1568797A2 (en) 2005-08-31
CN101916740B (zh) 2013-01-02
JP2005244244A (ja) 2005-09-08
US20050221552A1 (en) 2005-10-06
US8846163B2 (en) 2014-09-30
KR20060042255A (ko) 2006-05-12
US20210225640A1 (en) 2021-07-22
US20090111280A1 (en) 2009-04-30
JP5028536B2 (ja) 2012-09-19
KR101234740B1 (ko) 2013-02-19
JP2011205154A (ja) 2011-10-13
US7520957B2 (en) 2009-04-21
KR101228996B1 (ko) 2013-02-04
TWI402371B (zh) 2013-07-21
CN101916740A (zh) 2010-12-15
CN101916715B (zh) 2012-11-28
US20200006054A1 (en) 2020-01-02
US8343307B2 (en) 2013-01-01
EP2787099A2 (en) 2014-10-08
US10593539B2 (en) 2020-03-17
TW201102455A (en) 2011-01-16
KR101324651B1 (ko) 2013-11-01
US20140076234A1 (en) 2014-03-20
EP1568797B1 (en) 2014-07-23
CN1681079A (zh) 2005-10-12
CN101916715A (zh) 2010-12-15
TWI386517B (zh) 2013-02-21
KR101107919B1 (ko) 2012-01-25
JP5250668B2 (ja) 2013-07-31
CN101241844B (zh) 2010-09-22
TWI330669B (en) 2010-09-21
KR101192099B1 (ko) 2012-10-17
EP2787099A3 (en) 2014-12-03
US20090095334A1 (en) 2009-04-16
US20050218507A1 (en) 2005-10-06
US20110223755A1 (en) 2011-09-15
US7767024B2 (en) 2010-08-03
TW200930829A (en) 2009-07-16
TWI421370B (zh) 2014-01-01
US7396480B2 (en) 2008-07-08
TW200934887A (en) 2009-08-16
US20050230350A1 (en) 2005-10-20
KR20080111424A (ko) 2008-12-23
KR20110110748A (ko) 2011-10-07
US20120244704A1 (en) 2012-09-27
TW200533777A (en) 2005-10-16
US20080268645A1 (en) 2008-10-30

Similar Documents

Publication Publication Date Title
CN101241844B (zh) 用于前段工艺制造的原地干洗腔
CN101437981B (zh) 用于消除来自化学蒸汽刻蚀腔的副产品沉积的原位腔清洁工艺
TWI387667B (zh) 用於自化學氣相蝕刻處理室移除副產物沉積的原位處理室清潔製程
KR20070087196A (ko) 화학 기상 에칭 챔버로부터 부산물 증착을 제거하기 위한인-시튜 챔버 세정 방법
KR101248182B1 (ko) Feol 제조를 위한 인시튜 세정 챔버

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant