CN101283447B - 采用无隔离体场效应晶体管和双衬垫工艺增加应变增强的结构和方法 - Google Patents

采用无隔离体场效应晶体管和双衬垫工艺增加应变增强的结构和方法 Download PDF

Info

Publication number
CN101283447B
CN101283447B CN2006800371607A CN200680037160A CN101283447B CN 101283447 B CN101283447 B CN 101283447B CN 2006800371607 A CN2006800371607 A CN 2006800371607A CN 200680037160 A CN200680037160 A CN 200680037160A CN 101283447 B CN101283447 B CN 101283447B
Authority
CN
China
Prior art keywords
slider
effect transistor
field
stress
liner
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
CN2006800371607A
Other languages
English (en)
Other versions
CN101283447A (zh
Inventor
杨海宁
西达哈萨·潘达
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Core Usa Second LLC
GlobalFoundries Inc
Original Assignee
International Business Machines Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by International Business Machines Corp filed Critical International Business Machines Corp
Publication of CN101283447A publication Critical patent/CN101283447A/zh
Application granted granted Critical
Publication of CN101283447B publication Critical patent/CN101283447B/zh
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823807Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823864Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate sidewall spacers, e.g. double spacers, particular spacer material or shape
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/84Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being other than a semiconductor body, e.g. being an insulating body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/6653Unipolar field-effect transistors with an insulated gate, i.e. MISFET using the removal of at least part of spacer, e.g. disposable spacer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7843Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being an applied insulating layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/12Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being other than a semiconductor body, e.g. an insulating body
    • H01L27/1203Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being other than a semiconductor body, e.g. an insulating body the substrate comprising an insulating body on a semiconductor body, e.g. SOI
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/665Unipolar field-effect transistors with an insulated gate, i.e. MISFET using self aligned silicidation, i.e. salicide
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/6656Unipolar field-effect transistors with an insulated gate, i.e. MISFET using multiple spacer layers, e.g. multiple sidewall spacers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Abstract

提供了一种半导体结构及其制造方法,其中对于nFET和pFET都实现了应变增强。具体地,本发明提供了较强应变增强和缺陷减少的至少一无隔离体FET。至少一无隔离体FET可以是pFET、nFET、或其组合,尤其优选无隔离体pFET,因为pFET通常制造得比nFET具有更宽的宽度。所述至少一无隔离体FET允许在比包括具有隔离体的FET的现有结构更接近于器件沟道处提供应力引发衬垫。实现无隔离体FET而不负面影响对应的硅化的源极/漏极扩散接触的电阻,所述接触不侵占所述无隔离体FET的下面。

Description

采用无隔离体场效应晶体管和双衬垫工艺增加应变增强的结构和方法
技术领域
本发明涉及半导体结构以及这样的结构的制造方法。更具体地,本发明涉及具有用无隔离体FET和应力引发衬垫获得的具有增加的应变增强的半导体结构。本发明还提供这样的半导体结构的制造方法,其中采用无隔离体FET和双衬垫工艺以便提供具有增加的应变增强的半导体结构。
背景技术
在当前的半导体技术中,互补金属氧化物半导体(CMOS)器件,例如nFET或pFET,典型地在具有单晶向的例如硅的半导体晶片上制造。具体地,多数当今的半导体器件在具有(100)晶向的硅上制造。
已知电子对于(100)硅表面晶向具有高迁移率,但是空穴对于(110)表面晶向具有高迁移率。即在(100)硅上的空穴迁移率粗略地比对于该晶向的对应的电子迁移率低2至4倍。为了补偿该差异,pFET典型地设计具有较大的宽度以便平衡相对于nFET下拉电流的上拉电流并且实现均匀的电路开关。
另一方面,空穴迁移率在(110)硅上是在(100)硅上的2倍高,因此,在(110)表面上形成的pFET展示比在(100)表面上形成的pFET显著较高的驱动电流。不幸的是,在(110)硅表面上的电子迁移率与(100)硅表面相比显著降低。
从上述可以推理出,因为杰出的空穴迁移率,(110)硅表面对于pFET器件是优选的,而这样的晶向完全不适合于nFET器件。相反,(100)硅表面对于nFET器件是优选的,由于其晶向有利于电子迁移率。
最近开发了具有不同晶向的平坦化表面的混合取向衬底。例如见于2003年6月23日提交的美国专利申请第10/250,241号和于2003年10月29日提交的美国专利申请第10/696,634号。另外,混合取向金属氧化物半导体场效应晶体管(MOSFET)近来在90nm技术节点展现了显著较高的电路性能。如同上述所讨论的,通过将nFET放置在(100)表面上并且将pFET放置在(110)表面上可以独立地优化电子迁移率和空穴迁移率。
尽管具有不同晶向的平坦化表面的混合取向的衬底可以增加载流子的迁移率,但是需要进一步的改进,以便随着器件的缩小而保持性能的缩放比例。
另一提高载流子迁移率的手段是将应力引入MOSFET的沟道中。应力可以通过几种方法被引入单晶向衬底,包括,例如在衬底顶部上和栅极区周围形成应力引发衬垫。在当前90nm节点模式的技术内,对于nFET和pFET的应变增强是使用双氮化物衬垫工艺而实现的。在这样的工艺中,在nFET周围形成拉应力的氮化物衬垫并且在pFET周围形成压应力的氮化物衬垫。
除了使用两种不同类型的应力衬垫从而在pFET和nFET器件中实现应力沟道之外,pFET的隔离体典型地比nFET的隔离体宽得多,以便实现优选的器件参数,所述参数例如电阻和阈值电压衰减(roll off)。当使用较宽的pFET隔离体时,压氮化物膜至pFET沟道的距离增加,并且这样使在pFET器件上的应变增强减小。另外,较宽的隔离体减小了两个紧密地放置的pFET器件之间的空间,导致在pFET顶部形成的互连电介质(ILD)中的氧化物空隙。ILD空隙此后在金属接触形成期间被填充以金属,形成了可能短路接触的金属脉道。
考虑到上述原因,仍然存在提供其中对于nFET和pFET器件都实现应变增强的半导体结构的需求,其中消除了对于pFET器件使用较宽的隔离体的问题。
发明内容
本发明提供了一种半导体结构及其制造方法,其中对于nFET和pFET器件都实现了应变增强。具体地,本发明提供了较强应变增强和缺陷减小的至少一无隔离体FET。至少一无隔离体FET可以是pFET、nFET、或其组合,具体地优选无隔离体pFET,因为pFET通常制造得比nFET具有较大的宽度。
“无隔离体”意指在形成源极/漏极扩散区中典型地使用的宽的外隔离体被完全地消除或用窄得多的隔离体替代。术语“宽隔离体”指示具有大约20nm或更大的沿底部的宽度的隔离体,该底部形成与下面的层,即衬底或栅极电介质的界面。
根据本发明,提供了一种半导体结构,其中通过完全去除宽外隔离体或通过显著地减小这样的隔离体的宽度,应力引发衬垫位于至器件沟道非常近之处(在大约30nm或更小的量级)。这样,实现了提供改善了的器件速度的较强的应力增强。本发明实现了应力增强,而不负面地影响在FET器件的源极/漏极扩散区上方存在的硅化物接触的电阻。在现有技术工艺中,在双应力衬垫工艺期间当从FET器件区之一去除应力引发衬垫时,源极/漏极扩散区上方的硅化物接触的电阻受到影响(即电阻增加)。
在本发明中,使用了再结晶退火步骤以便减小在从FET器件区之一去除应力引发衬垫的过程期间增加的硅化物接触的电阻。硅化物接触增加的电阻是损伤的结果,以非晶化的形式,其在从FET器件区之一去除应力引发衬垫期间引入。除了上述之外,还实现了具有应变增强的半导体结构,同时避免了在拥挤的FET区中相邻的接触之间的金属脉道的形成。
实现了这些和其它的优点而无需另外的掩模步骤或必须重新设计CMOS工艺。这样,本发明提供了具有应变增强的FET器件区的半导体结构的高成本效益的制造方法。
在广义上,本发明提供了一种半导体结构,其包括:
位于半导体衬底的表面上并且通过隔离区而相互分离的至少一pFET和至少一nFET,其中所述nFET或所述pFET的至少之一是无隔离体FET,各FET包括沟道区;
位于所述无隔离体FET的源极/漏极扩散区上方的再结晶硅化物接触,所述再结晶硅化物接触不侵占所述无隔离体FET的侧壁的下面;和
位于所述至少一pFET周围的压应力引发衬垫和位于所述至少一nFET周围的拉应力引发衬垫,其中在所述无隔离体FET周围的至少一应力引发衬垫位于距离对应的沟道区的30nm以下之内。
在优选的实施例中,无隔离体FET是pFET并且所述压应力引发衬垫位于接近无隔离体pFET的沟道区。
除了上述半导体结构之外,本发明还提供了这样的结构的制造方法。在一实施例中,其中使得pFET或者nFET为无隔离体,本发明的所述方法包括:
在包括至少一nFET和至少一pFET的结构上形成第一应力引发衬垫和覆盖的硬掩模,所述第一应力引发衬垫具有第一应力类型并且各FET包括器件沟道,宽外隔离体和硅化的源极/漏极扩散接触;
从所述nFET或pFET之一选择性地去除所述覆盖的硬掩模,所述第一应力引发衬垫、和所有或者部分所述宽外隔离体,从而形成至少一无隔离体FET,其中在所述第一应力引发衬垫和所述宽隔离体的去除期间,所述至少一无隔离体FET的所述硅化的源极/漏极扩散接触被非晶化;
退火所述结构,从而再结晶所述至少一无隔离体FET的所述非晶化的硅化的源极/漏极扩散接触;并且
选择性地提供与所述第一应力类型不同的第二应力类型的第二应力引发衬垫至所述至少一无隔离体FET,其中所述第二应力引发衬垫位于距离所述至少一无隔离体FET的沟道区的30nm以下之内。
在优选的实施例中,至少一无隔离体FET是pFET,所述第一应力引发衬垫是拉应变的并且所述第二应力引发衬垫是压应变的。
在另一实施例中,其中使得pFET和nFET都没有隔离体,本发明的所述方法包括:
在包括至少一无隔离体nFET和至少一无隔离体pFET的结构上形成第一应力引发衬垫和覆盖的硬掩模,所述第一应力引发衬垫具有第一应力类型并且各FET包括器件沟道和硅化的源极/漏极扩散接触;
从所述nFET或pFET之一选择性地去除所述覆盖的硬掩模和所述第一应力引发衬垫,其中在从所述FET之一去除所述第一应力引发衬垫期间,其对应的硅化的源极/漏极扩散接触被非晶化;
退火所述结构,从而再结晶所述非晶化的硅化的源极/漏极扩散接触;并且
选择性地提供与所述第一应力类型不同的第二应力类型的第二应力引发衬垫至所述其中预先已经去除了第一应力引发衬垫的无隔离体FET,其中所述第一和第二应力引发衬垫位于距离各无隔离体FET的对应沟道区的30nm以下之内。
附图说明
图1A-1J是示出在本发明中所采用的基本的工艺步骤的图示表达(通过截面图)。
具体实施方式
现将参考下列讨论和附图更为详细地描述本发明,本发明提供了对于FET器件增加的应变增强的结构和方法。应当注意提供本说明书的附图仅是为了说明性的目的,且因此它们并未按比例绘制。
现将就其优选实施例的上下文描述本发明,在优选实施例中使用无隔离体pFET实现了应变增强。尽管以下描述和示出了无隔离体pFET,但是本发明还考虑了其中单独使用无隔离体nFET或者与无隔离体pFET一起使用的情形。当仅使用无隔离体nFET时,工艺顺序被修改,使得压应力引发衬垫首先形成,从nFET区被去除,并且此后从nFET器件去除宽隔离体。当无隔离体nFET和pFET形成时,在硅化物形成之后且形成第一应力引发衬垫之前,宽隔离体被去除。
图1A示出了在本发明中所使用的初始结构10。初始结构10包括半导体衬底,该半导体衬底包括位于衬底12的表面上的至少一pFET 14A和至少一nFET 14B。不同导电性的FET,即pFET和nFET通过隔离区16而相互分离。各FET包括栅极电介质18、栅极导体20、选择性的内隔离体(或钝化层)22、和外隔离体24。硅化物接触26被示出于半导体衬底12中将存在源极/漏极扩散的区中。当栅极导体包括含硅材料时,硅化物接触28可以选择性地位于栅极导体的顶部。
在图1A中所示出的初始结构包括本领域所熟知的材料。此外,FET,隔离区和硅化物接触可以利用本领域所熟知的传统技术而形成。例如,FET可以通过各种材料层的沉积和通过光刻和蚀刻的构图而形成。作为替代,在形成FET中可以使用替代的栅极工艺。
初始结构10的半导体衬底12可以是绝缘体上半导体(如同所示出的)或体半导体。半导体衬底12可以被施加应变,未施加应变或在其中包含应变区和无应变区。半导体衬底12可以具有单晶向或可以是具有不同晶向的区的混合衬底。当采用混合衬底时,nFET和pFET在具有将对于具体的器件提供增强的器件性能的取向的区中被制造。例如,nFET形成于(100)表面上,而pFET形成于(110)表面上。
在示出的具体实施例中,绝缘体上半导体包括通过埋藏绝缘层12B而完全或者部分分离的上半导体层12C和下半导体层12A。上和下半导体层可以包括相同或者不同的半导体材料,包括相同的半导体材料是高度优选的。埋藏绝缘层12B可以是结晶或非晶氧化物、氮化物或氧氮化物。绝缘体上半导体衬底可以通过传统层转移工艺或者通过称为SIMOX(通过氧的离子注入的分离)的离子注入和退火工艺而形成。
术语“半导体材料或层”在此使用以指示任何展示半导体特性的材料,包括例如Si、SiGe、SiGeC、SiC、Ge合金、GaAs、InAs、InP以及其它III/V或II/VI化合物半导体。优选衬底的半导体材料是含硅半导体,Si或SiGe是高度优选的。
半导体衬底12还可以包括第一掺杂(n-或p-)区,和第二掺杂(n-或p-)区。为了清楚起见,在本申请的附图中未具体地标注掺杂区。第一掺杂区和第二掺杂区可以相同,或者它们可以具有不同的导电性和/或掺杂浓度。这些掺杂区称为“阱”。
在提供半导体衬底12之后,通过利用本领域所熟知的传统技术,隔离区16形成入衬底中。例如,当隔离区16是沟槽隔离区时,可以采用传统的沟槽隔离工艺。这包括,例如,通过光刻和蚀刻形成沟槽进入衬底,选择性地用沟槽衬垫,例如TiN或TaN填充沟槽,并且随后用例如氧化物的沟槽电介质填充沟槽。填充步骤可以包括高密度等离子体沉积的氧化物,或从例如TEOS的氧先驱体形成的氧化物。选择性的密实步骤和/或平坦化步骤可以跟随在沟槽填充之后。当场隔离区用作隔离区16时,也可以使用传统的局部硅氧化(LOCOS)工艺来形成隔离区。
在图1A中,隔离区16是向下延伸进入埋藏绝缘层12B的表面的沟槽隔离区。尽管示出和描述了这样的实施例,但是本发明还考虑到隔离区16的其它深度。例如隔离区16可以具有在埋藏绝缘层12B上方、在埋藏绝缘层12B内、或在绝缘体上半导体衬底的下半导体层12A内的深度。
如上所述,各不同导电性的FET包括栅极电介质18。在nFET区中的栅极电介质18可以与在pFET区中的相同或不同,优选相同。栅极电介质18可以通过热生长工艺形成,例如氧化、氮化、或氧氮化。作为替代,栅极电介质18可以通过沉积工艺形成,例如化学气相沉积(CVD)、等离子辅助CVD、原子层沉积(ALD)、蒸镀、反应溅射、化学溶液沉积或其它类似的沉积工艺。栅极电介质18还可以利用任何上述工艺的组合而形成。
栅极电介质18包括绝缘材料,包括但不局限于:氧化物、氮化物、氧氮化物和/或包括金属硅化物和氮化的金属硅化物的硅化物,也考虑到了多层栅极电介质。在一实施例中,优选栅极电介质18包括氧化物,例如SiO2、HfO2、ZrO2、Al2O3、TiO2、La2O3、SrTiO3、LaAlO3、及其混合物。
栅极电介质18的物理厚度可以变化,但是典型地,栅极电介质18具有从大约0.5至大约10nm的厚度,从大约0.5至大约3nm的厚度更为典型。
在形成栅极电介质18之后,将成为在图1A中所示出的栅极导体的多晶硅或其它栅极导电材料或其组合利用已知的沉积工艺而形成于栅极电介质18上,所述沉积工艺例如物理气相沉积、CVD或蒸镀。栅极导体20可以是掺杂的或者是未掺杂的。如果是掺杂的,则在形成时可以采用在原位掺杂沉积工艺。作为替代,掺杂的栅极导体20可以通过沉积、离子注入和退火而形成。栅极导体20的掺杂将改变形成的栅极的功函。掺杂离子的示意性实例包括As、P、B、Sb、Bi、In、Al、Ga、T1或其混合物。对于离子注入的典型剂量是1E14(=1×1014)至1E16(=1×1016)原子/cm2或者更典型地是1E15至5E15原子/cm2。在本发明的该情形,沉积的栅极导体20的厚度即高度可以根据所采用的沉积工艺而改变。典型地,栅极导体20具有从大约20至大约180nm的垂直厚度,从大约40至大约150nm的厚度更为典型。
栅极导体20可以包括作为CMOS结构的栅极所典型地采用的任何导电材料。可以作为栅极导体20的这样的导电材料的示意性的实例包括,但不局限于:多晶硅、金属或金属合金、硅化物、导电氮化物、多晶硅锗及其组合,包括其多层。各器件区(即nFET和pFET)中的栅极导体20可以包括相同或不同的导电材料,优选相同的导电材料。在一些实施例中,可以形成栅极导体的多层之间的屏障层。
在本发明的该情形,在栅极导体20的顶部可以存在选择性的介电保护层(未示出)。该选择性的介电保护层典型地包括氧化物或氮化物,其典型地在源极/漏极扩散区被硅化之前或立即之后被去除。
选择性的内隔离体22可以存在于至少各栅极导体20的侧壁上。选择性的内隔离体22是可以通过热技术形成的钝化层。典型地,选择性的内隔离体22是氧化物、氮化物或氧氮化物,具有从大约5至大约15nm的厚度。当存在时,选择性的内隔离体22也典型地存在于栅极电介质18的侧壁以及半导体衬底12的被暴露的表面上。
各FET还包括宽外隔离体24。宽外隔离体24包括例如氧化物、氮化物、氧氮化物和/或其任意组合的绝缘体。优选形成氧化物内隔离体22并且形成氮化物外隔离体24。宽外隔离体24通过沉积和蚀刻而形成。
外隔离体24的宽度必须足够宽,使得源极和漏极硅化物接触(将随后形成)不侵占各栅极叠层的边的下面。此外,外隔离体24的宽度必须足够宽,使得深源极/漏极注入也不显著地侵入沟道区从而引起短沟道效应。典型地,当宽外隔离体26具有从底部测量的约20nm或者更大的宽度时,源极/漏极硅化物不侵占栅极叠层的边的下面。
源极/漏极扩散区(未具体示出)典型地存在于各FET的足印的半导体衬底12中。源极/漏极扩散区利用离子注入和退火步骤而形成。退火步骤起的作用是激活通过先前的注入步骤所注入的掺杂剂。离子注入和退火的条件是本领域的技术人员所熟知的。在本发明中,术语“源极/漏极扩散区”包括延伸区、晕区和深源极/漏极区。
在本发明的一些实施例中,并且当衬底不包括硅时,含硅层可以形成于衬底的被暴露的部分顶部以便提供形成硅化物接触的源。可以使用的含硅材料的示意性实例包括,例如硅、单晶硅、多晶硅、SiGe、和非晶硅。本发明的该实施例在附图中未被示出。
在形成各FET区之后,利用本领域所熟知的标准硅化工艺,形成硅化物接触。这包括形成能够与在整个结构的顶部上的硅反应的金属、形成在金属顶部的氧屏障层、加热结构以便形成硅化物、去除未反应的金属和氧屏障层,并且如果需要,进行第二次加热步骤。第二次加热步骤在那些第一次加热步骤不形成硅化物的最低电阻相的情形是需要的。在图1A中,参考标号26指示在源极/漏极扩散区上的硅化物接触。注意,如果栅极导体20包括多晶硅或SiGe,则本发明的该步骤可以用于形成含硅栅极导体的顶部的硅化物接触。在图1A中,参考标号28被用于界定位于栅极导体20上的硅化物接触。
图1B示出了形成第一应力引发衬垫30和硬掩模32之后的结构。第一应力引发衬垫30可以是压应力的或者是拉应力的。对于示出的实施例,第一应力引发衬垫30是拉应力的。第一应力引发衬垫30可以是能够将应力引入器件沟道的任何材料。这样的应力引入材料的实例包括,但不局限于:Si3N4、SiC、氧氮化硅和其它类似的材料。典型地,第一应力引发衬垫包括Si3N4。第一应力引发衬垫30可以利用各种化学气相沉积(CVD)工艺形成,包括例如低压CVD、等离子体增强CVD、快速热CVD、BTBAS基(与氨反应的C8H22N2Si)CVD,其中BTBAS是CVD应用的现代有机金属先驱体。应力类型通过修正先驱体和沉积条件而控制。这样的修正是本领域的技术人员所熟知的。第一应力引发衬垫30具有从大约20至大约150nm的沉积厚度,从大约30至大约100nm的沉积厚度更为典型。
硬掩模32随后利用传统沉积工艺形成于第一应力引发衬垫30顶部,例如CVD、PECVD、化学溶液沉积和蒸镀。硬掩模32典型地包括氧化物,例如SiO2。尽管典型地采用氧化物硬掩模,但是本发明还考虑了使用氧氮化物硬掩模。硬掩模32的厚度可以根据所使用的材料的类型以及在形成硬掩模中所使用的沉积工艺而变化。典型地,硬掩模32具有从大约5至大约40nm的沉积厚度,从大约10至大约25nm的沉积厚度更为典型。
图1C示出了形成第一构图的光致抗蚀剂34之后的结构,所述光致抗蚀剂34保护在图1B中所示出的结构上的至少一FET器件区。在示出的具体实施例中,第一构图的光致抗蚀剂34位于包括nFET器件的区上方。第一构图的光致抗蚀剂34通过沉积(例如CVD、PECVD、和旋涂)和光刻而形成。如图1C中所示出的,位于包括pFET器件的区中的硬掩模32不被第一构图的光致抗蚀剂34所保护。
图1D示出了从包括pFET的区去除硬掩模32并且从包括nFET的区的顶部剥离第一构图的光致抗蚀剂34之后所形成的结构。位于pFET器件顶部的被暴露的硬掩模32使用选择性地去除硬掩模材料的蚀刻工艺而被去除,停止在下面的第一应力引发衬垫30的顶部。当硬掩模32包括氧化物时,CF4化学试剂可以用于选择性地从pFET器件的顶部去除被暴露的硬掩模32。随后使用本领域中所熟知的传统光致抗蚀剂剥离工艺剥离第一构图的光致抗蚀剂34。
形成图1D中所示出的结构之后,随后使用存在于nFET器件的顶部的保留的氧化物硬掩模32作为构图掩模而去除位于pFET器件顶部的被暴露的第一应力引发衬垫30。在例如图1E中示出了所得的结构。被暴露的应力引发衬垫30使用从结构中选择性地去除不被硬掩模32所保护的应力引发衬垫的蚀刻工艺而被去除。当使用氮化物应力引发衬垫并且当使用氧化物硬掩模时,CH2F2、CHF3、CH3F和O2化学品可以用于选择性地从包括pFET器件的区的顶部去除被暴露的第一应力引发衬垫30。
应当注意在本发明的该步骤期间,位于至少源极/漏极扩散区顶部的硅化接触26被损伤;一些损伤还可以发生于硅化物接触28内。“损伤”意指硅化物接触的至少一些部分,具体地是表面区变为非晶化,非晶化将接触的电阻从第一值增加至比第一值大的第二值。当从包括pFET器件的区去除第一应力引发衬垫30时,可以获得大约20至150%的硅化物接触的增加的电阻(当从包括nFET器件的区去除第一应力引发衬垫时导致相似的值)。
在本发明的该情形,从包括pFET器件(见图1F)的区完全去除宽外隔离体24或从包括pFET器件(见图1G)的区部分去除宽外隔离体24。在当宽外隔离体24被部分去除的情形,剩下的外隔离体24’具有比初始宽度小得多的宽度。典型地,剩下的外隔离体24’具有从大约5至大约20nm的宽度。宽外隔离体24利用选择性地去除外隔离体24的材料的蚀刻步骤而被完全或者部分地去除。定时蚀刻工艺可以用于部分去除宽外隔离体24。典型地,当外隔离体24包括氮化物时,CH2F2、CH3F、或O2化学品用于完全或者部分地去除外隔离体材料。
应当注意在本发明的该步骤期间也出现对于至少源极/漏极扩散区顶部的硅化物接触的更多的损伤。宽外隔离体24的完全或者部分去除形成“无隔离体FET”。
形成“无隔离体”pFET器件区之后,进行退火工艺以便医治由从结构去除第一应力引发衬垫30和宽外隔离体所引起的损伤。具体地,进行退火以便再结晶硅化物的非晶部分,使得再结晶的硅化物26’(见图1H;这幅图和剩下的图假定整个宽外隔离体24已从pFET去除)具有在损伤其之前的电阻范围内的电阻。在大约350℃或更高、优选大约550℃或更高的温度下进行引起再结晶的硅化物26’的形成的退火。精确的退火温度取决于硅化物的材料。例如,350℃退火可以用于NiSi,而700℃退火可以用于CoSi2。可以利用快速热退火、炉内退火、激光退火、微波退火、或尖峰退火进行硅化物的再结晶退火。退火典型地在惰性环境下进行,例如He、Ar、N2或其混合物。该退火还可以再结晶栅极导体20顶上的硅化物接触。在图1H中该再结晶导电硅化物被标识以28’。
除了示出再结晶的硅化物26’之外,图1H还示出了在结构上形成第二应力引发衬垫之后的结构。第二应力引发衬垫36可以包括与第一应力引发衬垫30相同或不同的材料,第二应力引发衬垫36与第一应力引发衬垫30是相反的应力类型。在示出的具体实施例中,第二应力引发衬垫36是压应力的。在形成第一应力引发衬垫30中所使用的材料和沉积工艺也用于形成第二应力引发衬垫36。第二应力引发衬垫36典型地包括Si3N4
在图1H中,存在于nFET器件周围的第一应力引发衬垫30是拉应力的,而存在于pFET周围的第二应力引发衬垫36是压应力的。应当注意由于使用“无隔离体”pFET,所以第二应力引发衬垫36比在包括nFET器件的区中的第一应力引发衬垫30更接近器件沟道29。器件沟道29是在栅极导体20下面的半导体区。由此较强的应变增强被施加在pFET器件沟道上,因为第二应力引发衬垫36更为接近pFET器件沟道。“更为接近”意指第二应力引发衬垫36位于距离器件沟道29大约30nm或更近之处。
图1I示出了从包括nFET的区选择性地去除第二应力引发衬垫36之后的结构。该选择性的去除是通过首先在包括pFET器件的区顶上提供第二构图的光致抗蚀剂38并且随后蚀刻被暴露的第二应力引发衬垫36,停止在保留的硬掩模32顶部而实现的。
图1J示出了在图1I中所示出的结构上方具有接触开口的互连电介质40并且随后用导电材料42填充接触开口之后所形成的结构。互连电介质40包括在互连技术中所使用的任何有机或无机电介质。典型地,互连电介质40包括SiO2、有机硅酸盐玻璃、聚(亚芳基)醚、硅氧烷、倍半硅氧烷或其多层构成。互连电介质通过传统沉积工艺而形成,所述沉积工艺例如CVD、PECVD、和旋涂,并且接触开口通过光刻和蚀刻而形成。导电材料42可以包括导电金属、金属合金、金属硅化物、金属氮化物或掺杂的多晶硅。溅射、镀覆、蒸镀、CVD、PECVD和其它类似的沉积工艺可以用于形成导电材料42。平坦化工艺可以跟随导电填充步骤。
总之,本发明提供了一种半导体结构及其制造方法,其中对于nFET和pFET器件都实现了应变增强。具体地,本发明提供了较强的应变增强和缺陷减少的至少一无隔离体FET。至少一无隔离体FET可以是pFET、nFET、或其组合,尤其优选无隔离体pFET,因为pFET通常制造得具有比nFET宽的宽度。
上面描述的宽隔离体去除允许形成位于比宽隔离体仍然存在的情形更接近于器件沟道的恰当应力类型的衬垫。在正常的环境下,当去除宽隔离体时在现有技术中硅化物被严重地损伤。为了减轻该损伤并且将硅化物的电阻返回至接近其初始的电阻值,进行了退火。没有该退火,所述器件将是不实用的,因为硅化物的电阻在正常工作条件之上。此外,由于FET之间增加的空间,在本发明中改善了金属脉道问题。
应当注意本发明可以不改变正常的注入方案而实施,因为隔离体在离子被注入并且硅化物已形成之后被去除。数据示出由于删除或减小隔离体和再结晶退火工艺,可以使用本发明的结构获得改善了的器件速度。此外,由于金属脉道缺陷的减小,可以实现产率的改善。
虽然参考其优选实施例具体地示出和描述了本发明,但是本领域的技术人员应当理解可以进行前述和其它形式和细节上的变更而不偏离本发明的精神和范围。因此本发明旨在不局限于所描述和示出的精确的形式和细节,而是落在所附权利要求的范围之内。

Claims (24)

1.一种半导体结构,包括:
位于半导体衬底的表面上并且通过隔离区而相互分离的至少一p型场效应晶体管和至少一n型场效应晶体管,其中所述至少一n型场效应晶体管或所述至少一p型场效应晶体管之一是无隔离体场效应晶体管,而另一个包括宽外隔离体,该宽外隔离体是具有20nm或更大的沿底部的宽度的隔离体,各场效应晶体管包括沟道区;
位于所述无隔离体场效应晶体管的源极/漏极扩散区上方的再结晶硅化物接触,所述再结晶硅化物接触不侵占所述无隔离体场效应晶体管的侧壁的下面;和
位于所述至少一p型场效应晶体管周围的压应力引发衬垫和位于所述至少一n型场效应晶体管周围的拉应力引发衬垫,其中在所述无隔离体场效应晶体管周围的至少一应力引发衬垫位于距离对应的沟道区30nm以下之内。
2.根据权利要求1的半导体结构,其中所述半导体衬底是绝缘体上半导体衬底或大块体衬底。
3.根据权利要求1的半导体结构,其中所述至少一无隔离体场效应晶体管是无隔离体p型场效应晶体管。
4.根据权利要求1的半导体结构,其中所述至少一无隔离体场效应晶体管是无隔离体n型场效应晶体管和无隔离体p型场效应晶体管。
5.根据权利要求1的半导体结构,其中所述至少一无隔离体场效应晶体管没有所述宽外隔离体。
6.根据权利要求1的半导体结构,其中所述至少一无隔离体场效应晶体管包括具有从5至20nm的宽度的窄外隔离体。
7.根据权利要求1的半导体结构,其中各场效应晶体管包括位于栅极电介质顶部的栅极导体。
8.根据权利要求7的半导体结构,其中所述栅极导体包括多晶硅、多晶硅锗、金属、金属氮化物、金属硅化物或其多层。
9.根据权利要求8的半导体结构,其中所述无隔离体场效应晶体管的多晶硅或多晶硅锗栅极导体的上部包括再结晶硅化物接触。
10.一种半导体结构,包括:
位于半导体衬底的表面上并且通过隔离区而相互分离的至少一无隔离体p型场效应晶体管和至少一包括宽外隔离体的n型场效应晶体管,各场效应晶体管包括沟道区,该宽外隔离体是具有20nm或更大的沿底部的宽度的隔离体;
位于所述无隔离体p型场效应晶体管的源极/漏极扩散区上方的再结晶硅化物接触,所述再结晶硅化物接触不侵占所述至少一无隔离体p型场效应晶体管的侧壁的下面;
位于所述至少一无隔离体p型场效应晶体管周围的压应力引发衬垫和位于所述至少一包括宽外隔离体的n型场效应晶体管周围的拉应力引发衬垫,其中在所述无隔离体p型场效应晶体管周围的所述压应力引发衬垫位于距离对应的沟道区30nm以下之内。
11.根据权利要求10的半导体结构,其中所述半导体衬底是绝缘体上半导体衬底或体衬底。
12.根据权利要求10的半导体结构,其中所述至少一无隔离体p型场效应晶体管没有所述宽外隔离体。
13.根据权利要求10的半导体结构,其中所述至少一无隔离体p型场效应晶体管包括具有从5至20nm的宽度的窄外隔离体。
14.根据权利要求10的半导体结构,其中至少所述无隔离体p型场效应晶体管包括栅极导体,所述栅极导体具有包括再结晶硅化物接触的上表面。
15.一种半导体结构的制造方法,包括:
在包括至少一n型场效应晶体管和至少一p型场效应晶体管的结构上形成第一应力引发衬垫和覆盖的硬掩模,所述第一应力引发衬垫具有第一应力类型并且各场效应晶体管包括器件沟道、宽外隔离体和硅化的源极/漏极扩散接触,该宽外隔离体是具有20nm或更大的沿底部的宽度的隔离体;
从所述n型场效应晶体管或p型场效应晶体管之一选择性地去除所述覆盖的硬掩模,所述第一应力引发衬垫、和所有或者部分所述宽外隔离体,从而形成至少一无隔离体场效应晶体管,而保留在所述n型场效应晶体管或p型场效应晶体管的另一个上的所述宽外隔离体,其中在所述第一应力引发衬垫和所述宽隔离体的去除期间,所述至少一无隔离体场效应晶体管的所述硅化的源极/漏极扩散接触被非晶化;
在惰性环境下退火所述结构,从而再结晶所述至少一无隔离体场效应晶体管的所述非晶化的硅化的源极/漏极扩散接触;并且
选择性地提供与所述第一应力类型不同的第二应力类型的第二应力引发衬垫至包括所述再结晶的硅化的源极/漏极扩散接触的所述至少一无隔离体场效应晶体管,其中所述第二应力引发衬垫位于距离所述至少一无隔离体场效应晶体管的沟道区30nm以下之内。
16.根据权利要求15的方法,其中所述无隔离体场效应晶体管是p型场效应晶体管并且所述第二应力引发衬垫是压应变的。
17.根据权利要求15的方法,其中所述无隔离体场效应晶体管是n型场效应晶体管并且所述第二应力引发衬垫是拉应变的。
18.根据权利要求15的方法,其中所述退火在350℃或更高温度的惰性环境下进行。
19.根据权利要求15的方法,其中所述退火还包括非晶化所述无隔离体场效应晶体管的上栅极导体表面。
20.根据权利要求15的方法,其中所述选择性地去除包括使用所述硬掩模作为蚀刻停止掩模的蚀刻。
21.根据权利要求15的方法,其中所述结构位于绝缘体上半导体衬底或体半导体衬底上。
22.根据权利要求21的方法,还包括形成各所述场效应晶体管之间的隔离区。
23.根据权利要求15的方法,其中所述选择性地提供包括在所述结构上沉积所述第二应力引发衬垫并且从所述不包括所述第一应力引发衬垫的所述场效应晶体管蚀刻所述第二应力引发衬垫。
24.根据权利要求15的方法,还包括形成具有向下延伸至至少一些所述硅化的源极/漏极扩散接触的导电地填充的开口的互连电介质。
CN2006800371607A 2005-11-14 2006-09-28 采用无隔离体场效应晶体管和双衬垫工艺增加应变增强的结构和方法 Expired - Fee Related CN101283447B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US11/164,193 2005-11-14
US11/164,193 US7709317B2 (en) 2005-11-14 2005-11-14 Method to increase strain enhancement with spacerless FET and dual liner process
PCT/EP2006/066852 WO2007054403A1 (en) 2005-11-14 2006-09-28 Structure and method to increase strain enhancement with spacerless fet and dual liner process

Publications (2)

Publication Number Publication Date
CN101283447A CN101283447A (zh) 2008-10-08
CN101283447B true CN101283447B (zh) 2011-04-20

Family

ID=37453024

Family Applications (1)

Application Number Title Priority Date Filing Date
CN2006800371607A Expired - Fee Related CN101283447B (zh) 2005-11-14 2006-09-28 采用无隔离体场效应晶体管和双衬垫工艺增加应变增强的结构和方法

Country Status (7)

Country Link
US (2) US7709317B2 (zh)
EP (1) EP1949435B1 (zh)
JP (1) JP4906868B2 (zh)
KR (1) KR101027166B1 (zh)
CN (1) CN101283447B (zh)
TW (1) TW200733384A (zh)
WO (1) WO2007054403A1 (zh)

Families Citing this family (55)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4880958B2 (ja) * 2005-09-16 2012-02-22 株式会社東芝 半導体装置及びその製造方法
US7550356B2 (en) * 2005-11-14 2009-06-23 United Microelectronics Corp. Method of fabricating strained-silicon transistors
US7550795B2 (en) * 2006-06-30 2009-06-23 Taiwan Semiconductor Manufacturing SOI devices and methods for fabricating the same
US7790540B2 (en) * 2006-08-25 2010-09-07 International Business Machines Corporation Structure and method to use low k stress liner to reduce parasitic capacitance
KR100772901B1 (ko) * 2006-09-28 2007-11-05 삼성전자주식회사 반도체 소자 및 이의 제조 방법
DE102006051494B4 (de) * 2006-10-31 2009-02-05 Advanced Micro Devices, Inc., Sunnyvale Verfahren zum Ausbilden einer Halbleiterstruktur, die einen Feldeffekt-Transistor mit verspanntem Kanalgebiet umfasst
JP2008130963A (ja) * 2006-11-24 2008-06-05 Sharp Corp 半導体装置及びその製造方法
JP5132943B2 (ja) * 2007-01-24 2013-01-30 パナソニック株式会社 半導体装置
US7868390B2 (en) * 2007-02-13 2011-01-11 United Microelectronics Corp. Method for fabricating strained-silicon CMOS transistor
WO2008126264A1 (ja) * 2007-03-30 2008-10-23 Fujitsu Microelectronics Limited 半導体集積回路装置
DE102007025342B4 (de) * 2007-05-31 2011-07-28 Globalfoundries Inc. Höheres Transistorleistungsvermögen von N-Kanaltransistoren und P-Kanaltransistoren durch Verwenden einer zusätzlichen Schicht über einer Doppelverspannungsschicht
DE102007030058B3 (de) 2007-06-29 2008-12-24 Advanced Micro Devices, Inc., Sunnyvale Technik zur Herstellung eines dielektrischen Zwischenschichtmaterials mit erhöhter Zuverlässigkeit über einer Struktur, die dichtliegende Leitungen aufweist
TW200910526A (en) * 2007-07-03 2009-03-01 Renesas Tech Corp Method of manufacturing semiconductor device
US7816271B2 (en) 2007-07-14 2010-10-19 Samsung Electronics Co., Ltd. Methods for forming contacts for dual stress liner CMOS semiconductor devices
DE102007041210B4 (de) * 2007-08-31 2012-02-02 Advanced Micro Devices, Inc. Verfahren zur Verspannungsübertragung in einem Zwischenschichtdielektrikum durch Vorsehen einer verspannten dielektrischen Schicht über einem verspannungsneutralen dielektrischen Material in einem Halbleiterbauelement und entsprechendes Halbleiterbauelement
US8440580B2 (en) * 2007-09-11 2013-05-14 United Microelectronics Corp. Method of fabricating silicon nitride gap-filling layer
US7670917B2 (en) * 2007-09-11 2010-03-02 Texas Instruments Incorporated Semiconductor device made by using a laser anneal to incorporate stress into a channel region
US7902082B2 (en) * 2007-09-20 2011-03-08 Samsung Electronics Co., Ltd. Method of forming field effect transistors using diluted hydrofluoric acid to remove sacrificial nitride spacers
DE102007046847B4 (de) * 2007-09-29 2010-04-22 Advanced Micro Devices, Inc., Sunnyvale Verfahren zur Herstellung eines Zwischenschichtdielektrikums mit verspannten Materialien
JP2009099726A (ja) * 2007-10-16 2009-05-07 Toshiba Corp 半導体装置及びその製造方法
JP5394043B2 (ja) * 2007-11-19 2014-01-22 株式会社半導体エネルギー研究所 半導体基板及びそれを用いた半導体装置、並びにそれらの作製方法
DE102007057686B4 (de) * 2007-11-30 2011-07-28 GLOBALFOUNDRIES Dresden Module One Ltd. Liability Company & Co. KG, 01109 Verfahren und Halbleiterbauelement mit einer Schutzschicht zum Reduzieren der Verspannungsrelaxation in einer Doppelverspannungsbeschichtungstechnik
DE102008011928B4 (de) * 2008-02-29 2010-06-02 Advanced Micro Devices, Inc., Sunnyvale Verfahren zum Herstellen eines Halbleiterbauelements unter Verwendung einer Ätzstoppschicht mit geringerer Dicke zum Strukturieren eines dielektrischen Materials
DE102008021565B4 (de) * 2008-04-30 2012-07-12 Advanced Micro Devices, Inc. Verfahren zum selektiven Entfernen eines Abstandshalters in einem dualen Verspannungsschichtverfahren
DE102008021555B4 (de) * 2008-04-30 2010-07-22 Advanced Micro Devices, Inc., Sunnyvale Verfahren mit einer Abscheidung verspannungsinduzierender Schichten über mehreren ersten und mehreren zweiten Transistoren
DE102008054075B4 (de) * 2008-10-31 2010-09-23 Advanced Micro Devices, Inc., Sunnyvale Halbleiterbauelement mit Abgesenktem Drain- und Sourcebereich in Verbindung mit einem Verfahren zur komplexen Silizidherstellung in Transistoren
DE102008059501B4 (de) * 2008-11-28 2012-09-20 Advanced Micro Devices, Inc. Technik zur Verbesserung des Dotierstoffprofils und der Kanalleitfähigkeit durch Millisekunden-Ausheizprozesse
US8153532B1 (en) * 2008-12-04 2012-04-10 Hrl Laboratories, Llc Bilayer dielectric interconnection process
KR101669470B1 (ko) * 2009-10-14 2016-10-26 삼성전자주식회사 금속 실리사이드층을 포함하는 반도체 소자
US20110101506A1 (en) * 2009-10-29 2011-05-05 International Business Machines Corporation Stress Memorization Technique Using Silicon Spacer
US8354313B2 (en) 2010-04-30 2013-01-15 International Business Machines Corporation Method to optimize work function in complementary metal oxide semiconductor (CMOS) structures
US9202913B2 (en) * 2010-09-30 2015-12-01 Institute of Microelectronics, Chinese Academy of Sciences Method for manufacturing semiconductor structure
US8673757B2 (en) 2010-10-28 2014-03-18 International Business Machines Corporation Structure and method for using high-k material as an etch stop layer in dual stress layer process
US8445965B2 (en) * 2010-11-05 2013-05-21 International Business Machines Corporation Strained semiconductor devices and methods of fabricating strained semiconductor devices
JP5663278B2 (ja) * 2010-11-19 2015-02-04 ルネサスエレクトロニクス株式会社 半導体装置
CN102479719B (zh) * 2010-11-30 2014-09-03 中芯国际集成电路制造(北京)有限公司 应变记忆作用的半导体器件的制造方法及应力层结构
DE102011005641B4 (de) * 2011-03-16 2018-01-04 GLOBALFOUNDRIES Dresden Module One Ltd. Liability Company & Co. KG Verfahren zur Leistungssteigerung in Transistoren durch Reduzierung der Absenkung aktiver Gebiete und durch Entfernen von Abstandshaltern
US8252650B1 (en) * 2011-04-22 2012-08-28 United Microelectronics Corp. Method for fabricating CMOS transistor
CN102891109B (zh) * 2011-07-18 2015-04-01 中芯国际集成电路制造(上海)有限公司 半导体器件形成方法
JP5823780B2 (ja) * 2011-08-31 2015-11-25 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
DE112011105926T5 (de) * 2011-12-09 2014-09-18 Intel Corporation Belastungskompensation in Transistoren
CN103178011A (zh) * 2011-12-22 2013-06-26 中芯国际集成电路制造(上海)有限公司 Cmos及其形成方法
US9093495B2 (en) 2012-01-03 2015-07-28 International Business Machines Corporation Method and structure to reduce FET threshold voltage shift due to oxygen diffusion
US8916428B2 (en) * 2012-01-05 2014-12-23 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming a semiconductor device
US20130295767A1 (en) * 2012-05-02 2013-11-07 Globalfoundries Inc. Increased transistor performance by implementing an additional cleaning process in a stress liner approach
US8703578B2 (en) * 2012-05-29 2014-04-22 Globalfoundries Singapore Pte. Ltd. Middle in-situ doped SiGe junctions for PMOS devices on 28 nm low power/high performance technologies using a silicon oxide encapsulation, early halo and extension implantations
US9058868B2 (en) 2012-12-19 2015-06-16 International Business Machines Corporation Piezoelectronic memory
KR101898177B1 (ko) * 2013-01-08 2018-09-12 이데라 파마슈티칼즈, 인코포레이티드 톨-유사 수용체 기반 면역 반응을 조절하기 위한 면역 조절 올리고누클레오티드 (iro) 화합물
KR20140108982A (ko) * 2013-03-04 2014-09-15 삼성전자주식회사 메모리 장치 및 그 제조 방법
US9105559B2 (en) 2013-09-16 2015-08-11 International Business Machines Corporation Conformal doping for FinFET devices
US9941472B2 (en) 2014-03-10 2018-04-10 International Business Machines Corporation Piezoelectronic device with novel force amplification
US9251884B2 (en) 2014-03-24 2016-02-02 International Business Machines Corporation Non-volatile, piezoelectronic memory based on piezoresistive strain produced by piezoelectric remanence
US9263664B1 (en) 2014-10-31 2016-02-16 International Business Machines Corporation Integrating a piezoresistive element in a piezoelectronic transistor
US10056382B2 (en) * 2016-10-19 2018-08-21 International Business Machines Corporation Modulating transistor performance
US11450571B2 (en) * 2018-09-27 2022-09-20 Taiwan Semiconductor Manufacturing Company Ltd. Method for manufacturing semiconductor structure

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1449585A (zh) * 2000-11-22 2003-10-15 株式会社日立制作所 半导体器件及其制造方法
CN1663052A (zh) * 2002-06-28 2005-08-31 先进微装置公司 具有重组区域的绝缘体上硅场效应晶体管及形成该场效应晶体管的方法

Family Cites Families (88)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3602841A (en) 1970-06-18 1971-08-31 Ibm High frequency bulk semiconductor amplifiers and oscillators
DE3304316A1 (de) * 1983-02-09 1984-08-09 Philips Patentverwaltung Gmbh, 2000 Hamburg Mess- und daempfungswiderstandsanordnung fuer ein hochspannungsgeraet
US4853076A (en) 1983-12-29 1989-08-01 Massachusetts Institute Of Technology Semiconductor thin films
US4665415A (en) 1985-04-24 1987-05-12 International Business Machines Corporation Semiconductor device with hole conduction via strained lattice
EP0219641B1 (de) 1985-09-13 1991-01-09 Siemens Aktiengesellschaft Integrierte Bipolar- und komplementäre MOS-Transistoren auf einem gemeinsamen Substrat enthaltende Schaltung und Verfahren zu ihrer Herstellung
JPS6476737A (en) * 1987-09-18 1989-03-22 Nec Corp Manufacture of semiconductor integrated circuit device
JPS6476755A (en) 1987-09-18 1989-03-22 Hitachi Ltd Semiconductor device
US4958213A (en) 1987-12-07 1990-09-18 Texas Instruments Incorporated Method for forming a transistor base region under thick oxide
US5354695A (en) 1992-04-08 1994-10-11 Leedy Glenn J Membrane dielectric isolation IC fabrication
US5459346A (en) 1988-06-28 1995-10-17 Ricoh Co., Ltd. Semiconductor substrate with electrical contact in groove
US5006913A (en) 1988-11-05 1991-04-09 Mitsubishi Denki Kabushiki Kaisha Stacked type semiconductor device
US5108843A (en) 1988-11-30 1992-04-28 Ricoh Company, Ltd. Thin film semiconductor and process for producing the same
US4952524A (en) 1989-05-05 1990-08-28 At&T Bell Laboratories Semiconductor device manufacture including trench formation
US5310446A (en) 1990-01-10 1994-05-10 Ricoh Company, Ltd. Method for producing semiconductor film
US5060030A (en) 1990-07-18 1991-10-22 Raytheon Company Pseudomorphic HEMT having strained compensation layer
US5081513A (en) 1991-02-28 1992-01-14 Xerox Corporation Electronic device with recovery layer proximate to active layer
US5371399A (en) 1991-06-14 1994-12-06 International Business Machines Corporation Compound semiconductor having metallic inclusions and devices fabricated therefrom
US5134085A (en) 1991-11-21 1992-07-28 Micron Technology, Inc. Reduced-mask, split-polysilicon CMOS process, incorporating stacked-capacitor cells, for fabricating multi-megabit dynamic random access memories
US5391510A (en) 1992-02-28 1995-02-21 International Business Machines Corporation Formation of self-aligned metal gate FETs using a benignant removable gate material during high temperature steps
US6008126A (en) 1992-04-08 1999-12-28 Elm Technology Corporation Membrane dielectric isolation IC fabrication
JP3563093B2 (ja) * 1993-09-24 2004-09-08 住友電気工業株式会社 半導体装置
JPH07106570A (ja) * 1993-10-05 1995-04-21 Mitsubishi Electric Corp 半導体装置およびその製造方法
US5561302A (en) 1994-09-26 1996-10-01 Motorola, Inc. Enhanced mobility MOSFET device and method
US5679965A (en) 1995-03-29 1997-10-21 North Carolina State University Integrated heterostructures of Group III-V nitride semiconductor materials including epitaxial ohmic contact, non-nitride buffer layer and methods of fabricating same
US5670798A (en) 1995-03-29 1997-09-23 North Carolina State University Integrated heterostructures of Group III-V nitride semiconductor materials including epitaxial ohmic contact non-nitride buffer layer and methods of fabricating same
US5557122A (en) 1995-05-12 1996-09-17 Alliance Semiconductors Corporation Semiconductor electrode having improved grain structure and oxide growth properties
KR100213196B1 (ko) 1996-03-15 1999-08-02 윤종용 트렌치 소자분리
US6403975B1 (en) 1996-04-09 2002-06-11 Max-Planck Gesellschaft Zur Forderung Der Wissenschafteneev Semiconductor components, in particular photodetectors, light emitting diodes, optical modulators and waveguides with multilayer structures grown on silicon substrates
US5880040A (en) 1996-04-15 1999-03-09 Macronix International Co., Ltd. Gate dielectric based on oxynitride grown in N2 O and annealed in NO
TW328153B (en) * 1996-08-09 1998-03-11 United Microelectronics Corp The manufacturing method for improving property of salicide
US5861651A (en) 1997-02-28 1999-01-19 Lucent Technologies Inc. Field effect devices and capacitors with improved thin film dielectrics and method for making same
US5940736A (en) 1997-03-11 1999-08-17 Lucent Technologies Inc. Method for forming a high quality ultrathin gate oxide layer
US6309975B1 (en) 1997-03-14 2001-10-30 Micron Technology, Inc. Methods of making implanted structures
US6025280A (en) 1997-04-28 2000-02-15 Lucent Technologies Inc. Use of SiD4 for deposition of ultra thin and controllable oxides
US5960297A (en) 1997-07-02 1999-09-28 Kabushiki Kaisha Toshiba Shallow trench isolation structure and method of forming the same
JP3139426B2 (ja) 1997-10-15 2001-02-26 日本電気株式会社 半導体装置
US6066545A (en) 1997-12-09 2000-05-23 Texas Instruments Incorporated Birdsbeak encroachment using combination of wet and dry etch for isolation nitride
US6274421B1 (en) 1998-01-09 2001-08-14 Sharp Laboratories Of America, Inc. Method of making metal gate sub-micron MOS transistor
KR100275908B1 (ko) 1998-03-02 2000-12-15 윤종용 집적 회로에 트렌치 아이솔레이션을 형성하는방법
US6165383A (en) 1998-04-10 2000-12-26 Organic Display Technology Useful precursors for organic electroluminescent materials and devices made from such materials
US6361885B1 (en) 1998-04-10 2002-03-26 Organic Display Technology Organic electroluminescent materials and device made from such materials
US5989978A (en) 1998-07-16 1999-11-23 Chartered Semiconductor Manufacturing, Ltd. Shallow trench isolation of MOSFETS with reduced corner parasitic currents
JP4592837B2 (ja) 1998-07-31 2010-12-08 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
US6319794B1 (en) 1998-10-14 2001-11-20 International Business Machines Corporation Structure and method for producing low leakage isolation devices
US6235598B1 (en) 1998-11-13 2001-05-22 Intel Corporation Method of using thick first spacers to improve salicide resistance on polysilicon gates
US6117722A (en) 1999-02-18 2000-09-12 Taiwan Semiconductor Manufacturing Company SRAM layout for relaxing mechanical stress in shallow trench isolation technology and method of manufacture thereof
US6255169B1 (en) 1999-02-22 2001-07-03 Advanced Micro Devices, Inc. Process for fabricating a high-endurance non-volatile memory device
US6284626B1 (en) 1999-04-06 2001-09-04 Vantis Corporation Angled nitrogen ion implantation for minimizing mechanical stress on side walls of an isolation trench
US6228694B1 (en) 1999-06-28 2001-05-08 Intel Corporation Method of increasing the mobility of MOS transistors by use of localized stress regions
US6656822B2 (en) 1999-06-28 2003-12-02 Intel Corporation Method for reduced capacitance interconnect system using gaseous implants into the ILD
US6281532B1 (en) 1999-06-28 2001-08-28 Intel Corporation Technique to obtain increased channel mobilities in NMOS transistors by gate electrode engineering
US6362082B1 (en) 1999-06-28 2002-03-26 Intel Corporation Methodology for control of short channel effects in MOS transistors
KR100332108B1 (ko) 1999-06-29 2002-04-10 박종섭 반도체 소자의 트랜지스터 및 그 제조 방법
TW426940B (en) 1999-07-30 2001-03-21 United Microelectronics Corp Manufacturing method of MOS field effect transistor
US6483171B1 (en) 1999-08-13 2002-11-19 Micron Technology, Inc. Vertical sub-micron CMOS transistors on (110), (111), (311), (511), and higher order surfaces of bulk, SOI and thin film structures and method of forming same
US6284623B1 (en) 1999-10-25 2001-09-04 Peng-Fei Zhang Method of fabricating semiconductor devices using shallow trench isolation with reduced narrow channel effect
US6476462B2 (en) 1999-12-28 2002-11-05 Texas Instruments Incorporated MOS-type semiconductor device and method for making same
US6221735B1 (en) 2000-02-15 2001-04-24 Philips Semiconductors, Inc. Method for eliminating stress induced dislocations in CMOS devices
US6531369B1 (en) 2000-03-01 2003-03-11 Applied Micro Circuits Corporation Heterojunction bipolar transistor (HBT) fabrication using a selectively deposited silicon germanium (SiGe)
US6368931B1 (en) 2000-03-27 2002-04-09 Intel Corporation Thin tensile layers in shallow trench isolation and method of making same
US6493497B1 (en) 2000-09-26 2002-12-10 Motorola, Inc. Electro-optic structure and process for fabricating same
US6501121B1 (en) 2000-11-15 2002-12-31 Motorola, Inc. Semiconductor structure
US7312485B2 (en) 2000-11-29 2007-12-25 Intel Corporation CMOS fabrication process utilizing special transistor orientation
US6563152B2 (en) 2000-12-29 2003-05-13 Intel Corporation Technique to obtain high mobility channels in MOS transistors by forming a strain layer on an underside of a channel
US20020086497A1 (en) 2000-12-30 2002-07-04 Kwok Siang Ping Beaker shape trench with nitride pull-back for STI
US6265317B1 (en) 2001-01-09 2001-07-24 Taiwan Semiconductor Manufacturing Company Top corner rounding for shallow trench isolation
US6403486B1 (en) 2001-04-30 2002-06-11 Taiwan Semiconductor Manufacturing Company Method for forming a shallow trench isolation
US6531740B2 (en) 2001-07-17 2003-03-11 Motorola, Inc. Integrated impedance matching and stability network
US6498358B1 (en) 2001-07-20 2002-12-24 Motorola, Inc. Structure and method for fabricating an electro-optic system having an electrochromic diffraction grating
US6908810B2 (en) 2001-08-08 2005-06-21 Taiwan Semiconductor Manufacturing Co., Ltd. Method of preventing threshold voltage of MOS transistor from being decreased by shallow trench isolation formation
JP2003060076A (ja) 2001-08-21 2003-02-28 Nec Corp 半導体装置及びその製造方法
WO2003025984A2 (en) 2001-09-21 2003-03-27 Amberwave Systems Corporation Semiconductor structures employing strained material layers with defined impurity gradients and methods for fabricating same
US20030057184A1 (en) 2001-09-22 2003-03-27 Shiuh-Sheng Yu Method for pull back SiN to increase rounding effect in a shallow trench isolation process
US6656798B2 (en) 2001-09-28 2003-12-02 Infineon Technologies, Ag Gate processing method with reduced gate oxide corner and edge thinning
US6635506B2 (en) 2001-11-07 2003-10-21 International Business Machines Corporation Method of fabricating micro-electromechanical switches on CMOS compatible substrates
US6461936B1 (en) 2002-01-04 2002-10-08 Infineon Technologies Ag Double pullback method of filling an isolation trench
JP4173672B2 (ja) * 2002-03-19 2008-10-29 株式会社ルネサステクノロジ 半導体装置及びその製造方法
US6621392B1 (en) 2002-04-25 2003-09-16 International Business Machines Corporation Micro electromechanical switch having self-aligned spacers
US6806584B2 (en) * 2002-10-21 2004-10-19 International Business Machines Corporation Semiconductor device structure including multiple fets having different spacer widths
ATE377841T1 (de) * 2002-11-25 2007-11-15 Ibm Verspannte cmos finfet bauelementestrukturen
US6717216B1 (en) 2002-12-12 2004-04-06 International Business Machines Corporation SOI based field effect transistor having a compressive film in undercut area under the channel and a method of making the device
US7279746B2 (en) 2003-06-30 2007-10-09 International Business Machines Corporation High performance CMOS device structures and method of manufacture
US7053400B2 (en) * 2004-05-05 2006-05-30 Advanced Micro Devices, Inc. Semiconductor device based on Si-Ge with high stress liner for enhanced channel carrier mobility
US7306983B2 (en) * 2004-12-10 2007-12-11 International Business Machines Corporation Method for forming dual etch stop liner and protective layer in a semiconductor device
JP5002891B2 (ja) * 2004-12-17 2012-08-15 富士通セミコンダクター株式会社 半導体装置の製造方法
US7429775B1 (en) * 2005-03-31 2008-09-30 Xilinx, Inc. Method of fabricating strain-silicon CMOS
US7445978B2 (en) * 2005-05-04 2008-11-04 Chartered Semiconductor Manufacturing, Ltd Method to remove spacer after salicidation to enhance contact etch stop liner stress on MOS
US7569888B2 (en) * 2005-08-10 2009-08-04 Toshiba America Electronic Components, Inc. Semiconductor device with close stress liner film and method of manufacturing the same

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1449585A (zh) * 2000-11-22 2003-10-15 株式会社日立制作所 半导体器件及其制造方法
CN1663052A (zh) * 2002-06-28 2005-08-31 先进微装置公司 具有重组区域的绝缘体上硅场效应晶体管及形成该场效应晶体管的方法

Also Published As

Publication number Publication date
TW200733384A (en) 2007-09-01
CN101283447A (zh) 2008-10-08
KR101027166B1 (ko) 2011-04-05
KR20080071144A (ko) 2008-08-01
US20070108525A1 (en) 2007-05-17
US7709317B2 (en) 2010-05-04
EP1949435B1 (en) 2013-11-20
JP4906868B2 (ja) 2012-03-28
US20100187636A1 (en) 2010-07-29
EP1949435A1 (en) 2008-07-30
WO2007054403A1 (en) 2007-05-18
JP2009516363A (ja) 2009-04-16

Similar Documents

Publication Publication Date Title
CN101283447B (zh) 采用无隔离体场效应晶体管和双衬垫工艺增加应变增强的结构和方法
CN100428475C (zh) 具有提高的载流子迁移率的半导体结构及其制造方法
KR101447315B1 (ko) 복수의 배리어 층을 구비한 금속 게이트 디바이스를 제공하는 기술
CN101714526B (zh) 半导体元件的制造方法
CN100411175C (zh) 将应力施加到pfet和nfet晶体管沟道的结构和制造方法
US7279756B2 (en) Semiconductor device with high-k gate dielectric and quasi-metal gate, and method of forming thereof
US7504336B2 (en) Methods for forming CMOS devices with intrinsically stressed metal silicide layers
US7888214B2 (en) Selective stress relaxation of contact etch stop layer through layout design
US7678634B2 (en) Local stress engineering for CMOS devices
KR101474100B1 (ko) 수직형 파워 mos 트랜지스터를 갖는 집적 회로
US20050287759A1 (en) Method and apparatus for a semiconductor device with a high-k gate dielectric
US8026134B2 (en) Recessed drain and source areas in combination with advanced silicide formation in transistors
CN102598229B (zh) 提高驱动电流的双层nFET埋设应激物元件和集成
US20110006373A1 (en) Transistor Structure
CN101218684A (zh) 包含通过沉积金属氧化物而形成的阈电压控制层的含氮场效应晶体管栅叠层
WO2013134899A1 (zh) 半导体器件及其制造方法
CN101310386A (zh) 用于高迁移率平面和多栅极mosfet的混合衬底技术
KR20090019693A (ko) 스트레인된 반도체 장치 및 이의 제조 방법
US8450171B2 (en) Strained semiconductor device and method of making same
US20190051565A1 (en) Cmos devices and manufacturing method thereof
CN102446953A (zh) 一种半导体结构及其制造方法
KR20100049040A (ko) 금속 게이트 및 고유전율 유전체를 갖는 회로 구조
CN101512771A (zh) 使用简化双应力衬层配置的具有增强性能的半导体结构
TWI786574B (zh) 場效電晶體(fet)堆疊及其形成方法
US7598540B2 (en) High performance CMOS devices comprising gapped dual stressors with dielectric gap fillers, and methods of fabricating the same

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
TR01 Transfer of patent right
TR01 Transfer of patent right

Effective date of registration: 20171124

Address after: Grand Cayman, Cayman Islands

Patentee after: GLOBALFOUNDRIES INC.

Address before: American New York

Patentee before: Core USA second LLC

Effective date of registration: 20171124

Address after: American New York

Patentee after: Core USA second LLC

Address before: New York grams of Armand

Patentee before: International Business Machines Corp.

CF01 Termination of patent right due to non-payment of annual fee
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20110420

Termination date: 20180928