CN101292345B - 具有倒置源极/漏极金属触点的场效应晶体管(fet)及其制造方法 - Google Patents

具有倒置源极/漏极金属触点的场效应晶体管(fet)及其制造方法 Download PDF

Info

Publication number
CN101292345B
CN101292345B CN200680039243XA CN200680039243A CN101292345B CN 101292345 B CN101292345 B CN 101292345B CN 200680039243X A CN200680039243X A CN 200680039243XA CN 200680039243 A CN200680039243 A CN 200680039243A CN 101292345 B CN101292345 B CN 101292345B
Authority
CN
China
Prior art keywords
dielectric layer
cross
source
drain
contact
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN200680039243XA
Other languages
English (en)
Other versions
CN101292345A (zh
Inventor
M·贝尔扬斯基
D·奇达姆巴尔拉奥
L·克莱文杰
K·库玛尔
C·拉登斯
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
International Business Machines Corp
Original Assignee
International Business Machines Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by International Business Machines Corp filed Critical International Business Machines Corp
Publication of CN101292345A publication Critical patent/CN101292345A/zh
Application granted granted Critical
Publication of CN101292345B publication Critical patent/CN101292345B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76804Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics by forming tapered via holes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76816Aspects relating to the layout of the pattern or to the size of vias or trenches
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/482Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of lead-in layers inseparably applied to the semiconductor body
    • H01L23/485Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of lead-in layers inseparably applied to the semiconductor body consisting of layered constructions comprising conductive layers and insulating layers, e.g. planar contacts
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66575Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate
    • H01L29/6659Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate with both lightly doped source and drain extensions and source and drain self-aligned to the sides of the gate, e.g. lightly doped drain [LDD] MOSFET, double diffused drain [DDD] MOSFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7833Field effect transistors with field effect produced by an insulated gate with lightly doped drain or source extension, e.g. LDD MOSFET's; DDD MOSFET's
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Abstract

本发明涉及包括倒置源极/漏极金属触点的场效应晶体管(FET),该金属触点具有位于第一较低电介质层中的较低部分和位于第二较高电介质层中的较高部分。倒置源极/漏极金属触点的较低部分具有比较高部分更大的横截面积。优选地,倒置源极/漏极金属触点的较低部分的横截面积在约0.03μm2-约3.15μm2的范围内,并且这种倒置源极/漏极金属触点与FET的栅电极以在约0.001μm-约5μm范围内的距离相分隔。

Description

具有倒置源极/漏极金属触点的场效应晶体管(FET)及其制造方法
技术领域
本发明涉及改进型场效应晶体管(FET),并且更具体地涉及具有倒置源极/漏极金属触点的改进型金属-氧化物-半导体场效应晶体管(MOSFET),以及制备这种FET器件的方法。
背景技术
在半导体产业中,一直需要增大集成电路(IC)的运行速度。诸如计算机的电子设备需要以日益加快的速度进行操作也推动了这种增长的需求。反过来,需要增大速度已导致了半导体器件尺寸的持续减小。具体地,场效应晶体管(FET)的沟道长度、接合深度和/或栅极电介质厚度都减小了,使得可以在给定的单个半导体晶片上制造的FET数量和密度都增大了。
但是,FET的极大缩小或者尺寸减小还产生了关于触点间距和寄生电容的各种技术问题,为了满足设备性能和生产量的要求需要对这些问题加以解决。
发明内容
本发明通过构建改进型FET设计而针对触点间距和寄生电容提供了解决方案。与常规FET设计相比,本发明的改进型FET设计的有益特征在于:(1)减小的源极/漏极(S/D)金属触点数量;(2)减小的总触点布局面积;(3)基本上相同的有效触点面积;(4)增大的触点到栅极距离;以及(5)减小的栅极-触点电容。进一步地,本发明的改进型FET比常规FET相对容易制造,并且可以用降低的制造成本来制备,同时却带来显著增大的生产量。
一方面,本发明涉及半导体器件,该半导体器件包括:沟道区,位于源极区和漏极区之间;栅极电介质层,位于该沟道区之上;栅电极,位于该栅极电介质层之上;第一电介质层,位于该源极区、该漏极区和该栅电极之上并覆盖该源极区、该漏极区和该栅电极;第二电介质层,位于该第一电介质层之上;以及至少一个金属触点,其与该源极区或者漏极区电连接,其中至少一个金属触点包括位于该第一电介质层中的较低部分以及位于该第二电介质层中的较高部分,并且其中该较低部分的横截面积比该较高部分的横截面积大。
在此使用的术语“金属的”涉及基本上由导体材料形成的结构或者组件,该导电材料包含以元素形式、合金形式或者化合物形式的至少一种金属。这种导电材料的示例包括但不限于:元素金属、金属合金、金属氮化物、金属硅化物等。
在此使用的术语“横截面积”指的是以基本上与结构或者组件所在半导体衬底的表面平行的想像的平面对该结构或者组件进行切割所得到的结构或者组件面积。
本发明的进一步方面涉及一种方法,包括:形成半导体器件,该半导体器件包括源极区、漏极区、沟道区、位于该沟道区上的栅极电介质层、位于该栅极电介质层上的栅电极、位于该源极区、漏极区和栅电极上并覆盖该源极区、漏极区和栅电极的第一电介质层,以及位于该第一电介质层上的第二电介质层;有选择地去除该第一和第二电介质层的一部分,以形成暴露该源极区或者该漏极区的至少一个接触孔;有选择地沿着该至少一个接触孔的侧壁来去除该第一电介质层的一部分;以及用金属材料填充该至少一个接触孔以形成与该源极区或者漏极区电连接的至少一个金属触点,其中所述至少一个金属触点包括位于该第一电介质层中的较低部分以及位于该第二电介质层中的较高部分,并且其中该较低部分的横截面积比该较高部分的横截面积大。
本发明的进一步方面涉及一种场效应晶体管(FET),包括倒置源极/漏极金属触点,该金属触点具有位于第一较低电介质层中的较低部分和位于第二较高电介质层中的较高部分,并且其中所述倒置源极/漏极金属触点的该较低部分的横截面积比其较高部分的横截面积大。
通过随后的公开和所附权利要求,本发明的其它方面、特征和益处将会变得非常明显。
附图说明
图1A示出了包含多个源极/漏极金属触点的常规FET器件的横截面视图;
图1B是图1A的常规FET器件的顶视图,示出了彼此相互间隔的四个源极/漏极金属触点。每个源极/漏极金属触点在整个触点高度上都具有相同的横截面积;
图2A示出了根据本发明一个实施方式的包含一个倒置源极/漏极金属触点的示例性FET器件的横截面视图;
图2B是图2A的示例性FET器件的顶视图,示出了较低部分具有相对较大的横截面积、较高部分具有相对较小的横截面积的一个源极/漏极金属触点;
图3-图7示出了对形成图2A的示例性FET器件的工艺步骤进行说明的横截面视图。
具体实施方式
在下述描述中,给出了各种具体细节,诸如特定结构、组件、材料、尺寸、工艺步骤和技术,以便全面理解本发明。但是,本领域技普通技术人员应当理解,本发明脱离这些具体细节一样可以实现。在其它示例中,对公知的结构或者工艺步骤未进行具体描述以避免混淆本发明。
应当理解,当提到作为层、区或者衬底的元件位于另一元件“上”时,其可以直接位于另一元件之上或者也可以存在介入元件。相反,当提到元件“直接”位于另一元件的“上”时,不存在介入元件。还应当理解,当提到一元件与另一元件“连接”或者“耦合”时,其可以直接连接或者耦合到另一元件,或者可以存在介入元件。相反,当提到一元件与另一元件“直接连接”或者“直接耦合”时,不存在介入元件。
现在,通过参考附图1-7来更详细地描述本发明的改进型FET器件以及用于制备该器件的示例性工艺步骤。需要注意,这些附图并不按照比例绘制,其中类似的附图标记表示类似和/或相应的元件。进一步需要注意的是,在附图中的半导体衬底上仅示出了一个FET。尽管对这种实施方式进行了说明,但是本发明并不对在半导体衬底上形成任意特殊数量的FET进行限制。
首先参考图1A,其示出了常规FET器件,其位于半导体衬底10上并包括源极(或者漏极)区12和漏极(或者源极)区14,在它们之间定义了沟道区13。栅极电介质层16直接位于沟道区13之上,并且栅电极18直接位于栅极电介质层16之上。常规FET器件可以进一步包括源极(或者漏极)金属硅化物触点层12A、漏极(或者源极)金属硅化物触点层14A、栅极金属硅化物触点层18A、源极/漏极延伸区12B和14B、源极/漏极晕圈区12C和14C,以及对于常规FET器件而言可选的一个或者多个栅极侧壁间隔物20。
图1A所示的常规FET器件覆盖有第一电介质层22和第二电介质层24,它们可以由相同介电材料形成,也可以由不同的介电材料形成。多个金属触点26沿着第一电介质层22和第二电介质层24延伸,并且与漏极(或者源极)区14中的漏极(或者源极)金属硅化物触点层14A电连接。常规FET器件的每一个金属触点26的特征都在于:沿着其整个触点高度的横截面积基本一致。
图1B示出了图1A的常规FET器件的顶视图,其中第二电介质层24被去除以便暴露出第一电介质层22、间隔物20和栅电极18。具体地,常规FET器件包括四个金属触点26,每一个都具有在约0.05μm-约0.5μm范围内的横截面直径(r1)。四个金属触点26以至少是它们横截面半径的二倍,即,2r1的距离彼此分隔。进一步地,这四个金属触点26以至少0.001μm的距离(d1)与栅电极18分隔。
由于图1A和图1B中示出的常规FET器件的总有效触点面积计算为:金属触点26的数量乘以每个金属触点26的横截面积(=4πr1 2),所以该面积在约0.03μm2-约3.15μm2的范围内。四个金属触点26占据的总布局面积是由图1B中虚线框所指示的面积(=(d1+6r1)×6r1),其在约0.09μm2-约9μm2的范围内。
与以上描述的常规FET器件不同,图2A示出了根据本发明一个实施方式的改进型FET器件。具体地,本发明的改进型FET器件位于半导体衬底30上,并包括源极(或者漏极)区32和漏极(或者源极)区34,在这两个区之间定义有沟道区33。栅极电介质层36直接位于沟道区33之上,并且栅电极38直接位于栅极电介质层36之上。本发明的改进型FET器件可以进一步包括源极(或者漏极)金属硅化物触点层32A、漏极(或者源极)金属硅化物触点层34A、栅极金属硅化物触点层38A、源极/漏极延伸区32B和34B、源极/漏极晕圈区32C和34C,以及对于本发明改进型FET器件而言可选的一个或者多个栅极侧壁间隔物40。可以包括相同或者不同介电材料的第一电介质层42和第二电介质层44形成在本发明的改进型FET器件之上。
包括较高部分46A和较低部分46B的金属触点分别在第一电介质层42和第二电介质层44中延伸,并且与漏极(或者源极)区34中的漏极(或者源极)金属硅化物触点层34A电连接。这种金属触点的较低部分46B具有比较高部分46A更大的横截面积。因此,金属触点的特征在于其具有倒T形,并且因此称为“倒置”金属触点。
图2B示出了本发明改进型FET器件的顶视图,其中第二电介质层44被去除以便暴露出第一电介质层42、间隔物40和栅电极38。具体地,改进型FET器件包括单个金属触点,该金属触点具有横截面积相对较小的较高部分46A和横截面积相对较大的较低部分46B。优选地,单个金属触点的较低部分46B的横截面半径(r2)在约0.10μm-约1.0μm的范围内,而较高部分46A的横截面半径不超过r2的一半。进一步地,金属触点以至少0.001μm的距离(d2)与栅电极38分隔。
由于本发明改进型FET器件的总有效触点面积计算为金属触点46的横截面积(=πr2 2),所以该面积在约0.03μm2-约3.15μm2的范围内。本发明的金属触点46所占据的总布局面积是由图2B中的虚线框指示的面积(=(d2+2r2)×2r2),其在约0.04μm2-约4.0μm2的范围内。
当r2=2r1时,本发明改进型FET器件的总有效触点面积基本上与常规FET器件的总有效触点面积相同。但是,如果假设d2=3/2d1,则金属触点46占据的总布局面积明显比常规FET器件所占据的总布局面积小约20r1 2或者小约0.05μm2到约5μm2
本发明的FET器件允许使用减小数量的S/D金属触点,并在减小的总触点布局面积内提供了基本上相同的有效触点面积。更重要的是,本发明的FET器件允许S/D金属触点与栅电极进一步分隔开(即,至少相隔d1的1/2或者相隔约0.0002μm-约2μm),而这反过来减小了栅极-触点电容,而不会增大总的触点布局面积。
因此,本发明的FET器件解决了通常与FET的极大地缩放或者尺寸减小有关的触点间隔问题和寄生电容问题,并且允许以降低的成本来制造更小并且更快的高性能集成电路(IC)器件。
尽管在图2A和图2B中具体描述的本发明实施方式仅采用一个源极/漏极金属触点46,应当理解,本发明可以容易地扩展到包括任意数量的源极/漏极金属触点,只要本发明的FET器件中包含的源极/漏极金属触点的总量小于常规FET器件中包含的源极/漏极金属触点的总量即可。
本发明的FET器件可以容易地以任何合适的方法进行制备。具体地,图3-图7示出了可以用来制备本发明FET器件的示例性工艺步骤顺序。
图3示出了位于半导体衬底30上的FET器件,并且包括源极(或者漏极)区32、漏极(或者源极)区34、沟道区33、栅极电介质层36和栅电极38。FET器件可选地但不是必须地包括:源极(或者漏极)金属硅化物触点层32A、漏极(或者源极)金属硅化物触点层34A、栅极金属硅化物触点层38A、源极/漏极延伸区32B和34B、源极/漏极晕圈区32C和34C、以及一个或者多个栅极侧壁间隔物40。FET器件可以轻易地用常规的前端线(FEOL)工艺步骤来形成。例如,各种工艺步骤,包括但不限于:沉积、光刻、蚀刻、注入和自对准硅化可以使用,或者可以使用取代栅极工艺。
半导体衬底30可以包括任何半导体材料,这些材料包括但不限于:Si、SiC、SiGe、SiGeC、Ge合金、GaAs、InAs、InP和其它III-V或者II-VI化合物半导体。半导体衬底30还可以包括有机半导体结构、诸如Si/SiGe的层叠半导体结构、绝缘层上覆硅结构或者绝缘层上覆硅锗结构。半导体衬底30可以是掺杂的、未掺杂的或者在其中包含掺杂和未掺杂的区(未示出)。掺杂器件区通常公知为“阱”。半导体衬底30可以是应变的、非应变的,或者其中包含有应变半导体材料和非应变半导体材料的区。而且,半导体衬底30可以具有单个结晶表面取向或者多个结晶表面取向。
进一步地,半导体衬底30可以包含一个或者多个浅沟槽隔离区(未示出)以提供掺杂器件区之间的隔离。浅沟槽隔离区可以轻易地利用本领域技术人员公知的常规沟槽隔离工艺来形成。例如,光刻、蚀刻和用沟槽电介质填充沟槽可以用来形成沟槽隔离区。
如图3所示,在FET器件之上形成第一电介质层42。第一电介质层42可以包括任何适合的介电材料,包括但不限于:SiOx、SixNy、SixNyOz、SixCy、SixCyOz等,其中x、y和z是整数。进一步地,第一电介质层42可以利用任何适合的电介质沉积工艺来形成,包括但不限于:高密度等离子体沉积(HDP)、等离子体增强化学气相沉积(PECVD)等。优选地,利用HDP工艺形成第一电介质层42。
接着,在第一电介质层42上形成第二电介质层44,如图4所示。第一电介质层42可以包括任何适合的介电材料,包括但不限于:SiOx、SixNy、SixNyOz、SixCy、SixCyOz等,其中x、y和z是整数,并且第二电介质层44优选地包括与第一电介质层42的电介质材料不同的电介质材料。更优选地,第二电介质层44包括SiOx。进一步地,第二电介质层44可以利用任何适合的电介质沉积工艺来形成,包括但不限于:高密度等离子体沉积(HDP)、等离子体增强化学气相沉积(PECVD)等。优选地,利用HDP工艺形成第二电介质层44。
在沉积完第二电介质层44后,例如通过光刻和蚀刻来选择性地去除第一电介质层42和第二电介质层44的一部分,以便形成接触孔45,该孔暴露了漏极(或者源极)区34中的漏极(或者源极)金属硅化物触点层34A的较高表面。更具体地,将光刻胶(未示出)涂覆到第二电介质层44的整个结构上。可以利用任何适合的技术来涂覆光刻胶,包括但不限于:涂层技术或者旋涂技术。在光刻胶上提供根据将被形成的接触孔的形状进行构图的掩膜(未示出),然后使用光刻工艺将掩膜构图转移到光刻胶上,这样便在光刻胶的未覆盖区域上创建了凹口。随后已构图的光刻胶用于使用反应离子刻蚀(RIE)工艺或者任何其它适合的干或湿蚀刻技术,在第一电介质层42和第二电介质层44中创建相同的凹口构图。随后,在形成了接触孔45后,剥离光刻胶,如图5所示。
接着,执行第二蚀刻步骤以在横向方向上沿着接触孔45的侧壁来有选择地蚀刻第一电介质层42,从而将接触孔45侧向扩展至第一电介质层42内部,并形成较窄的较高部分45A和较宽的较低部分45B,如图2D所示。例如,如果第一电介质层42包括SiO2并且第二电介质层44包括Si3N4,则可以使用稀释的HF曝光来有选择地将第一电介质层42中的SiO2从第二电介质层44中的Si3N4中蚀刻出来。
在形成完扩展的接触孔45后,将金属材料沉积在扩展的接触孔45中以便形成倒置源极/漏极金属触点,其较高部分46A的横截面积相对较小,较低部分46B的横截面积相对较大,如图7所示。优选地,但不是必须地,本发明的倒置源极/漏极金属触点的较低部分46B的横截面积在约0.0314μm2-约3.14μm2的范围内,而较高部分46A的横截面积在约0.008μm2-约0.79μm2的范围内。任何合适的金属材料都可以用来实现本发明,包括但不限于:W、Al、Cu、Ag、Au及它们的合金、硅化物和氮化物。在本发明的优选实施方式中,将W作为形成本发明的倒置源极/漏极金属触点的金属材料。
因此,以上描述的工艺步骤形成了具有倒置源极/漏极金属触点的改进型FET器件,其特征在于减小的总布局面积以及减小的栅极-触点电容,其中该面积在约0.05μm2-约5μm2的范围内,该栅极-触点电容小于约0.3飞法拉/微米沟道宽度。
总之,本发明满足了在以相对较低成本制备高速、高性能IC器件时对进一步缩小FET的需求。
尽管图2-图7示意性地示范了根据本发明具体实施方式的示例性FET结构和示例性工艺步骤,但是应当清楚,本领域技术人员可以容易地改进这里所示的器件结构和工艺步骤,以针对具体应用需求来进行调整,而与上述描述相一致。因此,应当理解,本发明并不限制于上述具体实施方式,而是可以在使用时延展至任何其它改进、变化、应用和实施方式,并且相应地所有这样的其它改进、变化、应用和实施方式也应理解为涵盖在本发明的精神和范围内。

Claims (19)

1.一种场效应晶体管(FET),包括:
在平面半导体衬底上的源极区,漏极区以及沟道区,位于平面半导体衬底的沟道区之上的栅极电介质层,在该栅极电介质层之上的栅电极,位于该源极区、该漏极区和该栅电极之上并覆盖该源极区、该漏极区和该栅电极的第一电介质层,以及位于该第一电介质层之上的第二电介质层;以及倒置源极/漏极金属触点,其具有位于该第一电介质层中的较低部分以及位于该第二电介质层中的较高部分,并且其中所述倒置源极/漏极金属触点的较低部分的横截面积比该较高部分的横截面积大,并且所述较高部分与较低部分的中心对准从而提供倒置的T横截面几何形状,其中所述较高部分具有第一横截面直径以及较低部分具有第二横截面半径,其中所述第一横截面直径等于或者小于第二横截面半径的1/2。
2.根据权利要求1的半导体器件,只包括一个金属触点,其与该源极区或者漏极区电连接。
3.根据权利要求1的半导体器件,其中该至少一个金属触点的较低部分的横截面积在0.03μm2-3.15μm2的范围内。
4.根据权利要求1的半导体器件,其中该至少一个金属触点的较高部分的横截面积在0.015μm2-3.15μm2的范围内。
5.根据权利要求1的半导体器件,其中该至少一个金属触点与该栅电极分隔有一定距离,该距离在0.001μm-5μm的范围内。
6.根据权利要求1的半导体器件,具有小于0.3飞法拉/微米沟道宽度的栅极-触点电容。
7.根据权利要求1的半导体器件,其中该至少一个金属触点包括W、Al、Cu、Ag、Au或者其组合。
8.根据权利要求1的半导体器件,其中该第一电介质层包括SiOx、SixNy、SixNyOz、SixCy、SixCyOz或者其组合,其中x、y和z为整数。 
9.根据权利要求1的半导体器件,其中该第二电介质层包括SiOx、SixNy、SixNyOz、SixCy、SixCyOz或者其组合,其中x、y和z为整数。
10.根据权利要求1的半导体器件,进一步包括一个或者多个组件,该组件选自由源极/漏极延伸注入、源极/漏极晕注入、源极/漏极/栅极触点和栅极侧壁间隔物组成的组。
11.一种方法,包括:
形成半导体器件,该半导体器件包括在半导体衬底上的源极区,漏极区以及沟道区,位于所述半导体衬底的沟道区之上的栅极电介质层,位于该栅极电介质层上的栅电极,位于该源极区、漏极区和栅电极上并覆盖该源极区、漏极区和栅电极的第一电介质层,以及位于该第一电介质层上的第二电介质层;
有选择地去除该第一电介质层和第二电介质层的一部分,以形成暴露该源极区或者该漏极区的至少一个触点孔;
有选择地沿着所述至少一个触点孔的侧壁来去除该第一电介质层的一部分;以及
用金属材料填充所述至少一个触点孔以形成与该源极区或者漏极区电连接的至少一个金属触点,其中所述至少一个金属触点包括位于该第一电介质层中的较低部分以及位于该第二电介质层中的较高部分,并且其中该较低部分的横截面积比该较高部分的横截面积大,并且所述较高部分与较低部分的中心对准从而提供倒置的T横截面几何形状,其中所述较高部分具有第一横截面直径以及较低部分具有第二横截面半径,其中所述第一横截面直径等于或者小于第二横截面半径的1/2。
12.根据权利要求11的方法,其中仅形成一个暴露该源极区或者该漏极区的触点孔,并且其中仅形成一个与该源极区或者该漏极区电连接的金属触点。
13.根据权利要求11的方法,其中所述至少一个金属触点的较低部分的横截面积在0.03μm2-3.15μm2的范围内。 
14.根据权利要求11的方法,其中所述至少一个金属触点的较高部分的横截面积在0.015μm2-3.15μm2的范围内。
15.根据权利要求11的方法,其中该至少一个金属触点与该栅电极分隔有一定距离,该距离在0.0001μm-5μm的范围内。
16.根据权利要求11的方法,其中该至少一个金属触点包括W、Al、Cu、A g、Au或者其组合。
17.根据权利要求11的方法,其中该第一电介质层包括SiOx、SixNy、SixNyOz、SixCy、SixCyOz或者其组合,其中x、y和z为整数。
18.根据权利要求11的方法,其中该第二电介质层包括SiOx、SixNy、SixNyOz、SixCy、SixCyOz或者其组合,其中x、y和z为整数。
19.根据权利要求11的方法,其中该半导体器件进一步包括一个或者多个组件,该组件选自由源极/漏极延伸注入、源极/漏极晕注入、源极/漏极/栅极触点和栅极侧壁间隔物组成的组。 
CN200680039243XA 2005-10-21 2006-09-20 具有倒置源极/漏极金属触点的场效应晶体管(fet)及其制造方法 Active CN101292345B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US11/163,523 US7648871B2 (en) 2005-10-21 2005-10-21 Field effect transistors (FETS) with inverted source/drain metallic contacts, and method of fabricating same
US11/163,523 2005-10-21
PCT/EP2006/066530 WO2007045532A1 (en) 2005-10-21 2006-09-20 Field effect transistors (fets) with inverted source/drain metallic contacts, and method of fabricating same

Publications (2)

Publication Number Publication Date
CN101292345A CN101292345A (zh) 2008-10-22
CN101292345B true CN101292345B (zh) 2011-07-20

Family

ID=37434056

Family Applications (1)

Application Number Title Priority Date Filing Date
CN200680039243XA Active CN101292345B (zh) 2005-10-21 2006-09-20 具有倒置源极/漏极金属触点的场效应晶体管(fet)及其制造方法

Country Status (7)

Country Link
US (2) US7648871B2 (zh)
EP (1) EP1946366A1 (zh)
JP (1) JP2009513007A (zh)
KR (1) KR101027175B1 (zh)
CN (1) CN101292345B (zh)
TW (1) TWI397973B (zh)
WO (1) WO2007045532A1 (zh)

Families Citing this family (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6511879B1 (en) * 2000-06-16 2003-01-28 Micron Technology, Inc. Interconnect line selectively isolated from an underlying contact plug
US20070093055A1 (en) * 2005-10-24 2007-04-26 Pei-Yu Chou High-aspect ratio contact hole and method of making the same
JP2007197302A (ja) * 2005-12-28 2007-08-09 Sumitomo Electric Ind Ltd Iii族窒化物結晶の製造方法および製造装置
KR100807226B1 (ko) * 2006-08-21 2008-02-28 삼성전자주식회사 반도체 장치의 제조 방법
US7374980B2 (en) * 2006-10-13 2008-05-20 International Business Machines Corporation Field effect transistor with thin gate electrode and method of fabricating same
FR2947384B1 (fr) * 2009-06-25 2012-03-30 Commissariat Energie Atomique Procede de realisation d'un transistor a source et drain metalliques
US8716091B2 (en) 2010-03-30 2014-05-06 International Business Machines Corporation Structure for self-aligned silicide contacts to an upside-down FET by epitaxial source and drain
CN102468326B (zh) * 2010-10-29 2015-01-07 中国科学院微电子研究所 接触电极制造方法和半导体器件
US8357978B1 (en) * 2011-09-12 2013-01-22 Globalfoundries Inc. Methods of forming semiconductor devices with replacement gate structures
US8637358B1 (en) 2012-07-05 2014-01-28 International Business Machines Corporation Field-effect-transistor with self-aligned diffusion contact
KR102109462B1 (ko) * 2013-06-13 2020-05-12 에스케이하이닉스 주식회사 비휘발성 메모리 장치 및 그 제조방법
US9666684B2 (en) 2013-07-18 2017-05-30 Globalfoundries Inc. III-V semiconductor device having self-aligned contacts
US20150318280A1 (en) * 2014-04-30 2015-11-05 Globalfoundries Inc. Wide-bottom contact for non-planar semiconductor structure and method of making same
US10381448B2 (en) * 2016-05-26 2019-08-13 Tokyo Electron Limited Wrap-around contact integration scheme
US10217707B2 (en) 2016-09-16 2019-02-26 International Business Machines Corporation Trench contact resistance reduction
KR102593707B1 (ko) * 2016-10-05 2023-10-25 삼성전자주식회사 반도체 장치
US11195753B2 (en) 2018-09-18 2021-12-07 International Business Machines Corporation Tiered-profile contact for semiconductor
CN112103249B (zh) * 2019-06-18 2024-03-01 中芯国际集成电路制造(上海)有限公司 半导体结构及其形成方法

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6130482A (en) * 1995-09-26 2000-10-10 Fujitsu Limited Semiconductor device and method for fabricating the same
CN1396646A (zh) * 2001-06-21 2003-02-12 联华电子股份有限公司 嵌入式存储器的接触插塞的制作方法

Family Cites Families (49)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4675715A (en) * 1982-12-09 1987-06-23 American Telephone And Telegraph Company, At&T Bell Laboratories Semiconductor integrated circuit vertical geometry impedance element
US4599790A (en) * 1985-01-30 1986-07-15 Texas Instruments Incorporated Process for forming a T-shaped gate structure
JPS6480024A (en) * 1987-09-22 1989-03-24 Toshiba Corp Semiconductor device and manufacture thereof
US5112763A (en) * 1988-11-01 1992-05-12 Hewlett-Packard Company Process for forming a Schottky barrier gate
JP2995838B2 (ja) * 1990-01-11 1999-12-27 セイコーエプソン株式会社 Mis型半導体装置及びその製造方法
JPH0448644A (ja) * 1990-06-14 1992-02-18 Fujitsu Ltd 半導体装置の製造方法
JPH0448752A (ja) * 1990-06-15 1992-02-18 Nec Corp 半導体装置およびその製造方法
US5118382A (en) * 1990-08-10 1992-06-02 Ibm Corporation Elimination of etch stop undercut
US5180689A (en) * 1991-09-10 1993-01-19 Taiwan Semiconductor Manufacturing Company Tapered opening sidewall with multi-step etching process
US5470768A (en) * 1992-08-07 1995-11-28 Fujitsu Limited Method for fabricating a thin-film transistor
US5328553A (en) * 1993-02-02 1994-07-12 Motorola Inc. Method for fabricating a semiconductor device having a planar surface
KR970009054B1 (ko) * 1993-12-29 1997-06-03 현대전자산업 주식회사 평면구조 모스 트랜지스터 및 그 제조방법
US6495470B2 (en) 1994-11-18 2002-12-17 Intel Corporation Contact and via fabrication technologies
US5825063A (en) * 1995-03-07 1998-10-20 California Institute Of Technology Three-terminal silicon synaptic device
KR0144165B1 (ko) * 1995-05-12 1998-07-01 문정환 인버스 티(t)형 트랜지스터의 개선된 제조방법
US5976939A (en) * 1995-07-03 1999-11-02 Intel Corporation Low damage doping technique for self-aligned source and drain regions
JP3217015B2 (ja) * 1996-07-18 2001-10-09 インターナショナル・ビジネス・マシーンズ・コーポレーション 電界効果トランジスタの形成方法
JPH10270555A (ja) * 1997-03-27 1998-10-09 Mitsubishi Electric Corp 半導体装置及びその製造方法
JP3098474B2 (ja) * 1997-10-31 2000-10-16 日本電気株式会社 半導体装置の製造方法
TW384526B (en) * 1998-07-01 2000-03-11 United Microelectronics Corp Device structure for preventing the device from damage caused by plasma charging and vertical interference and the manufacturing method
TW382783B (en) * 1998-07-06 2000-02-21 United Microelectronics Corp Method of making borderless contact
JP2000021983A (ja) * 1998-07-07 2000-01-21 Mitsubishi Electric Corp 半導体装置およびその製造方法
US6042975A (en) * 1998-07-08 2000-03-28 Lucent Technologies Inc. Alignment techniques for photolithography utilizing multiple photoresist layers
KR100284905B1 (ko) * 1998-10-16 2001-04-02 윤종용 반도체 장치의 콘택 형성 방법
US6124217A (en) * 1998-11-25 2000-09-26 Advanced Micro Devices, Inc. In-situ SiON deposition/bake/TEOS deposition process for reduction of defects in interlevel dielectric for integrated circuit interconnects
US6165901A (en) * 1998-11-25 2000-12-26 United Microelectronics Corp. Method of fabricating self-aligned contact
US6083845A (en) * 1999-02-23 2000-07-04 United Microelectronics Corp. Etching method
US6228729B1 (en) * 1999-02-26 2001-05-08 Mosel Vitelic, Inc. MOS transistors having raised source and drain and interconnects
US6121648A (en) * 1999-03-31 2000-09-19 Radiant Technologies, Inc Ferroelectric based memory devices utilizing hydrogen getters and recovery annealing
US6326652B1 (en) 1999-06-18 2001-12-04 Micron Technology, Inc., CMOS imager with a self-aligned buried contact
US6103619A (en) * 1999-10-08 2000-08-15 United Microelectronics Corp. Method of forming a dual damascene structure on a semiconductor wafer
JP2001127151A (ja) * 1999-10-26 2001-05-11 Fujitsu Ltd 半導体装置およびその製造方法
JP4780818B2 (ja) 2000-03-03 2011-09-28 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
US6511879B1 (en) * 2000-06-16 2003-01-28 Micron Technology, Inc. Interconnect line selectively isolated from an underlying contact plug
US6534351B2 (en) * 2001-03-19 2003-03-18 International Business Machines Corporation Gate-controlled, graded-extension device for deep sub-micron ultra-high-performance devices
JP2002343861A (ja) 2001-05-21 2002-11-29 Mitsubishi Electric Corp 半導体集積回路およびその製造方法
TW483111B (en) * 2001-06-08 2002-04-11 Promos Technologies Inc Method for forming contact of memory device
US6686247B1 (en) * 2002-08-22 2004-02-03 Intel Corporation Self-aligned contacts to gates
JP2004127957A (ja) 2002-09-30 2004-04-22 Fujitsu Ltd 半導体装置の製造方法と半導体装置
KR100572316B1 (ko) 2002-10-11 2006-04-19 삼성전자주식회사 역티 형태의 게이트 전극을 갖는 모스 트랜지스터들 및 그제조방법들
US6884712B2 (en) 2003-02-07 2005-04-26 Chartered Semiconductor Manufacturing, Ltd. Method of manufacturing semiconductor local interconnect and contact
TW583746B (en) 2003-03-06 2004-04-11 Nanya Technology Corp Method of forming a bottle trench
TW582087B (en) 2003-04-09 2004-04-01 Nanya Technology Corp Method of forming bottle trench
TW584939B (en) 2003-04-23 2004-04-21 Nanya Technology Corp Method of forming bottle-shaped trench and the method for fabricating bottle-shaped trench capacitors
JP2004363355A (ja) 2003-06-05 2004-12-24 Hitachi Ltd 半導体装置及びその製造方法
US7190078B2 (en) * 2004-12-27 2007-03-13 Khandekar Viren V Interlocking via for package via integrity
US7432194B2 (en) * 2005-06-10 2008-10-07 United Microelectronics Corp. Etching method and method for forming contact opening
US20070093055A1 (en) * 2005-10-24 2007-04-26 Pei-Yu Chou High-aspect ratio contact hole and method of making the same
KR100681262B1 (ko) * 2006-01-24 2007-02-09 삼성전자주식회사 스택형 반도체 장치의 제조 방법

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6130482A (en) * 1995-09-26 2000-10-10 Fujitsu Limited Semiconductor device and method for fabricating the same
CN1396646A (zh) * 2001-06-21 2003-02-12 联华电子股份有限公司 嵌入式存储器的接触插塞的制作方法

Also Published As

Publication number Publication date
US7659160B2 (en) 2010-02-09
TW200733300A (en) 2007-09-01
US7648871B2 (en) 2010-01-19
KR20080047473A (ko) 2008-05-28
US20080042174A1 (en) 2008-02-21
CN101292345A (zh) 2008-10-22
JP2009513007A (ja) 2009-03-26
EP1946366A1 (en) 2008-07-23
US20070092990A1 (en) 2007-04-26
TWI397973B (zh) 2013-06-01
KR101027175B1 (ko) 2011-04-05
WO2007045532A1 (en) 2007-04-26

Similar Documents

Publication Publication Date Title
CN101292345B (zh) 具有倒置源极/漏极金属触点的场效应晶体管(fet)及其制造方法
US7060539B2 (en) Method of manufacture of FinFET devices with T-shaped fins and devices manufactured thereby
KR100634179B1 (ko) 변형 Si FIN 바디를 갖는 다중 게이트 MOSFET구조
JP5464850B2 (ja) 改良されたキャリア移動度を有するマルチゲート半導体デバイスの製造方法
US8541286B2 (en) Methods for fabricating integrated circuits
US7034362B2 (en) Double silicon-on-insulator (SOI) metal oxide semiconductor field effect transistor (MOSFET) structures
CN106910716B (zh) Si基高迁移率CMOS装置的制造方法及所得装置
US8008146B2 (en) Different thickness oxide silicon nanowire field effect transistors
US9209172B2 (en) FinFET and fin-passive devices
US20030190791A1 (en) Germanium field effect transistor and method of fabricating the same
US11393916B2 (en) Methods for GAA I/O formation by selective epi regrowth
US20130020640A1 (en) Semiconductor device structure insulated from a bulk silicon substrate and method of forming the same
CN103296023A (zh) 半导体器件及其制造和设计方法
JP2009200471A5 (zh)
US8927364B2 (en) Structure and method of high-performance extremely thin silicon on insulator complementary metal—oxide—semiconductor transistors with dual stress buried insulators
CN102790084A (zh) 锗和iii-v混合共平面的soi半导体结构及其制备方法
US20160351590A1 (en) Preventing strained fin relaxation
CN113078154A (zh) 半导体器件及其制备方法
CN109494249B (zh) 半导体元件及其制造方法

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant