CN101297399A - 存储器单元布局及工艺流程 - Google Patents

存储器单元布局及工艺流程 Download PDF

Info

Publication number
CN101297399A
CN101297399A CNA200680039444XA CN200680039444A CN101297399A CN 101297399 A CN101297399 A CN 101297399A CN A200680039444X A CNA200680039444X A CN A200680039444XA CN 200680039444 A CN200680039444 A CN 200680039444A CN 101297399 A CN101297399 A CN 101297399A
Authority
CN
China
Prior art keywords
word line
source electrode
storage arrangement
spacing
line
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CNA200680039444XA
Other languages
English (en)
Other versions
CN101297399B (zh
Inventor
戈登·A·哈勒
戴维·K·黄
倩·登·唐
切雷蒂格·罗伯茨
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Micron Technology Inc
Original Assignee
Micron Technology Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Micron Technology Inc filed Critical Micron Technology Inc
Publication of CN101297399A publication Critical patent/CN101297399A/zh
Application granted granted Critical
Publication of CN101297399B publication Critical patent/CN101297399B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3083Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/3088Process specially adapted to improve the resolution of the mask
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/34Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies not provided for in groups H01L21/0405, H01L21/0445, H01L21/06, H01L21/16 and H01L21/18 with or without impurities, e.g. doping materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0338Process specially adapted to improve the resolution of the mask
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/0203Particular design considerations for integrated circuits
    • H01L27/0207Geometrical layout of the components, e.g. computer aided design; custom LSI, semi-custom LSI, standard cell technique
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B12/00Dynamic random access memory [DRAM] devices
    • H10B12/01Manufacture or treatment
    • H10B12/09Manufacture or treatment with simultaneous manufacture of the peripheral circuit region and memory cells
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B12/00Dynamic random access memory [DRAM] devices
    • H10B12/30DRAM devices comprising one-transistor - one-capacitor [1T-1C] memory cells
    • H10B12/48Data lines or contacts therefor
    • H10B12/488Word lines

Abstract

一种存储器装置(10)包含有源区(16),有源区(16)包含界定第一轴线(A-A)的源极(20)及至少两个漏极(18)。至少两个大致平行的字线(12)由第一间距界定,其中一个字线(12)位于每一漏极(18)与源极(20)之间。数字线(14)由第二间距界定,数字线(14)中的一者耦合到源极(20)并形成第二轴线(B-B)。所述存储器阵列的有源区(16)以45°向由字线(12)与数字线(14)界定的网格倾斜。所述字线间距为约1.5F,而所述数字线间距为约3F。

Description

存储器单元布局及工艺流程
权利申请交叉参考案
本申请案涉及与本申请案同一时间提出申请的标题为“外围栅极堆叠及凹陷阵列栅极(PERIPHERAL GATE STACKS AND RECESSED ARRAY GATES)”(代理案号为MICRON.338A)的第11/219,304号美国申请案及与本申请案同一时间提出申请的标题为“经硅化凹陷硅(SILICIDED RECESSED SILICON)”(代理案号为MICRON.339A)的第11/219,303号美国申请案。
技术领域
本发明大体来说涉及集成电路设计,且更特定来说涉及用于在使特征尺寸升到最大的同时使面积降到最小的布局。
背景技术
由于许多因素(包括现代电子学中对提高的便携性、计算能力、存储器容量及能量效率的要求),集成电路的尺寸不断减小。为推动这些尺寸减小,形成集成电路的组成特征的尺寸(例如,电子装置及互连接线宽度)也不断降低。
降低特征尺寸的趋势在存储器电路或装置(例如,动态随机存取存储器(DRAM)、静态随机存取存储器(SRAM)、铁电(FE)存储器等)中最为明显。举例来说,DRAM通常包含数百万个相同的电路元件(称作存储器单元)。在一种应用中,一对存储器单元包含三个电子装置:两个存储电容器及具有由所述存储器单元共享的单个源极、两个栅极、两个沟道及两个漏极的存取场晶体管。因此,所述存储器单元对具有两个可各自存储一个位(二进制数字)的数据的可寻址位置。可经由所述晶体管将一个位写入到所述单元的位置中的一者且通过所述漏极电极上的读出电荷从所述源极电极地点读取所述位。
通过降低组成电装置及对其进行存取的导线的尺寸,可降低并入有这些特征的存储器装置的尺寸。因此,可通过将更多的存储器单元安装到存储器装置上来增加既定芯片面积的存储容量。
特征尺寸的不断减小对用于形成所述特征的技术提出越来越高的要求。一种熟知的技术是光刻术,其通常用于将衬底上的特征(例如,导线)图案化。间距的概念可用于阐述这些特征的尺寸。对于代表存储器阵列的重复图案,将间距界定为两个相邻特征中相同点之间的距离。邻近特征通常由一材料(例如,绝缘体)分离。因此,可将间距视为特征的宽度与使所述特征与相邻特征分离的间隔或材料的宽度的总和。由于光学因素(例如,镜头限制及光或辐射波长),光刻技术具有最小间距,低于所述最小间距特定的光刻技术就不能够可靠地形成特征。此最小间距通常由界定所述最小间距的1/2的变量或特征尺寸F指代。此变量经常称作“分辨率”。最小间距2F对特征尺寸减小施加理论限制。
间距加倍是一种用于使光刻技术的能力延伸出其最小间距的方法,从而实现小于2F的间距。两种间距加倍技术图解说明并阐述于颁发给罗威(Lowrey)等人的第5,328,810号美国专利及阿巴特契夫(Abatchev)等人于2004年9月2日提出申请的第10/934,778号美国专利申请案中,两个专利的整体揭示内容以引用的方式并入本文中。所述技术可成功地减小潜在的光刻间距;然而,其也增加制造成本。
用于改善使用常规光刻技术所可能的密度的另一种方法是改变存储器装置的布局以在相同的面积中安装更多的存储器单元而不改变间距。使用此种方法,可减小所述存储器装置的尺寸而不超出光学限制限定的最小间距2F。另一选择为,所述存储器装置可经配置以在维持不变间距的同时容纳更多的存储器单元。
这两种方法(间距加倍与存储器布局改变)很少和谐地使用。相应地,需要一种形成在某些元件之间具有更大间距的存储器装置的方法,即使所述存储器装置的尺寸同时缩减或所述存储器装置的密度同时增加。当经间距倍增的元件的小间距可能潜在地损伤光刻技术充分界定并分离所述存储器装置的其它元件的能力时,结合间距倍增尤其需要此种存储器设计或布局。
发明内容
根据本发明的一个方面,揭示一种存储器装置。所述存储器装置包含大致线性有源区,所述有源区包含界定第一轴线的一个源极及至少两个漏极。所述存储器进一步包含由第一间距界定的至少两个大致平行字线,第一字线的至少一部分位于所述至少两个漏极中的第一者与所述源极之间,且第二字线的至少一部分位于所述至少两个漏极中的第二者与所述源极之间。所述存储器装置进一步包含由第二间距界定的至少两个数字线,所述至少两个数字线中的一者耦合到所述源极并形成第二轴线。所述第一与第二轴线之间的锐角在10度到80度的范围内,且所述第一及第二间距中的一者比用于形成所述存储器装置的光刻技术的最小分辨率(F)大2.5倍,且所述存储器装置的第一及第二间距中的另一者比所述最小分辨率小两倍。
根据本发明的另一方面,提供一种集成电路。所述集成电路包含至少两个存储器单元,所述存储器单元包含共享一源极的至少两个漏极,所述至少两个存储器单元界定在所述至少两个漏极中的至少一者与所述源极之间延伸的第一纵轴线。所述集成电路进一步包含与所述至少两个存储器单元相交的至少两个大致平行、凹陷字线。所述集成电路进一步包含由介于用于形成所述集成电路的光刻技术的最小分辨率(F)的2.75倍与3.25倍之间的间距界定的至少两个数字线,所述至少两个数字线中的一者耦合到所述源极并沿第二轴线延伸。优选地,所述第一及第二轴线在其之间形成锐角,且所述字线由介于所述最小分辨率的1.25倍与1.9倍之间的有效间距界定。
根据本发明的另一方面,提供一种系统。所述系统优选地包含有源区,所述有源区包含源极及漏极及由间距加倍技术形成的字线,所述字线的至少一部分与所述有源区相交。所述系统进一步包含具有比用于形成所述系统的光刻技术的最小分辨率(F)大2.5倍的间距的至少两个数字线,所述至少两个数字线中的一者电耦合到所述源极。
根据本发明的另一实施例,揭示一种制造存储器装置的方法。根据此方法,提供一衬底,且在所述衬底内界定至少一个有源区,所述有源区包含一个源极及两个漏极,所述源极与所述漏极中的至少一者形成线,沿所述线界定第一轴线。还通过间距加倍技术在所述衬底内界定至少一对字线。在所述衬底上方还界定至少两个数字线,所述至少两个数字线中的一者的至少一部分在所述源极上方延伸并界定与所述第一轴线形成锐角的第二轴线,且所述至少两个数字线具有介于用于形成所述存储器装置的光刻技术的最小分辨率(F)的2.75倍与3.25倍之间的间距。
根据本发明的另一方面,提供一种存储器装置。所述存储器装置包含大致线性有源区,所述有源区包含界定第一轴线的一个源极及至少两个漏极。所述存储器装置进一步包含形成第二轴线的至少两个大致平行字线,第一字线的至少一部分位于所述至少两个漏极中的第一者与所述源极之间,且第二字线的至少一部分位于所述至少两个漏极中的第二者与所述源极之间。所述存储器装置进一步包含耦合到所述源极并形成第三轴线的数字线,其中所述第二与第三轴线大体垂直,且其中所述第一与第三轴线之间的锐角在40度到50度的范围内。
根据本发明的另一方面,揭示一种形成存储器装置的方法。提供一衬底,且在所述衬底内界定至少一个有源区,所述有源区包含一个源极及两个漏极,所述源极与所述漏极中的至少一者形成线,沿所述线界定第一轴线。在所述衬底内还界定至少一对字线,所述对字线与所述至少一个有源区相交且所述对字线具有比用于形成所述存储器装置的光刻技术的最小分辨率(F)小两倍的间距。还沿大体垂直于所述至少一对字线的第二轴线在所述衬底上方界定至少两个数字线,所述至少两个数字线中的一者的至少一部分在所述源极上方延伸,且所述至少两个数字线具有介于所述最小分辨率的2.75倍与3.25倍之间的间距。
附图说明
从对优选实施例的详细阐述及附图将更好地了解本发明,附图意在图解说明而非限制本发明。
图1是根据本发明的优选实施例布置的存储器装置的示意性平面图。
图2是根据本发明的优选实施例沿线2-2截取的图1的存储器装置的示意性截面侧视图。
图3-7是根据本发明的优选实施例的半导体装置的一部分的一系列截面图,其图解说明类似于图1及2的DRAM存取晶体管的形成。
图8是根据本发明的一个实施例图7的装置在硅凹陷到沟槽中之后且在硅化物的金属的沉积之前的示意性截面图。
图9是根据本发明的另一实施例图7的装置在将硅平面化到沟槽中且沉积硅化物的金属之后的示意性截面图。
图10A-11B是图解说明在对图9的装置实施硅化退火之后存储器存取装置的经完全硅化、凹陷栅极的显微图。
图12是显示图10A-11B的经部分制作的半导体装置在将所述经完全硅化的栅极凹陷并埋入其沟槽中之后的示意性截面图。
图13-21是根据本发明另一实施例的半导体装置的一部分的一系列截面图,其图解说明所述阵列中外围晶体管栅极堆叠及凹陷存取装置(类似于图1及2的外围晶体管栅极堆叠及凹陷存取装置)的同时形成。
具体实施方式
虽然结合间距加倍技术图解说明本发明的优选实施例,但应了解这些优选实施例的电路设计可并入到任何集成电路中。特定来说,可有利地应用所述实施例来形成具有电装置阵列(包括逻辑或门阵列)的任何装置及易失性或非易失性存储器装置(例如,DRAM、RAM或闪速存储器)。通过本文所述方法形成的集成电路可并入到多个更大系统(例如,母板、桌上型或膝上型计算机、数码相机、个人数字助理或对于其存储器为有用的多个装置中的任一者)中的任一者中。
根据本发明的一个实施例布置的一个存储器装置(DRAM)的设计及所起的作用图解说明于图式中且更加详细地阐述于下文中。
图1显示存储器装置10的一部分的视图。此示意性布局图图解说明形成存储器装置10的各种电装置及其它组件。当然,这些组件中的许多在纯直观表示法中无法区分,但人为地将图1中所示组件中的某些与其它组件区分以突出其功能性。存储器装置10构建在衬底11上以及衬底11中,衬底11形成在其中形成电装置的半导体材料的最低层级。衬底11通常包含硅。当然,如所属领域的技术人员所熟知,也可使用其它的适合材料(例如,其它族III-V元素)。当阐述所述其它组件时,参照衬底11的顶表面可最容易地了解其深度或高度(最佳见于图2中)。
在图1中还显示四条伸长字线12a、12b、12c、12d沿存储器装置10延伸。在优选实施例中,使用间距加倍技术形成这些字线12。特定来说,通过将结合图3-9更加详细论述的方法优选地形成这些字线12。使用此种技术,所得特征的间距可小于光刻技术所界定的最小间距。举例来说,在一个实施例中,所得特征的间距可等于光刻技术所界定最小间距的1/2。
大体来说,如所属领域的技术人员所熟知,可通过以下序列的步骤实施间距加倍。首先,光刻术可用于在上覆于可延伸材料层及衬底上的光致抗蚀剂层中形成线图案。如上所揭示,此光刻技术实现邻近线之间的2F间距,此间距受光刻术的光学特性的限制。在一个实施例中,F在60到100nm的范围内。此范围对于用于界定特征的目前工艺水平光刻技术是典型的。在一个光刻术系统中,F等于约86nm,而在另一系统中,F等于约78nm。
如所属领域的技术人员将很好地了解,由光刻术界定的每条线的宽度还通常界定为F。然后,可通过蚀刻步骤(优选地为各向异性)将所述图案转移到可延伸材料的更低层,从而在所述更低层中形成占位物(placeholder)或心轴(mandrel)。然后,可剥离所述光致抗蚀剂线,且可同向性地蚀刻所述心轴以增加相邻心轴之间的距离。优选地,将相邻心轴之间的距离从F增加到3F/2。另一选择为,可在抗蚀剂的层级实施所述同向性“缩减”或“修整”蚀刻。然后,可在所述心轴上方沉积间隔物材料的保形层。此材料层覆盖所述心轴的水平及垂直表面两者。因此,通过在定向间隔物蚀刻中优先从水平表面上蚀刻所述间隔物材料而在所述心轴的侧上形成间隔物(即,从另一材料的侧壁延伸的材料)。然后,选择性地移除剩余的心轴,仅留下所述间隔物,其可共同用作图案化的掩模。因此,在既定间距2F原先包括界定一个特征及一个间隔的图案的情况下,同一宽度现在包括由所述间隔物界定的两个特征及两个间隔。因此,有效地降低了可通过既定光刻技术实现的最小特征尺寸。下文将参照图3-9更加详细地论述此间距加倍方法,可针对特征尺寸的进一步减小重复此方法。
当然,如在所属技术中将熟知,可变化缩减/修整蚀刻的程度及所沉积间隔物的厚度以实现多种特征及间距尺寸。在所述图解说明的实施例中,虽然所述光刻技术可解决2F的间距,但所述特征(即,本发明实例中的字线12)具有F的间距。字线12由约F/2的宽度界定,且邻近字线12a、12b或12c、12d由同一宽度F/2分离。同时,作为所述间距加倍技术的副产物,隔开的字线12b、12c之间的分离为3F/2。在优选实施例中,用绝缘体填充隔离沟槽且所述隔离沟槽位于这些字线12b、12c之间的此分离内;然而,在其它实施例中,此隔离沟槽无需存在。
对于每个3F的距离来说,存在两个字线,产生所谓的有效间距3F/2。更大体来说,所述字线优选地具有介于1.25F与1.9F之间的有效间距。当然,用于界定所述字线的特定间距仅是一个实例。在其它实施例中,可通过更常规的技术制作所述字线,且无需使用间距加倍。在一个实施例中,举例来说,所述字线可各自具有F的宽度且可由F、2F、3F或某一其它宽度分离。在又一实施例中,所述字线也无需成对形成。举例来说,在一个实施例中,仅一个字线需要通过每一有源区。
字线12的总长度在图1中不可见,但在典型的实施方案中,每一字线12可延伸穿过数百、数千或数百万个晶体管。如所属领域的技术人员所熟知,在字线12的边缘处,字线12通常电耦合到一装置(例如,电源),其可施加穿过字线12的电流。经常,字线12的电源经由存储器控制器间接耦合到CPU。
在一个实施例中,字线12包含p型半导体,例如掺杂有硼的硅。在其它实施例中,如所属领域的技术人员所熟知,字线12可包含n型半导体,金属硅化物、钨或其它表现类似的材料。在某些实施例中,字线12可包含呈分层、混合或化学键合配置的多种材料。
图1中所见水平线由数字线14a、14b形成。在一个实例性实施例中,这些数字线中的每一者的宽度(图解说明为图1中的DL)等于F。未使用间距加倍形成这些实例性数字线14。在优选实施例中,邻近数字线14a、14b由图解说明为图1中的S的距离(等于2F)分离。所述数字线的间距优选地大于2.5F,且优选地小于4F。在无间距加倍技术的情况下,更低限制当然由用于形成所述数字线的光刻技术施加。另一方面,在此范围的上端附近,所述光刻术欠精确,且因此更便宜,但所述存储器本身开始变得太大。在更优选的实施例中,所述数字线的间距介于2.75F与3.25F之间。此范围表示制造容易性与芯片尺寸之间的平衡。在所述图解说明的实施例中,数字线14具有3F的间距。当然,在其它实施例中,不同的宽度及间隔也是可能的。
如同字线12,数字线14的总长度在图1中也不可见,且数字线14通常延伸穿过许多晶体管。如所属领域的技术人员所熟知,在数字线14的边缘处,数字线14通常电耦合到电流读出放大器,且从而耦合到电源或电压源。经常,数字线14的电源也经由存储器控制器间接耦合到CPU。作为数字线14之间更宽松间距的结果,所述读出放大器可彼此更远地间隔,从而放宽其制造容许偏差,且降低邻近数字信号的电容耦合的可能性。
在一个实施例中,数字线14包含导电金属,例如钨、铜或银。在其它实施例中,如所属领域的技术人员所熟知,可使用其它导体或半导体。
在图1中可见的其它特征为有源区16(图解说明于曲线矩形内),其形成相对于所述数字线的轴线B成角度的轴线A。这些矩形表示衬底11内的经掺杂区域或阱;然而,在其它实施例中,这些矩形未必表示存储器装置10及衬底11内或上的物理结构或材料。有源区16界定存储器装置10的含有场效晶体管且通常由场隔离元件(例如,浅沟槽隔离(STI))包围的那些部分。在一个优选实施例中,这些有源区各自包含两个漏极18及一个源极20。如所属领域的技术人员所熟知,所述源极及漏极可大于或小于图1中所图解说明的大小。也可以所属领域的技术人员所熟知的多种方式中的任一种制作所述源极及漏极。
在另一实施例中,所述有源区可包含一个源极及一个漏极,其中所述源极在所述数字线附近形成,且所述漏极通过字线与所述源极分离。在此一实施例中,可类似于图1中的存储器装置10配置所述存储器装置,但仅需要一个字线通过每一有源区。当然,在另一实施例中,有源区可包含一个源极及一个漏极,且所述存储器装置可进一步包含在所述有源区附近延伸、类似于图1中所示成对字线12c、12d配置的两个字线。在此一实施例中,所述两个字线两者均可在所述源极与漏极之间延伸,并提供对晶体管的冗余控制。
如图解说明,数字线14接近每一源极20且优选地在每一源极20(位于所述数字线的行中)上方伸展(参见图2)。同时,每一源极20的每一侧通过字线12与其邻近漏极18分离。在一个实施例中,源极20及漏极18包含n型半导体材料,例如,掺杂有磷或锑的硅。在其它实施例中,如所属领域的技术人员所熟知,源极20及漏极18可包含p型半导体,或其可用其它材料制作。事实上,无需用相同化合物制作源极20及漏极18。
参照图2简要论述存储器装置10所起的作用,图2显示有源区16中的一者的截面图。对于对DRAM起作用的基本方式的进一步论述来说,颁发给Seely等人的第3,731,287号美国专利(其整体内容以引用的方式并入本文中)更加详细地论述DRAM。
如图2中所示,漏极18及源极20可包含来自衬底11的相对平坦上表面的突出物。在一个优选实施例中,将源极20及漏极18与衬底11制作成单片,且源极20及漏极18通过蚀刻单片晶圆片或衬底而相对于衬底11的表面凸起;在另一种布置中,使用所属领域的技术人员所熟知的技术通过选择性外延沉积来形成所述源极及漏极突出物。
在一个实施例中,数字线14b的至少一部分位于源极20的上表面上方。如图2中所图解说明,源极20通过数字线插脚22电耦合到数字线14b,如图所示,可在多个阶段或单个阶段中形成所述插脚。同时,源极20通过字线12a、12b与两个漏极18分离。字线12a、12b优选地嵌入到衬底11中,从表面向下延伸。此设计的晶体管经常称作凹陷存取装置或RAD。漏极18又通过接触插脚28电耦合到存储电容器24,且特定来说耦合到存储电容器24的更低电极26。在优选实施例中,存储电容器24包含通过电介质材料32与参考电极30分离的更低电极26。在此配置中,这些堆叠存储电容器24以所属领域的技术人员所熟知的方式起作用。如图解说明,存储电容器24优选地位于衬底11的平面上方,虽然在其它布置中可使用沟槽式电容器。
在一个实施例中,每个存储电容器24的一侧形成参考电极30,而更低电极26电耦合到相关联漏极18。字线12a、12b在其通过的场效晶体管中用作栅极,而数字线14b用作其电耦合到的源极的信号。因此,字线12a、12b通过允许或防止数字线14b上所载携的信号(表示逻辑“0”或逻辑“1”)写入存储电容器24或从存储电容器24读取来优选地控制到耦合到每一漏极18的存储电容器24的存取。因此,连接到相关联漏极18的两个电容器24中的每一者可含有一个位的数据(即,逻辑“0”或逻辑“1”)。在存储器阵列中,所选择数字线与字线的组合可唯一地识别应向其写入数据或应从其读取数据的存储电容器24。
然后返回图1,可更加详细地论述存储器装置10的设计及几何形状。已在图1的右下角中图解说明多个轴线。这些轴线与形成存储器装置10的电路元件的纵轴线大体对准,且图解说明这些轴线以更清楚地显示各种电装置与组件之间形成的角度。轴线A表示有源区16的纵轴线。每一有源区16的漏极18及源极20优选地具有可用于界定纵轴线的大致线性关系。如图解说明,所有有源区16大致平行。当然,应了解漏极18及源极20无需形成绝对直的线,且实际上这三个点可界定大致角度。因此,在某些实施例中,可通过两个漏极18或通过源极20及漏极18中的仅一者或以所属领域的技术人员所清楚了解的多种其它方式来界定轴线A。在其它实施例中,其中所述有源区包含单个漏极及单个源极,可通过所述单个漏极与单个源极之间的线界定轴线A。
轴线B表示数字线14b的纵轴线。在所述图解说明的实施例中,数字线14b形成大致直线。正如有源区16优选地平行,数字线14a、14b也优选地形成大体平行轴线。因此,在优选实施例中,至少在每一存储器单元的区域中,每个有源区16的轴线A与数字线14的每个轴线B形成类似的角度。
在优选实施例中(图解说明于图1中),在轴线A与轴线B之间形成一锐角。在所述图解说明的实施例中,界定于轴线A与轴线B之间的此锐角θ为45°。
有源区16相对于数字线14成角度便于延伸于漏极18与相关联存储电容器24之间的接触插脚28的定位。在所述优选实施例(图解说明于图2中)中,由于这些接触插脚28从漏极18的顶表面延伸,因此如果数字线14不在漏极18的顶部上方延伸那么工程技术将简化。通过使有源区16成角度,可选择数字线14与漏极18之间的距离以推动所述漏极与接触插脚之间的电子接触,即使在数字线14与同一有源区16的源极20大致重叠并接触时。
当然,角度θ可具有经选择以使所述电装置的间距最大的多个值中的任一者。如所属领域的技术人员将容易地明了,不同的角度将产生邻近有源区之间的不同间距。在一个实施例中,角度θ优选地介于10°与80°度之间。在更优选的实施例中,角度θ介于20°与60°之间。在又一更优选的实施例中,角度θ介于40°与50°之间。
转向图3-10,更加详细地图解说明一种制作存储器装置10的间距加倍字线12的方法。所属领域的技术人员将容易地了解,可单独用其它族的材料替代所述图解说明的实施例的特定材料或将所述图解说明的实施例的特定材料与其它族的材料组合。图3图解说明半导体衬底11,已根据常规半导体处理技术在衬底11上方形成薄的临时层40(在优选实施例中包含氧化物)。然后,在衬底11及临时层40上方沉积硬掩模层42(例如,氮化硅)。可通过任何众所周知的沉积工艺(例如,溅镀、化学气相沉积(CVD)或低温度沉积或其它)形成硬掩模层42。虽然在所述优选实施例中硬掩模层42包含氮化硅,但必须了解其也可由氧化硅或(例如)适合用于下述选择性蚀刻步骤的其它材料形成。
接下来,在未图解说明于所述图式中的步骤中,使用在硬掩模层42上方形成的光致抗蚀剂层将硬掩模层42图案化。可使用常规光刻技术将所述光致抗蚀剂层图案化以形成掩模,且然后穿过所述经图案化的光致抗蚀剂来各向异性地蚀刻硬掩模层42以获得以y维(如图1所界定)延伸的多个硬掩模柱44,其中沟槽46将那些柱分离。然后,可通过常规技术(例如,通过使用基于氧的等离子)来移除所述光致抗蚀剂层。
参照图5A,在沟槽46在硬掩模层42中形成之后,可沉积间隔物材料的保形层以覆盖存储器装置10的整个表面。优选地,可相对于衬底11及临时层40选择性地蚀刻所述间隔物材料,且可各相对于所述间隔物材料选择性地蚀刻衬底11及临时层40。在所述图解说明的实施例中,所述间隔物材料包含多晶硅。可使用任何适合的沉积工艺(例如,CVD或物理气相沉积(PVD))来沉积所述间隔物材料。
在将所述间隔物材料敷设到存储器装置10的垂直及水平表面上方之后,可使用各向异性蚀刻以在定向间隔物蚀刻中优先从所述水平表面上移除所述间隔物材料。因此,所述间隔物材料形成为间隔物48,即,从另一材料的侧壁延伸的材料。如图5中所示,间隔物48在沟槽46中形成且使沟槽46变窄。
参照图5B,然后可在存储器装置10的整个表面上方沉积第二硬掩模层49。将此硬掩模层49(在优选实施例中也是氮化硅)优选地沉积到足以填充沟槽46的厚度。当然,可通过多种适合沉积工艺(包括CVD或PVD)中的任一种沉积硬掩模材料49。在沉积充足量的硬掩模材料49之后,可通过所属领域的技术人员所熟知的多种工艺中的任一种移除可能已在间隔物48上方及先前沉积的硬掩模42的其它部分上方形成的多余部分。举例来说,可将装置10的表面平面化到图5B中虚线的程度,使得剩余间隔物48的侧壁近乎垂直。可使用任何适合的平面化工艺,例如化学机械平面化。
可使用多种工艺中的任一种剥离现在暴露在存储器装置10的顶表面处的间隔物48。在所述图解说明的实施例中,可使用一种相对于氮化硅选择性地剥离多晶硅的工艺。举例来说,在一个实施例中,可使用选择性湿式蚀刻。通过选择性蚀刻临时层40以及衬底11的第二蚀刻来进一步加深在已蚀刻的间隔物48处形成的沟槽。也优选地使用定向工艺(例如,离子铣或反应性离子蚀刻)来形成这些沟槽。
图6图解说明这些工艺的结果,其中呈沟槽50形式的开口或凹陷由小于单独使用光刻技术所可能的最小间距的间距分离。优选地,沟槽50在顶部具有介于约25nm与75nm之间的宽度。当然,所属领域的技术人员应了解,可使用间距倍增的众多其它技术来达到图6中所示的阶段。许多此类技术通常将包括间隔物工艺,通过所述工艺物理沉积可实现小于单独使用光刻技术的间距。沟槽50通常还具有大于1∶1且优选地大于2∶1的纵横比。增加的深度使可用体积升到最大且从而使字线的导电性升到最大,但代价是用适合材料填充中的困难。
在形成这些沟槽50之后,通过所属领域的技术人员所熟知的多种方法中的任一种选择性地剥离硬掩模层42。在图7中,栅极电介质层54在所述装置上方毯覆沉积或热生长,从而给沟槽50的内表面加上衬里。所述图解说明的栅极电介质层54在优选实施例中包含由热氧化形成的氧化硅,但在其它实施例中还可以是沉积的高K材料。然后,还可在整个存储器装置10上方毯覆沉积栅极材料层52(其在所述图解说明的实施例中包含多晶硅)。在一个实施例中,栅极层52完全填充沟槽50并形成装置10的顶表面。在优选实施例中,不掺杂此多晶硅。
在界定晶体管的漏极及源极的一系列掺杂步骤之后,对沟槽50中未经掺杂的多晶硅进行回蚀刻,直到栅极层52的顶部驻存于衬底11的顶表面以下。所述工艺的此阶段显示在图8中。如果经适当掺杂,图8的凹陷多晶硅52可用作所述存储器单元晶体管的字线及栅极电极。
然而,优选地,所述阵列中的栅极电极由导电性远远高于传统多晶硅栅极的导电材料形成。此是因为凹陷栅极12(参见图1及2)窄于典型栅极电极的事实。金属材料整体或部分地补偿所述阵列中栅极的小体积,从而改善沿字线的横向信号传播速度。因此,可在图8的未经掺杂多晶硅凹陷之后通过在其上沉积金属并与之反应来使其硅化。金属硅化物可具有佳于经掺杂多晶硅导电性的10倍的导电性并展现适合的功函数。
参照图9-12,在另一种布置中,多晶硅52并非凹陷而是首先回蚀刻或向下平面化到栅极氧化物54,从而在此阶段中将所述多晶硅隔离在沟槽50中而不凹陷。沟槽50中的栅极层52的多晶硅经受硅化(自对准硅化)反应以形成导电材料层56。可毯覆沉积金属层55(图9)且退火步骤可在所述金属接触硅的地方(例如,在多晶硅栅极层52上方)形成硅化物材料56(图12)。在一个实施例中,所述经硅化材料包含硅及一种或多种金属,例如钨、钛、钌、钽、钴或镍。选择性金属蚀刻移除所述多余金属但不移除硅化物56。金属硅化物56从而形成增加沿字线的横向导电性的自对准层。
优选地,使栅极层52完全硅化以使横向导电性升到最大。完全反应还保证硅化物向下形成到沟槽50的底部。在所述图解说明的凹陷存取装置(RAD)中,所述沟道不仅穿过所述栅极的底部而且沿所述栅极的侧壁延伸。相应地,不完全硅化将导致沿RAD沟道长度的不同功函数。此外,完全硅化保证穿过所述阵列、穿过晶圆片从阵列到阵列及从晶圆片到晶圆片的类似栅极功函数。然而,已发现在图解说明的沟槽50的紧凑边界内用旨在形成导电材料56的单种金属难以实现完全硅化。举例来说,镍或钴在高纵横比的沟槽50中倾于形成孔隙。对于凹陷存取装置的完全硅化,其它金属展现出类似困难。所属领域的技术人员应了解,对于其它类型凹陷(例如,接触开口或通孔、电容器的堆叠容器形状、电容器沟槽等)中的材料,完全硅化是大有希望的。
在不欲受理论束缚的情况下,出现孔隙似乎是由硅化反应期间的扩散结合所述高纵横比沟槽50的紧凑边界一同导致的。硅在钴中比钴在硅中更容易扩散。相应地,硅倾于在所述反应期间移动,从而在沟槽50中留下孔隙。此外,高温度相转变退火将所述硅化物从CoSi转换成更稳定的CoSi2。另一方面,与硅到镍中的扩散相比,镍更容易扩散到硅中且因此镍在其中NiSi转化成NiSi2相的反应期间也具有产生孔隙的倾向。
相应地,金属层55优选地包含金属的混合物,其中在所述混合物中所述金属中的至少两者具有相对于硅的对抗扩散率。举例来说,金属层55可包含镍与钴的混合物,使得扩散的方向倾于彼此平衡且使出现孔隙的风险降到最低。在此实例中,钴优选地包含少于混合金属55的50%,且更优选地,所述混合物包含约70-90%的Ni及约10-30%的Co。我们发现镍与钴的此种混合物更容易完成所述栅极层的完全硅化而不出现孔隙,从而增加沿字线的信号传播速度。与部分硅化相比,经完全硅化的字线不仅更具导电性,而且将保证沿沟道长度的一致功函数。完全硅化还将展现穿过阵列从装置到装置、从阵列到阵列或晶圆片到晶圆片的更佳一致性,因为部分硅化将根据局部温度变化等而倾于留下不一致的合成物。
在一个实例中,将包含80%Ni及20%Co的溅镀对象溅镀到多晶硅52上方以产生金属层55。然后,所述衬底经受硅化退火。虽然较短时间的高温(例如,800℃)退火是可能的,但优选地,在更低温度下进行更长时间的退火。举例来说,在400-600℃下将所述衬底退火25-35分钟。在实验中,所述硅化退火在500℃的N2环境下在分批熔炉中进行30分钟。
鉴于本文中的揭示内容,所属领域的技术人员可容易地选择其它适合的金属混合物用于沟槽中的完全硅化。金属在硅中比硅在所述金属中更容易扩散的金属实例包括Ni、Pt及Cu。硅在金属中比所述金属在硅中更容易扩散的金属实例包括Co、Ti及Ta。
图10A-11B是显示衬有氧化硅的50nm宽沟槽中的凹陷、经完全硅化的NixCoySi2栅极材料的显微图。图10A及10B以两种不同的倍率显示穿过双沟槽的宽度的截面。图11A及11B以两种不同的倍率显示沿所述沟槽中的一者的长度的截面。所述沟槽在顶部具有约50nm的宽度及约150nm的深度,使得这些沟槽的纵横比为约3∶1。我们观察到平滑均匀的合成物填充所述沟槽的至少较低部分而不出现孔隙。在图11-12的实例中,在沉积多晶硅52(图7)之后,可将所述多晶硅仅回蚀刻到栅极电介质顶表面54,从而将所述硅隔离于所述沟槽中而不凹陷。
现在参照图12,经硅化的层56可凹陷于所述沟槽中且然后由第二绝缘层58(例如,氮化硅)覆盖。可沉积这些绝缘层58且然后蚀刻或平面化。导电材料56从而形成已完成存储器装置10的字线12a、12b,且字线12a、12b通过绝缘层58与其它电路元件分离。因此,如所属领域的技术人员将很好地了解,字线12已间距倍增,且具有仅使用光刻技术所可能间距的约1/2的间距。然而,注意本文中揭示内容的某些方面提供优点,不管所述字线是否间距倍增。
当然,在其它实施例中,所述间距倍增可通过所属领域的技术人员所熟知的各种工艺中的任一种发生。
因此,所述图解说明的实施例的经硅化层56填充沟槽50的较低部分,优选地填充所述沟槽高度的大于50%,更优选地填充所述沟槽高度的大于75%。在所述图解说明的实施例中,金属硅化物56中约70-90%的金属为镍且所述金属硅化物中约10-30%的金属是钴。
如所属领域的技术人员将了解,在优选实施例中,优选地随着以上某些步骤的完成同时界定外围设备中的逻辑,从而使所述芯片制造工艺效率更高。特定来说,界定凹陷字线的硅及金属沉积步骤优选地在所述衬底上方同时界定用于外围设备中的CMOS晶体管的栅极电极。
参照图13-21,根据另一实施例,可针对所述阵列中同时处理的栅极电极及外围设备中的逻辑区域建立不同的功函数及电阻率。在所述图解说明的实施例中,此由将阵列RAD沟槽蚀刻穿过多晶硅层来推动,所述多晶硅层形成所述外围设备中栅极堆叠的部分。
参照图13,可在形成所述沟槽之前在衬底11上方沉积多晶硅层60。可将多晶硅层60首先沉积在薄电介质54a(例如,生长的栅极氧化物)上方。然后,可用间距加倍掩模(未显示)(例如相对于图3-6阐述的掩模)将所述衬底图案化。还形成蚀刻终止层61,在所述图解说明的实施例中蚀刻终止层61包含约100-200A的TEOS沉积氧化物。
参照图14,将沟槽50蚀刻穿过上覆蚀刻终止层61、多晶硅层60、下伏电介质54a及衬底11。然后,(例如)可通过所述沟槽壁的氧化物在衬底11的暴露部分上方形成栅极电介质54b。如图所示,由于预存在的蚀刻终止层61,无明显进一步氧化物在多晶硅60的顶表面上方生长。
随后,如图15中所示,可将金属材料62沉积在多晶硅60上方及沟槽50中。如相对于图9-12所述,优选地用比多晶硅更具导电性的材料填充沟槽50。在所述图解说明的实施例中,金属材料62包含氮化钛(TiN)。
参照图16,优选地将金属材料62回蚀刻或平面化以在沟槽50中留出导电材料62的隔离线,在氧化物蚀刻终止层61(参见图15)上停止。在回蚀刻之后,移除(例如,针对蚀刻终止层61的优选氧化物材料使用HF浸渍)上覆在多晶硅层60上的蚀刻终止层61,而沟槽50中的电介质层54b由金属材料62保护。随后,在硅层60上方沉积金属层64、66。如所属领域的技术人员将了解,第一电介质层54a、多晶硅层60及上覆金属层64、66可用作外围设备中的晶体管栅极堆叠。在所关心的两个区域(在所述存储器实例中,在外围设备及存储器阵列区域两者中)中沉积所有这些层。多晶硅经可变掺杂以建立需要的晶体管功函数,使得单种材料沉积及不同的掺杂步骤可用于界定CMOS电路的NMOS及PMOS两者的栅极。上覆金属层66可用于改善沿控制所述栅极的线的横向信号传播速度,且在所述图解说明的实施例中包含钨(W)。插入金属层64可保证多晶硅层60与上覆金属层66之间的接合处的物理及电兼容性(例如,实现粘着及屏障功能),且在所述图解说明的实施例中包含氮化钛,且更特定来说金属丰富的金属氮化。
参照图17,所述栅极堆叠还包括盖层68,其在所述图解说明的实施例中由氮化硅形成。图17显示在所述衬底的第一或存储器阵列区域70中填充有金属材料62的沟槽50。栅极堆叠层54a、60、64、66及68延伸穿过所述衬底的阵列区域70及第二或外围设备或逻辑区域72两者。光致抗蚀剂掩模76经配置用于将外围设备72中的晶体管栅极图案化。
如图18中所示,一系列蚀刻步骤首先蚀刻穿过盖层68,所述蚀刻步骤包括金属蚀刻以移除金属层64、66。举例来说,基于氯的反应性离子蚀刻(RIE)可选择性地移除典型金属材料(例如所述图解说明的钨捆绑层66及插入金属氮化物层64),而在下伏多晶硅层60上停止。如图所示,选择性的高度数使得能够在多晶硅60暴露之后继续所述金属蚀刻直到金属材料62凹陷到沟槽50中。
现在参照图19,在使金属栅极材料62凹陷到所述阵列沟槽中之后可切换所述蚀刻化学,且可使用同一掩模76将硅60图案化,从而完成外围设备72的栅极堆叠80的图案化。
现在参照图20,在移除所述掩模之后,在所述衬底上方沉积间隔物层84,保形地覆盖栅极堆叠80但填充阵列沟槽50的顶部处的凹陷。在所述图解说明的实施例中,间隔物层84包含氮化硅,但所属领域的技术人员应了解,可使用多种不同的绝缘材料。
如图21中所示,后续间隔物蚀刻(定向蚀刻)沿栅极堆叠80的侧壁留出侧壁间隔物86,从而允许源极/栅极区的自对准掺杂。然而,在阵列72中,因为用间隔物层84填充所述沟槽顶部处的浅凹陷(参见图20),因此,所述间隔物蚀刻仅回蚀刻阵列72中的间隔物材料,从而留出掩埋沟槽50中栅极材料62的绝缘盖层88。
所属领域的技术人员应了解,为简明起见在本文的阐述中省略了用于CMOS晶体管的各个掺杂步骤,包括源极/漏极、沟道增强、栅极电极、轻掺杂漏极(LDD)及卤素掺杂。
因此,图13-21的实施例推动所述阵列及所述外围设备中晶体管的同时处理。在所述图解说明的实施例中,所述阵列晶体管为凹陷存取装置(RAD),而所述外围栅极如常规平面MOS晶体管在衬底11上方形成。虽然在外围设备中的常规CMOS电路的背景下阐述,但所属领域的技术人员应了解,所述外围晶体管可采取其它形式。有利地,在所述图解说明的实施例中,RAD沟槽中的金属层可在所述外围栅极堆叠图案化的同时凹陷。此外,所述外围侧壁间隔物与RAD栅极或字线上的绝缘盖同时形成。
虽然未显示,但应了解可使用常规DRAM制作技术来产生图2中所示的其它电路元件。举例来说,不同程度的掺杂可用于形成图2的漏极18及源极20,且可根据多个沉积及遮掩步骤来形成堆叠存储电容器24。
作为所述装置布局及其制造方法的结果,图1及图2中所示的已完成存储器装置10与常规DRAM相比拥有多个优点。举例来说,每一存储器单元的尺寸及存储器装置10的总尺寸可充分地减小而邻近读出放大器之间的距离并未相应地充分减小。此外,字线12及数字线14可具有充分不同的间距,此使数字线14能够具有远远大于字线12的分离。举例来说,在所述优选实施例中,字线12具有1.5F的有效间距,而数字线14可具有3F的间距。此外,通过使数字线14及字线12大致线性且彼此大体垂直而简化用于形成所述数字线14及字线12的步骤,而通过将有源区16放置为与这些元件成角度来实现空间节约。所述优选实施例中的字线12也凹陷,但不同于常规DRAM中的布局,不存在占据所述有源区的栅极与源极或漏极之间的宝贵空间的间隔物(在图2中可容易地看到)。因此,可将存储器装置10制造地更加紧密。
此外,金属混合物的使用推动埋入沟槽50中的硅的完全硅化而不形成有害的孔隙。相应地,可实现相对小体积字线的高导电性。
虽然已阐述了本发明的某些实施例,但仅以实例方式提供这些实施例,且不希望这些实施例限制本发明的范围。实际上,本文所阐述的新方法及装置可以多种其它形式体现;此外,可在不背离本发明精神的情况下对本文所阐述方法及装置的形式做出各种省略、替代及改变。希望随附权利要求书及其等效物涵盖将属于本发明范围及精神的所述形式或修改。

Claims (36)

1、一种包含集成电路的系统,所述集成电路包含:
有源区,其包含源极及漏极;
至少两个大致平行字线,其具有比用于形成所述集成电路的光刻技术的最小分辨率(F)小两倍的第一间距,其中所述字线中的至少一者与所述有源区相交;及
至少两个数字线,其具有比所述最小分辨率(F)大2.5倍的第二间距,其中所述数字线中的至少一者电耦合到所述源极。
2、如权利要求1所述的系统,其中所述源极区包含共享所述源极的至少两个漏极。
3、如权利要求2所述的系统,其中:
第一字线的至少一部分位于所述源极与所述至少两个漏极中的第一者之间;及
第二字线的至少一部分位于所述源极与所述至少两个漏极中的第二者之间。
4、如权利要求1所述的系统,其进一步包含多个包含源极及漏极的有源区。
5、如权利要求1所述的系统,其中所述字线完全凹陷。
6、如权利要求5所述的系统,其中所述第一间距介于所述最小分辨率(F)的1.25倍与1.9倍之间。
7、如权利要求1所述的系统,其中所述第二间距为所述最小分辨率(F)的约三倍。
8、如权利要求1所述的系统,其中:
所述有源区大致沿延伸于所述源极与所述漏极之间的线来界定第一轴线;
所述数字线中的所述至少一者沿第二轴线延伸;及
所述第一与第二轴线之间的锐角介于10度与80度之间。
9、如权利要求8所述的系统,其中所述锐角介于20度与60度之间。
10、如权利要求9所述的系统,其中所述锐角介于40度与50度之间。
11、如权利要求9所述的系统,其中所述锐角为约45度。
12、如权利要求1所述的系统,其中所述两个字线各自与所述数字线中的所述至少一者形成约90度的角度。
13、如权利要求1所述的系统,其中无间隔物将所述字线与所述漏极分离。
14、一种存储器装置,其包含:
大致线性有源区,其包含界定第一轴线的源极及至少两个漏极;
至少两个大致平行字线,其由第一间距界定,第一字线的至少一部分位于所述源极与所述至少两个漏极中的第一者之间,且第二字线的至少一部分位于所述源极与所述至少两个漏极中的第二者之间;及
至少两个数字线,其由第二间距界定,所述数字线中的一者耦合到所述源极并形成第二轴线;
其中所述第一与第二轴线之间的锐角介于10度到80度的范围内,且其中所述第一及第二间距中的一者比用于形成所述存储器装置的光刻技术的最小分辨率(F)大2.5倍,且所述存储器装置的所述第一及第二间距中的另一者比所述最小分辨率(F)小两倍。
15、如权利要求14所述的存储器装置,其中所述第二间距介于所述最小分辨率(F)的2.75倍与3.25倍之间。
16、如权利要求15所述的存储器装置,其中所述第二间距约等于所述最小分辨率(F)的三倍。
17、如权利要求14所述的存储器装置,其中所述第一间距比所述最小分辨率(F)小两倍。
18、如权利要求14所述的存储器装置,其中所述字线由小于所述最小分辨率(F)的宽度界定。
19、如权利要求18所述的存储器装置,其中所述字线的宽度小于60nm。
20、如权利要求14所述的存储器装置,其中所述字线中的至少一者具有等于所述最小分辨率(F)约1/2的宽度。
21、如权利要求20所述的存储器装置,其中所述字线中的至少一者的宽度介于约30与50nm之间。
22、如权利要求14所述的存储器装置,其中所述字线通过间距加倍技术形成。
23、如权利要求14所述的存储器装置,其中所述字线的顶部位于所述源极的顶部及所述至少两个漏极的顶部下方。
24、一种制造存储器装置的方法,所述方法包含:
提供衬底;
在所述衬底内界定至少一个有源区,所述有源区具有形成线的源极及漏极,沿所述线界定有第一轴线;
通过间距加倍技术在所述衬底内界定至少一对字线,其中所述对字线具有比用于形成所述存储器装置的光刻技术的最小分辨率(F)小两倍的第一间距;及
在所述衬底上方界定至少两个数字线,所述数字线中的一者的至少一部分在所述源极上方沿第二轴线延伸,其中所述至少两个数字线具有比所述最小分辨率(F)大2.5倍的第二间距。
25、如权利要求24所述的方法,其中所述有源区包括一个源极及两个漏极。
26、如权利要求24所述的方法,其中所述字线中的至少一者由小于所述最小分辨率(F)的宽度来界定。
27、如权利要求24所述的方法,其中所述至少两个数字线具有介于所述最小分辨率(F)的2.75倍与3.25倍之间的间距。
28、如权利要求24所述的方法,其中所述第一轴线与所述第二轴线形成锐角。
29、如权利要求28所述的方法,其中所述锐角在10度到80度的范围内。
30、如权利要求28所述的方法,其中所述锐角在40度到50度的范围内。
31、如权利要求24所述的方法,其中界定所述字线进一步包含使所述字线凹陷到所述衬底中。
32、如权利要求24所述的方法,其中界定所述对字线进一步包含:
通过光刻术在光致抗蚀剂层中形成线图案;
穿过所述光致抗蚀剂层将所述线图案蚀刻到硬掩模层上;
剥离所述光致抗蚀剂层;
在所述存储器装置上方沉积间隔物材料;
蚀刻所述间隔物材料以优先从水平表面上移除所述间隔物材料;
沉积包含所述硬掩模层的材料;
剥离所述间隔物材料以形成至少一对沟槽;
蚀刻到所述衬底中以加深所述至少一对沟槽;及
用电极材料部分地填充所述至少一对沟槽。
33、如权利要求32所述的方法,其中所述沉积电极材料的步骤进一步包含:
沉积多晶硅以填充所述至少一对沟槽;
回蚀刻所述至少一对沟槽内的所述多晶硅;
沉积金属层以大致覆盖所述经回蚀刻的多晶硅;及
通过退火步骤使所述多晶硅硅化。
34、如权利要求33所述的方法,其中回蚀刻包含在沉积所述金属层之前使所述多晶硅凹陷到所述沟槽中。
35、如权利要求32所述的方法,其中部分地填充包含用金属材料填充所述至少一对沟槽并使所述金属材料凹陷到所述沟槽中。
36、如权利要求24所述的方法,其中界定所述对字线包含同时在所述存储器装置的另一区域中界定逻辑门。
CN200680039444XA 2005-09-01 2006-08-28 存储器单元布局及工艺流程 Active CN101297399B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US11/219,349 2005-09-01
US11/219,349 US7687342B2 (en) 2005-09-01 2005-09-01 Method of manufacturing a memory device
PCT/US2006/033420 WO2007030346A2 (en) 2005-09-01 2006-08-28 Memory cell layout and process flow

Publications (2)

Publication Number Publication Date
CN101297399A true CN101297399A (zh) 2008-10-29
CN101297399B CN101297399B (zh) 2012-09-26

Family

ID=37802858

Family Applications (1)

Application Number Title Priority Date Filing Date
CN200680039444XA Active CN101297399B (zh) 2005-09-01 2006-08-28 存储器单元布局及工艺流程

Country Status (8)

Country Link
US (2) US7687342B2 (zh)
EP (1) EP1929517B1 (zh)
JP (1) JP5003979B2 (zh)
KR (1) KR101057265B1 (zh)
CN (1) CN101297399B (zh)
SG (1) SG165334A1 (zh)
TW (1) TWI321844B (zh)
WO (1) WO2007030346A2 (zh)

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN105374813A (zh) * 2014-08-29 2016-03-02 台湾积体电路制造股份有限公司 单元布局和结构
CN105826318A (zh) * 2014-10-02 2016-08-03 华亚科技股份有限公司 半导体结构
CN106783743A (zh) * 2015-11-23 2017-05-31 华邦电子股份有限公司 存储器装置及其制造方法
CN109427787A (zh) * 2017-08-30 2019-03-05 联华电子股份有限公司 半导体存储装置
CN110168727A (zh) * 2017-01-10 2019-08-23 美光科技公司 形成包括垂直对置电容器对的阵列的方法及包括垂直对置电容器对的阵列

Families Citing this family (84)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7151040B2 (en) * 2004-08-31 2006-12-19 Micron Technology, Inc. Methods for increasing photo alignment margins
US7547945B2 (en) * 2004-09-01 2009-06-16 Micron Technology, Inc. Transistor devices, transistor structures and semiconductor constructions
US7910288B2 (en) 2004-09-01 2011-03-22 Micron Technology, Inc. Mask material conversion
US7115525B2 (en) * 2004-09-02 2006-10-03 Micron Technology, Inc. Method for integrated circuit fabrication using pitch multiplication
US7655387B2 (en) * 2004-09-02 2010-02-02 Micron Technology, Inc. Method to align mask patterns
US7390746B2 (en) * 2005-03-15 2008-06-24 Micron Technology, Inc. Multiple deposition for integration of spacers in pitch multiplication process
US7253118B2 (en) * 2005-03-15 2007-08-07 Micron Technology, Inc. Pitch reduced patterns relative to photolithography features
US7384849B2 (en) * 2005-03-25 2008-06-10 Micron Technology, Inc. Methods of forming recessed access devices associated with semiconductor constructions
US7611944B2 (en) 2005-03-28 2009-11-03 Micron Technology, Inc. Integrated circuit fabrication
US7429536B2 (en) 2005-05-23 2008-09-30 Micron Technology, Inc. Methods for forming arrays of small, closely spaced features
US7560390B2 (en) * 2005-06-02 2009-07-14 Micron Technology, Inc. Multiple spacer steps for pitch multiplication
US7396781B2 (en) * 2005-06-09 2008-07-08 Micron Technology, Inc. Method and apparatus for adjusting feature size and position
US7888721B2 (en) 2005-07-06 2011-02-15 Micron Technology, Inc. Surround gate access transistors with grown ultra-thin bodies
US7282401B2 (en) * 2005-07-08 2007-10-16 Micron Technology, Inc. Method and apparatus for a self-aligned recessed access device (RAD) transistor gate
US7768051B2 (en) 2005-07-25 2010-08-03 Micron Technology, Inc. DRAM including a vertical surround gate transistor
US7413981B2 (en) * 2005-07-29 2008-08-19 Micron Technology, Inc. Pitch doubled circuit layout
US8123968B2 (en) * 2005-08-25 2012-02-28 Round Rock Research, Llc Multiple deposition for integration of spacers in pitch multiplication process
US7867851B2 (en) 2005-08-30 2011-01-11 Micron Technology, Inc. Methods of forming field effect transistors on substrates
US7816262B2 (en) * 2005-08-30 2010-10-19 Micron Technology, Inc. Method and algorithm for random half pitched interconnect layout with constant spacing
US7696567B2 (en) 2005-08-31 2010-04-13 Micron Technology, Inc Semiconductor memory device
US7829262B2 (en) * 2005-08-31 2010-11-09 Micron Technology, Inc. Method of forming pitch multipled contacts
US7776744B2 (en) * 2005-09-01 2010-08-17 Micron Technology, Inc. Pitch multiplication spacers and methods of forming the same
US7687342B2 (en) 2005-09-01 2010-03-30 Micron Technology, Inc. Method of manufacturing a memory device
US7759197B2 (en) * 2005-09-01 2010-07-20 Micron Technology, Inc. Method of forming isolated features using pitch multiplication
US7416943B2 (en) * 2005-09-01 2008-08-26 Micron Technology, Inc. Peripheral gate stacks and recessed array gates
US7393789B2 (en) 2005-09-01 2008-07-01 Micron Technology, Inc. Protective coating for planarization
US7557032B2 (en) * 2005-09-01 2009-07-07 Micron Technology, Inc. Silicided recessed silicon
US7572572B2 (en) 2005-09-01 2009-08-11 Micron Technology, Inc. Methods for forming arrays of small, closely spaced features
US7700441B2 (en) * 2006-02-02 2010-04-20 Micron Technology, Inc. Methods of forming field effect transistors, methods of forming field effect transistor gates, methods of forming integrated circuitry comprising a transistor gate array and circuitry peripheral to the gate array, and methods of forming integrated circuitry comprising a transistor gate array including first gates and second grounded isolation gates
US7842558B2 (en) * 2006-03-02 2010-11-30 Micron Technology, Inc. Masking process for simultaneously patterning separate regions
US7476933B2 (en) 2006-03-02 2009-01-13 Micron Technology, Inc. Vertical gated access transistor
WO2007113878A1 (en) * 2006-04-06 2007-10-11 Stmicroelectronics S.R.L. Process for manufacturing an interaction structure for a storage medium
US7902074B2 (en) 2006-04-07 2011-03-08 Micron Technology, Inc. Simplified pitch doubling process flow
US8003310B2 (en) * 2006-04-24 2011-08-23 Micron Technology, Inc. Masking techniques and templates for dense semiconductor fabrication
US7488685B2 (en) * 2006-04-25 2009-02-10 Micron Technology, Inc. Process for improving critical dimension uniformity of integrated circuit arrays
US7795149B2 (en) 2006-06-01 2010-09-14 Micron Technology, Inc. Masking techniques and contact imprint reticles for dense semiconductor fabrication
US7723009B2 (en) 2006-06-02 2010-05-25 Micron Technology, Inc. Topography based patterning
US8852851B2 (en) 2006-07-10 2014-10-07 Micron Technology, Inc. Pitch reduction technology using alternating spacer depositions during the formation of a semiconductor device and systems including same
US7602001B2 (en) 2006-07-17 2009-10-13 Micron Technology, Inc. Capacitorless one transistor DRAM cell, integrated circuitry comprising an array of capacitorless one transistor DRAM cells, and method of forming lines of capacitorless one transistor DRAM cells
US7772632B2 (en) * 2006-08-21 2010-08-10 Micron Technology, Inc. Memory arrays and methods of fabricating memory arrays
US7611980B2 (en) 2006-08-30 2009-11-03 Micron Technology, Inc. Single spacer process for multiplying pitch by a factor greater than two and related intermediate IC structures
US7589995B2 (en) * 2006-09-07 2009-09-15 Micron Technology, Inc. One-transistor memory cell with bias gate
US7858471B2 (en) 2006-09-13 2010-12-28 Micron Technology, Inc. Methods of fabricating an access transistor for an integrated circuit device, methods of fabricating periphery transistors and access transistors, and methods of fabricating an access device comprising access transistors in an access circuitry region and peripheral transistors in a peripheral circuitry region spaced from the access circuitry region
US7666578B2 (en) 2006-09-14 2010-02-23 Micron Technology, Inc. Efficient pitch multiplication process
US8129289B2 (en) 2006-10-05 2012-03-06 Micron Technology, Inc. Method to deposit conformal low temperature SiO2
US7642572B2 (en) * 2007-04-13 2010-01-05 Qimonda Ag Integrated circuit having a memory cell array and method of forming an integrated circuit
US20080296674A1 (en) * 2007-05-30 2008-12-04 Qimonda Ag Transistor, integrated circuit and method of forming an integrated circuit
US7923373B2 (en) * 2007-06-04 2011-04-12 Micron Technology, Inc. Pitch multiplication using self-assembling materials
US8980756B2 (en) 2007-07-30 2015-03-17 Micron Technology, Inc. Methods for device fabrication using pitch reduction
US8563229B2 (en) 2007-07-31 2013-10-22 Micron Technology, Inc. Process of semiconductor fabrication with mask overlay on pitch multiplied features and associated structures
DE102008064930B3 (de) * 2007-09-18 2022-09-15 Samsung Electronics Co., Ltd. Halbleitervorrichtung mit reduzierter Dicke
US8120123B2 (en) * 2007-09-18 2012-02-21 Samsung Electronics Co., Ltd. Semiconductor device and method of forming the same
US7737039B2 (en) 2007-11-01 2010-06-15 Micron Technology, Inc. Spacer process for on pitch contacts and related structures
US7659208B2 (en) 2007-12-06 2010-02-09 Micron Technology, Inc Method for forming high density patterns
US7790531B2 (en) 2007-12-18 2010-09-07 Micron Technology, Inc. Methods for isolating portions of a loop of pitch-multiplied material and related structures
US8030218B2 (en) 2008-03-21 2011-10-04 Micron Technology, Inc. Method for selectively modifying spacing between pitch multiplied structures
US7989307B2 (en) 2008-05-05 2011-08-02 Micron Technology, Inc. Methods of forming isolated active areas, trenches, and conductive lines in semiconductor structures and semiconductor structures including the same
US10151981B2 (en) 2008-05-22 2018-12-11 Micron Technology, Inc. Methods of forming structures supported by semiconductor substrates
US8076208B2 (en) 2008-07-03 2011-12-13 Micron Technology, Inc. Method for forming transistor with high breakdown voltage using pitch multiplication technique
US8169031B2 (en) * 2008-08-26 2012-05-01 International Business Machines Corporation Continuous metal semiconductor alloy via for interconnects
US8101497B2 (en) 2008-09-11 2012-01-24 Micron Technology, Inc. Self-aligned trench formation
JP2010080514A (ja) * 2008-09-24 2010-04-08 Toshiba Corp 半導体記憶装置
US8492282B2 (en) * 2008-11-24 2013-07-23 Micron Technology, Inc. Methods of forming a masking pattern for integrated circuits
US8796155B2 (en) 2008-12-04 2014-08-05 Micron Technology, Inc. Methods of fabricating substrates
US8247302B2 (en) 2008-12-04 2012-08-21 Micron Technology, Inc. Methods of fabricating substrates
US8273634B2 (en) * 2008-12-04 2012-09-25 Micron Technology, Inc. Methods of fabricating substrates
US8268543B2 (en) 2009-03-23 2012-09-18 Micron Technology, Inc. Methods of forming patterns on substrates
KR101159900B1 (ko) * 2009-04-22 2012-06-25 에스케이하이닉스 주식회사 반도체 소자 및 그 제조방법
US9330934B2 (en) * 2009-05-18 2016-05-03 Micron Technology, Inc. Methods of forming patterns on substrates
KR101623123B1 (ko) * 2009-07-23 2016-05-23 삼성전자주식회사 반도체소자 및 그 제조방법
US20110129991A1 (en) * 2009-12-02 2011-06-02 Kyle Armstrong Methods Of Patterning Materials, And Methods Of Forming Memory Cells
US8470654B2 (en) 2010-02-23 2013-06-25 Micron Technology, Inc. Methods of forming an electrically conductive buried line and an electrical contact thereto and methods of forming a buried access line and an electrical contact thereto
US8518788B2 (en) 2010-08-11 2013-08-27 Micron Technology, Inc. Methods of forming a plurality of capacitors
US8455341B2 (en) 2010-09-02 2013-06-04 Micron Technology, Inc. Methods of forming features of integrated circuitry
US8575032B2 (en) 2011-05-05 2013-11-05 Micron Technology, Inc. Methods of forming a pattern on a substrate
US8877593B2 (en) 2011-07-31 2014-11-04 International Business Machines Corporation Semiconductor device including an asymmetric feature, and method of making the same
US9076680B2 (en) 2011-10-18 2015-07-07 Micron Technology, Inc. Integrated circuitry, methods of forming capacitors, and methods of forming integrated circuitry comprising an array of capacitors and circuitry peripheral to the array
US9177794B2 (en) 2012-01-13 2015-11-03 Micron Technology, Inc. Methods of patterning substrates
US8629048B1 (en) 2012-07-06 2014-01-14 Micron Technology, Inc. Methods of forming a pattern on a substrate
US9064745B2 (en) 2012-08-29 2015-06-23 International Business Machines Corporation Sublithographic width finFET employing solid phase epitaxy
JP2016072536A (ja) 2014-09-30 2016-05-09 株式会社東芝 記憶装置
US9379197B1 (en) * 2015-10-07 2016-06-28 Inotera Memories, Inc. Recess array device
TWI697032B (zh) * 2016-10-24 2020-06-21 聯華電子股份有限公司 半導體元件的製程
US9858995B1 (en) * 2016-12-22 2018-01-02 Macronix International Co., Ltd. Method for operating a memory device

Family Cites Families (281)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
BE755502A (fr) * 1969-09-09 1971-03-01 Basf Ag Bismonocarboxylates du formyl-3-butanediol-1,2 et procede pour leur preparation
US3731287A (en) * 1971-07-02 1973-05-01 Gen Instrument Corp Single device memory system having shift register output characteristics
US4234362A (en) 1978-11-03 1980-11-18 International Business Machines Corporation Method for forming an insulator between layers of conductive material
US4508579A (en) * 1981-03-30 1985-04-02 International Business Machines Corporation Lateral device structures using self-aligned fabrication techniques
US4432132A (en) * 1981-12-07 1984-02-21 Bell Telephone Laboratories, Incorporated Formation of sidewall oxide layers by reactive oxygen ion etching to define submicron features
US4419809A (en) 1981-12-30 1983-12-13 International Business Machines Corporation Fabrication process of sub-micrometer channel length MOSFETs
DE3242113A1 (de) * 1982-11-13 1984-05-24 Ibm Deutschland Gmbh, 7000 Stuttgart Verfahren zur herstellung einer duennen dielektrischen isolation in einem siliciumhalbleiterkoerper
US4716131A (en) 1983-11-28 1987-12-29 Nec Corporation Method of manufacturing semiconductor device having polycrystalline silicon layer with metal silicide film
US4570325A (en) * 1983-12-16 1986-02-18 Kabushiki Kaisha Toshiba Manufacturing a field oxide region for a semiconductor device
US4648937A (en) * 1985-10-30 1987-03-10 International Business Machines Corporation Method of preventing asymmetric etching of lines in sub-micrometer range sidewall images transfer
GB8528967D0 (en) 1985-11-25 1986-01-02 Plessey Co Plc Semiconductor device manufacture
US5514885A (en) * 1986-10-09 1996-05-07 Myrick; James J. SOI methods and apparatus
US4983544A (en) * 1986-10-20 1991-01-08 International Business Machines Corporation Silicide bridge contact process
JPS6413290A (en) * 1987-07-07 1989-01-18 Oki Electric Ind Co Ltd Semiconductor memory
US4838991A (en) 1987-10-30 1989-06-13 International Business Machines Corporation Process for defining organic sidewall structures
US4776922A (en) 1987-10-30 1988-10-11 International Business Machines Corporation Formation of variable-width sidewall structures
US5024959A (en) 1989-09-25 1991-06-18 Motorola, Inc. CMOS process using doped glass layer
US5497497A (en) * 1989-11-03 1996-03-05 Compaq Computer Corp. Method and apparatus for resetting multiple processors using a common ROM
US5387555A (en) 1992-09-03 1995-02-07 Harris Corporation Bonded wafer processing with metal silicidation
US5328810A (en) * 1990-05-07 1994-07-12 Micron Technology, Inc. Method for reducing, by a factor or 2-N, the minimum masking pitch of a photolithographic process
JP2792211B2 (ja) * 1990-07-06 1998-09-03 日本電気株式会社 半導体記憶装置
US5013680A (en) * 1990-07-18 1991-05-07 Micron Technology, Inc. Process for fabricating a DRAM array having feature widths that transcend the resolution limit of available photolithography
US5053105A (en) 1990-07-19 1991-10-01 Micron Technology, Inc. Process for creating an etch mask suitable for deep plasma etches employing self-aligned silicidation of a metal layer masked with a silicon dioxide template
US5177027A (en) 1990-08-17 1993-01-05 Micron Technology, Inc. Process for fabricating, on the edge of a silicon mesa, a MOSFET which has a spacer-shaped gate and a right-angled channel path
US5047117A (en) 1990-09-26 1991-09-10 Micron Technology, Inc. Method of forming a narrow self-aligned, annular opening in a masking layer
US5064683A (en) 1990-10-29 1991-11-12 Motorola, Inc. Method for polish planarizing a semiconductor substrate by using a boron nitride polish stop
DE4034612A1 (de) * 1990-10-31 1992-05-07 Huels Chemische Werke Ag Verfahren zur herstellung von methacryloxy- oder acryloxygruppen enthaltenden organosilanen
IT1243919B (it) 1990-11-20 1994-06-28 Cons Ric Microelettronica Procedimento per l'ottenimento di solchi submicrometrici planarizzati in circuiti integrati realizzati con tecnologia ulsi
US5122848A (en) 1991-04-08 1992-06-16 Micron Technology, Inc. Insulated-gate vertical field-effect transistor with high current drive and minimum overlap capacitance
US5250450A (en) 1991-04-08 1993-10-05 Micron Technology, Inc. Insulated-gate vertical field-effect transistor with high current drive and minimum overlap capacitance
JP2851962B2 (ja) 1992-01-21 1999-01-27 シャープ株式会社 半導体読み出し専用メモリ
US5330879A (en) 1992-07-16 1994-07-19 Micron Technology, Inc. Method for fabrication of close-tolerance lines and sharp emission tips on a semiconductor wafer
US5420819A (en) * 1992-09-24 1995-05-30 Nonvolatile Electronics, Incorporated Method for sensing data in a magnetoresistive memory using large fractions of memory cell films for data storage
DE4236609A1 (de) 1992-10-29 1994-05-05 Siemens Ag Verfahren zur Erzeugung einer Struktur in der Oberfläche eines Substrats
JP2884962B2 (ja) 1992-10-30 1999-04-19 日本電気株式会社 半導体メモリ
GB9310891D0 (en) * 1993-05-26 1993-07-14 Tran Ind Res Inc Utilization of deinking sludge in building materials
US6042998A (en) * 1993-09-30 2000-03-28 The University Of New Mexico Method and apparatus for extending spatial frequencies in photolithography images
US5645887A (en) 1994-01-14 1997-07-08 Lg Semicon Co., Ltd. Method for forming platinum silicide plugs
JP3720064B2 (ja) 1994-01-20 2005-11-24 株式会社ルネサステクノロジ 半導体集積回路
US5604159A (en) * 1994-01-31 1997-02-18 Motorola, Inc. Method of making a contact structure
JP2658870B2 (ja) 1994-04-22 1997-09-30 日本電気株式会社 半導体記憶装置およびその製造方法
US5841611A (en) 1994-05-02 1998-11-24 Matsushita Electric Industrial Co., Ltd. Magnetoresistance effect device and magnetoresistance effect type head, memory device, and amplifying device using the same
US5661336A (en) * 1994-05-03 1997-08-26 Phelps, Jr.; Douglas Wallace Tape application platform and processes therefor
US5495441A (en) 1994-05-18 1996-02-27 United Microelectronics Corporation Split-gate flash memory cell
JP3469362B2 (ja) 1994-08-31 2003-11-25 株式会社東芝 半導体記憶装置
US5600153A (en) 1994-10-07 1997-02-04 Micron Technology, Inc. Conductive polysilicon lines and thin film transistors
US6252267B1 (en) * 1994-12-28 2001-06-26 International Business Machines Corporation Five square folded-bitline DRAM cell
US5539229A (en) 1994-12-28 1996-07-23 International Business Machines Corporation MOSFET with raised STI isolation self-aligned to the gate stack
US5795830A (en) 1995-06-06 1998-08-18 International Business Machines Corporation Reducing pitch with continuously adjustable line and space dimensions
US5700733A (en) 1995-06-27 1997-12-23 Micron Technology, Inc. Semiconductor processing methods of forming field oxide regions on a semiconductor substrate
KR100190757B1 (ko) * 1995-06-30 1999-06-01 김영환 모스 전계 효과 트랜지스터 형성방법
US5604370A (en) 1995-07-11 1997-02-18 Advanced Micro Devices, Inc. Field implant for semiconductor device
US5756395A (en) * 1995-08-18 1998-05-26 Lsi Logic Corporation Process for forming metal interconnect structures for use with integrated circuit devices to form integrated circuit structures
US5638318A (en) 1995-09-11 1997-06-10 Micron Technology, Inc. Ferroelectric memory using ferroelectric reference cells
US5680344A (en) 1995-09-11 1997-10-21 Micron Technology, Inc. Circuit and method of operating a ferrolectric memory in a DRAM mode
US5677865A (en) 1995-09-11 1997-10-14 Micron Technology, Inc. Ferroelectric memory using reference charge circuit
US5771150A (en) 1996-01-03 1998-06-23 Micron Technology, Inc. Capacitor constructions
US5789320A (en) 1996-04-23 1998-08-04 International Business Machines Corporation Plating of noble metal electrodes for DRAM and FRAM
JP3164026B2 (ja) * 1996-08-21 2001-05-08 日本電気株式会社 半導体装置及びその製造方法
US5861328A (en) * 1996-10-07 1999-01-19 Motorola, Inc. Method of fabricating GMR devices
US5998256A (en) 1996-11-01 1999-12-07 Micron Technology, Inc. Semiconductor processing methods of forming devices on a substrate, forming device arrays on a substrate, forming conductive lines on a substrate, and forming capacitor arrays on a substrate, and integrated circuitry
US6395613B1 (en) * 2000-08-30 2002-05-28 Micron Technology, Inc. Semiconductor processing methods of forming a plurality of capacitors on a substrate, bit line contacts and method of forming bit line contacts
US6150211A (en) 1996-12-11 2000-11-21 Micron Technology, Inc. Methods of forming storage capacitors in integrated circuitry memory cells and integrated circuitry
JP2956626B2 (ja) * 1996-12-12 1999-10-04 日本電気株式会社 Mos型半導体装置の製造方法
US5748519A (en) * 1996-12-13 1998-05-05 Motorola, Inc. Method of selecting a memory cell in a magnetic random access memory device
US5804458A (en) 1996-12-16 1998-09-08 Motorola, Inc. Method of fabricating spaced apart submicron magnetic memory cells
US5913116A (en) 1997-01-08 1999-06-15 Advanced Micro Devices Method of manufacturing an active region of a semiconductor by diffusing a dopant out of a sidewall spacer
JP2982895B2 (ja) 1997-02-06 1999-11-29 日本電気株式会社 Cmos半導体装置およびその製造方法
US5780349A (en) 1997-02-20 1998-07-14 National Semiconductor Corporation Self-aligned MOSFET gate/source/drain salicide formation
US5902690A (en) * 1997-02-25 1999-05-11 Motorola, Inc. Stray magnetic shielding for a non-volatile MRAM
US5998257A (en) 1997-03-13 1999-12-07 Micron Technology, Inc. Semiconductor processing methods of forming integrated circuitry memory devices, methods of forming capacitor containers, methods of making electrical connection to circuit nodes and related integrated circuitry
US6004835A (en) 1997-04-25 1999-12-21 Micron Technology, Inc. Method of forming integrated circuitry, conductive lines, a conductive grid, a conductive network, an electrical interconnection to anode location and an electrical interconnection with a transistor source/drain region
DE69803332T2 (de) * 1997-05-21 2002-08-29 Toyoda Chuo Kenkyusho Kk Hartmolybdänlegierung, verschliessfeste Legierung und Verfahren zu ihrer Herstellung
US5917749A (en) 1997-05-23 1999-06-29 Motorola, Inc. MRAM cell requiring low switching field
US5909618A (en) 1997-07-08 1999-06-01 Micron Technology, Inc. Method of making memory cell with vertical transistor and buried word and body lines
US6150687A (en) 1997-07-08 2000-11-21 Micron Technology, Inc. Memory cell having a vertical transistor with buried source/drain and dual gates
US6191470B1 (en) 1997-07-08 2001-02-20 Micron Technology, Inc. Semiconductor-on-insulator memory cell with buried word and body lines
US6072209A (en) 1997-07-08 2000-06-06 Micro Technology, Inc. Four F2 folded bit line DRAM cell structure having buried bit and word lines
US6063688A (en) * 1997-09-29 2000-05-16 Intel Corporation Fabrication of deep submicron structures and quantum wire transistors using hard-mask transistor width definition
US5989966A (en) 1997-12-15 1999-11-23 Taiwan Semiconductor Manufacturing Company, Ltd. Method and a deep sub-micron field effect transistor structure for suppressing short channel effects
US5956267A (en) 1997-12-18 1999-09-21 Honeywell Inc Self-aligned wordline keeper and method of manufacture therefor
US6291334B1 (en) 1997-12-19 2001-09-18 Applied Materials, Inc. Etch stop layer for dual damascene process
US6165833A (en) 1997-12-19 2000-12-26 Micron Technology, Inc. Semiconductor processing method of forming a capacitor
US6004862A (en) 1998-01-20 1999-12-21 Advanced Micro Devices, Inc. Core array and periphery isolation technique
US5963803A (en) 1998-02-02 1999-10-05 Advanced Micro Devices, Inc. Method of making N-channel and P-channel IGFETs with different gate thicknesses and spacer widths
JP2975917B2 (ja) * 1998-02-06 1999-11-10 株式会社半導体プロセス研究所 半導体装置の製造方法及び半導体装置の製造装置
US6104633A (en) 1998-02-10 2000-08-15 International Business Machines Corporation Intentional asymmetry imposed during fabrication and/or access of magnetic tunnel junction devices
US6147405A (en) 1998-02-19 2000-11-14 Micron Technology, Inc. Asymmetric, double-sided self-aligned silicide and method of forming the same
US5963469A (en) 1998-02-24 1999-10-05 Micron Technology, Inc. Vertical bipolar read access for low voltage memory cell
US6097065A (en) 1998-03-30 2000-08-01 Micron Technology, Inc. Circuits and methods for dual-gated transistors
US6696746B1 (en) 1998-04-29 2004-02-24 Micron Technology, Inc. Buried conductors
US5933725A (en) * 1998-05-27 1999-08-03 Vanguard International Semiconductor Corporation Word line resistance reduction method and design for high density memory with relaxed metal pitch
US6194755B1 (en) 1998-06-22 2001-02-27 International Business Machines Corporation Low-resistance salicide fill for trench capacitors
US6632718B1 (en) * 1998-07-15 2003-10-14 Texas Instruments Incorporated Disposable spacer technology for reduced cost CMOS processing
US6245662B1 (en) * 1998-07-23 2001-06-12 Applied Materials, Inc. Method of producing an interconnect structure for an integrated circuit
US6362506B1 (en) * 1998-08-26 2002-03-26 Texas Instruments Incorporated Minimization-feasible word line structure for DRAM cell
US6104068A (en) * 1998-09-01 2000-08-15 Micron Technology, Inc. Structure and method for improved signal processing
US6320222B1 (en) 1998-09-01 2001-11-20 Micron Technology, Inc. Structure and method for reducing threshold voltage variations due to dopant fluctuations
US6686274B1 (en) 1998-09-22 2004-02-03 Renesas Technology Corporation Semiconductor device having cobalt silicide film in which diffusion of cobalt atoms is inhibited and its production process
US6333866B1 (en) 1998-09-28 2001-12-25 Texas Instruments Incorporated Semiconductor device array having dense memory cell array and heirarchical bit line scheme
US6071789A (en) 1998-11-10 2000-06-06 Vanguard International Semiconductor Corporation Method for simultaneously fabricating a DRAM capacitor and metal interconnections
US6005800A (en) 1998-11-23 1999-12-21 International Business Machines Corporation Magnetic memory array with paired asymmetric memory cells for improved write margin
US5977579A (en) 1998-12-03 1999-11-02 Micron Technology, Inc. Trench dram cell with vertical device and buried word lines
US6211044B1 (en) * 1999-04-12 2001-04-03 Advanced Micro Devices Process for fabricating a semiconductor device component using a selective silicidation reaction
US6136662A (en) 1999-05-13 2000-10-24 Lsi Logic Corporation Semiconductor wafer having a layer-to-layer alignment mark and method for fabricating the same
US6908800B1 (en) 1999-06-04 2005-06-21 Texas Instruments Incorporated Tunable sidewall spacer process for CMOS integrated circuits
JP4063450B2 (ja) * 1999-06-14 2008-03-19 エルピーダメモリ株式会社 半導体集積回路装置
US6436526B1 (en) 1999-06-17 2002-08-20 Matsushita Electric Industrial Co., Ltd. Magneto-resistance effect element, magneto-resistance effect memory cell, MRAM and method for performing information write to or read from the magneto-resistance effect memory cell
US6274905B1 (en) 1999-06-30 2001-08-14 Fairchild Semiconductor Corporation Trench structure substantially filled with high-conductivity material
US6134139A (en) 1999-07-28 2000-10-17 Hewlett-Packard Magnetic memory structure with improved half-select margin
JP2001077196A (ja) * 1999-09-08 2001-03-23 Sony Corp 半導体装置の製造方法
US6282113B1 (en) 1999-09-29 2001-08-28 International Business Machines Corporation Four F-squared gapless dual layer bitline DRAM array architecture
US6362057B1 (en) * 1999-10-26 2002-03-26 Motorola, Inc. Method for forming a semiconductor device
SE518965C2 (sv) 1999-11-04 2002-12-10 Ruben Haegglund Sedelhanteringsanordning
JP3672297B2 (ja) 1999-11-10 2005-07-20 セイコーインスツル株式会社 半導体装置の製造方法
US6582891B1 (en) * 1999-12-02 2003-06-24 Axcelis Technologies, Inc. Process for reducing edge roughness in patterned photoresist
KR100311050B1 (ko) 1999-12-14 2001-11-05 윤종용 커패시터의 전극 제조 방법
US6271080B1 (en) 1999-12-16 2001-08-07 International Business Machines Corporation Structure and method for planar MOSFET DRAM cell free of wordline gate conductor to storage trench overlay sensitivity
JP4860022B2 (ja) * 2000-01-25 2012-01-25 エルピーダメモリ株式会社 半導体集積回路装置の製造方法
US6573030B1 (en) 2000-02-17 2003-06-03 Applied Materials, Inc. Method for depositing an amorphous carbon layer
US6967140B2 (en) * 2000-03-01 2005-11-22 Intel Corporation Quantum wire gate device and method of making same
US6297554B1 (en) 2000-03-10 2001-10-02 United Microelectronics Corp. Dual damascene interconnect structure with reduced parasitic capacitance
US6423474B1 (en) 2000-03-21 2002-07-23 Micron Technology, Inc. Use of DARC and BARC in flash memory processing
US20050164443A1 (en) 2000-05-18 2005-07-28 Youngmin Kim Tunable sidewall spacer process for CMOS integrated circuits
JP3805603B2 (ja) 2000-05-29 2006-08-02 富士通株式会社 半導体装置及びその製造方法
JP3773755B2 (ja) * 2000-06-02 2006-05-10 セイコーインスツル株式会社 縦形mosトランジスタ及びその製造方法
US6396096B1 (en) * 2000-06-21 2002-05-28 International Business Machines Corporation Design layout for a dense memory cell structure
US6424561B1 (en) 2000-07-18 2002-07-23 Micron Technology, Inc. MRAM architecture using offset bits for increased write selectivity
US6632741B1 (en) 2000-07-19 2003-10-14 International Business Machines Corporation Self-trimming method on looped patterns
US6236590B1 (en) * 2000-07-21 2001-05-22 Hewlett-Packard Company Optimal write conductors layout for improved performance in MRAM
US6455372B1 (en) 2000-08-14 2002-09-24 Micron Technology, Inc. Nucleation for improved flash erase characteristics
US6348380B1 (en) * 2000-08-25 2002-02-19 Micron Technology, Inc. Use of dilute steam ambient for improvement of flash devices
DE10041749A1 (de) 2000-08-27 2002-03-14 Infineon Technologies Ag Vertikale nichtflüchtige Halbleiter-Speicherzelle sowie Verfahren zu deren Herstellung
US7118960B2 (en) 2000-08-31 2006-10-10 Micron Technology, Inc. Selective polysilicon stud growth
SE517275C2 (sv) * 2000-09-20 2002-05-21 Obducat Ab Sätt vid våtetsning av ett substrat
US6667237B1 (en) 2000-10-12 2003-12-23 Vram Technologies, Llc Method and apparatus for patterning fine dimensions
JP2002124585A (ja) 2000-10-17 2002-04-26 Hitachi Ltd 不揮発性半導体記憶装置およびその製造方法
US6534243B1 (en) * 2000-10-23 2003-03-18 Advanced Micro Devices, Inc. Chemical feature doubling process
US6926843B2 (en) * 2000-11-30 2005-08-09 International Business Machines Corporation Etching of hard masks
US6475874B2 (en) 2000-12-07 2002-11-05 Advanced Micro Devices, Inc. Damascene NiSi metal gate high-k transistor
US6368950B1 (en) * 2000-12-12 2002-04-09 Advanced Micro Devices, Inc. Silicide gate transistors
US6465309B1 (en) 2000-12-12 2002-10-15 Advanced Micro Devices, Inc. Silicide gate transistors
US6424001B1 (en) 2001-02-09 2002-07-23 Micron Technology, Inc. Flash memory with ultra thin vertical body transistors
US6496034B2 (en) 2001-02-09 2002-12-17 Micron Technology, Inc. Programmable logic arrays with ultra thin body transistors
US6448601B1 (en) 2001-02-09 2002-09-10 Micron Technology, Inc. Memory address and decode circuits with ultra thin body transistors
US6531727B2 (en) * 2001-02-09 2003-03-11 Micron Technology, Inc. Open bit line DRAM with ultra thin body transistors
US6566682B2 (en) * 2001-02-09 2003-05-20 Micron Technology, Inc. Programmable memory address and decode circuits with ultra thin vertical body transistors
US6377070B1 (en) * 2001-02-09 2002-04-23 Micron Technology, Inc. In-service programmable logic arrays with ultra thin vertical body transistors
US6559491B2 (en) * 2001-02-09 2003-05-06 Micron Technology, Inc. Folded bit line DRAM with ultra thin body transistors
US6649476B2 (en) 2001-02-15 2003-11-18 Micron Technology, Inc. Monotonic dynamic-static pseudo-NMOS logic circuit and method of forming a logic gate array
JP2002261161A (ja) * 2001-03-05 2002-09-13 Hitachi Ltd 半導体装置の製造方法
US6545904B2 (en) 2001-03-16 2003-04-08 Micron Technology, Inc. 6f2 dram array, a dram array formed on a semiconductive substrate, a method of forming memory cells in a 6f2 dram array and a method of isolating a single row of memory cells in a 6f2 dram array
US6475867B1 (en) 2001-04-02 2002-11-05 Advanced Micro Devices, Inc. Method of forming integrated circuit features by oxidation of titanium hard mask
US6548347B2 (en) 2001-04-12 2003-04-15 Micron Technology, Inc. Method of forming minimally spaced word lines
US6498062B2 (en) 2001-04-27 2002-12-24 Micron Technology, Inc. DRAM access transistor
DE60219300T2 (de) 2001-04-28 2008-01-03 Koninklijke Philips Electronics N.V. Halbleiteranordnungen mit graben-gateelektrode und verfahren zu deren herstellung
US6740594B2 (en) 2001-05-31 2004-05-25 Infineon Technologies Ag Method for removing carbon-containing polysilane from a semiconductor without stripping
KR100418581B1 (ko) 2001-06-12 2004-02-11 주식회사 하이닉스반도체 메모리 소자의 제조방법
KR20030002863A (ko) 2001-06-30 2003-01-09 주식회사 하이닉스반도체 코어를 가진 플러그 구조 상의 강유전체 메모리소자 및 그제조방법
JP2003023150A (ja) 2001-07-10 2003-01-24 Sony Corp トレンチゲート型半導体装置及びその作製方法
US6522584B1 (en) * 2001-08-02 2003-02-18 Micron Technology, Inc. Programming methods for multi-level flash EEPROMs
US6744094B2 (en) 2001-08-24 2004-06-01 Micron Technology Inc. Floating gate transistor with horizontal gate layers stacked next to vertical body
TW497138B (en) * 2001-08-28 2002-08-01 Winbond Electronics Corp Method for improving consistency of critical dimension
DE10142590A1 (de) 2001-08-31 2003-04-03 Infineon Technologies Ag Verfahren zur Seitenwandverstärkung von Resiststrukturen und zur Herstellung von Strukturen mit reduzierter Strukturgröße
US7226853B2 (en) 2001-12-26 2007-06-05 Applied Materials, Inc. Method of forming a dual damascene structure utilizing a three layer hard mask structure
US6638441B2 (en) 2002-01-07 2003-10-28 Macronix International Co., Ltd. Method for pitch reduction
US7078296B2 (en) 2002-01-16 2006-07-18 Fairchild Semiconductor Corporation Self-aligned trench MOSFETs and methods for making the same
DE10207131B4 (de) 2002-02-20 2007-12-20 Infineon Technologies Ag Verfahren zur Bildung einer Hartmaske in einer Schicht auf einer flachen Scheibe
US6759180B2 (en) 2002-04-23 2004-07-06 Hewlett-Packard Development Company, L.P. Method of fabricating sub-lithographic sized line and space patterns for nano-imprinting lithography
US20030207584A1 (en) 2002-05-01 2003-11-06 Swaminathan Sivakumar Patterning tighter and looser pitch geometries
US6951709B2 (en) 2002-05-03 2005-10-04 Micron Technology, Inc. Method of fabricating a semiconductor multilevel interconnect structure
US6602779B1 (en) 2002-05-13 2003-08-05 Taiwan Semiconductor Manufacturing Co., Ltd Method for forming low dielectric constant damascene structure while employing carbon doped silicon oxide planarizing stop layer
US6900521B2 (en) 2002-06-10 2005-05-31 Micron Technology, Inc. Vertical transistors and output prediction logic circuits containing same
TW543195B (en) 2002-06-12 2003-07-21 Powerchip Semiconductor Corp Split-gate flash memory structure and method of manufacture
US6734107B2 (en) * 2002-06-12 2004-05-11 Macronix International Co., Ltd. Pitch reduction in semiconductor fabrication
US6559017B1 (en) * 2002-06-13 2003-05-06 Advanced Micro Devices, Inc. Method of using amorphous carbon as spacer material in a disposable spacer process
US6777725B2 (en) 2002-06-14 2004-08-17 Ingentix Gmbh & Co. Kg NROM memory circuit with recessed bitline
KR100476924B1 (ko) 2002-06-14 2005-03-17 삼성전자주식회사 반도체 장치의 미세 패턴 형성 방법
US6924191B2 (en) * 2002-06-20 2005-08-02 Applied Materials, Inc. Method for fabricating a gate structure of a field effect transistor
DE10228807B4 (de) 2002-06-27 2009-07-23 Advanced Micro Devices, Inc., Sunnyvale Verfahren zur Herstellung von Mikrostrukturelementen
US6835663B2 (en) * 2002-06-28 2004-12-28 Infineon Technologies Ag Hardmask of amorphous carbon-hydrogen (a-C:H) layers with tunable etch resistivity
US6689695B1 (en) 2002-06-28 2004-02-10 Taiwan Semiconductor Manufacturing Company Multi-purpose composite mask for dual damascene patterning
US6960510B2 (en) * 2002-07-01 2005-11-01 International Business Machines Corporation Method of making sub-lithographic features
US7182823B2 (en) * 2002-07-05 2007-02-27 Olin Corporation Copper alloy containing cobalt, nickel and silicon
US20040018738A1 (en) * 2002-07-22 2004-01-29 Wei Liu Method for fabricating a notch gate structure of a field effect transistor
US6800930B2 (en) 2002-07-31 2004-10-05 Micron Technology, Inc. Semiconductor dice having back side redistribution layer accessed using through-silicon vias, and assemblies
US6764949B2 (en) * 2002-07-31 2004-07-20 Advanced Micro Devices, Inc. Method for reducing pattern deformation and photoresist poisoning in semiconductor device fabrication
US6673684B1 (en) * 2002-07-31 2004-01-06 Advanced Micro Devices, Inc. Use of diamond as a hard mask material
US6939808B2 (en) 2002-08-02 2005-09-06 Applied Materials, Inc. Undoped and fluorinated amorphous carbon film as pattern mask for metal etch
US7071043B2 (en) 2002-08-15 2006-07-04 Micron Technology, Inc. Methods of forming a field effect transistor having source/drain material over insulative material
US6566280B1 (en) * 2002-08-26 2003-05-20 Intel Corporation Forming polymer features on a substrate
US6794699B2 (en) 2002-08-29 2004-09-21 Micron Technology Inc Annular gate and technique for fabricating an annular gate
US7205598B2 (en) * 2002-08-29 2007-04-17 Micron Technology, Inc. Random access memory device utilizing a vertically oriented select transistor
US6756284B2 (en) * 2002-09-18 2004-06-29 Silicon Storage Technology, Inc. Method for forming a sublithographic opening in a semiconductor process
US6706571B1 (en) * 2002-10-22 2004-03-16 Advanced Micro Devices, Inc. Method for forming multiple structures in a semiconductor device
US6888755B2 (en) * 2002-10-28 2005-05-03 Sandisk Corporation Flash memory cell arrays having dual control gates per memory cell charge storage element
US6804142B2 (en) * 2002-11-12 2004-10-12 Micron Technology, Inc. 6F2 3-transistor DRAM gain cell
US7119020B2 (en) 2002-12-04 2006-10-10 Matsushita Electric Industrial Co., Ltd. Method for fabricating semiconductor device
US6825529B2 (en) 2002-12-12 2004-11-30 International Business Machines Corporation Stress inducing spacers
JP2004193483A (ja) * 2002-12-13 2004-07-08 Renesas Technology Corp 半導体記憶装置
US6686245B1 (en) * 2002-12-20 2004-02-03 Motorola, Inc. Vertical MOSFET with asymmetric gate structure
US7084076B2 (en) 2003-02-27 2006-08-01 Samsung Electronics, Co., Ltd. Method for forming silicon dioxide film using siloxane
US6861701B2 (en) 2003-03-05 2005-03-01 Advanced Analogic Technologies, Inc. Trench power MOSFET with planarized gate bus
US7105089B2 (en) 2003-03-13 2006-09-12 3M Innovative Properties Company Liquid—liquid extraction system and method
US7015124B1 (en) * 2003-04-28 2006-03-21 Advanced Micro Devices, Inc. Use of amorphous carbon for gate patterning
US6773998B1 (en) 2003-05-20 2004-08-10 Advanced Micro Devices, Inc. Modified film stack and patterning strategy for stress compensation and prevention of pattern distortion in amorphous carbon gate patterning
JP4578785B2 (ja) 2003-05-21 2010-11-10 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
DE10332725A1 (de) 2003-07-18 2005-02-24 Forschungszentrum Jülich GmbH Verfahren zur selbstjustierenden Verkleinerung von Strukturen
US7049702B2 (en) 2003-08-14 2006-05-23 Taiwan Semiconductor Manufacturing Co., Ltd. Damascene structure at semiconductor substrate level
US7112483B2 (en) * 2003-08-29 2006-09-26 Taiwan Semiconductor Manufacturing Company, Ltd. Method for forming a device having multiple silicide types
KR100499175B1 (ko) * 2003-09-01 2005-07-01 삼성전자주식회사 반도체 장치 및 이의 제조 방법
US7384868B2 (en) * 2003-09-15 2008-06-10 International Business Machines Corporation Reduction of silicide formation temperature on SiGe containing substrates
US6844591B1 (en) 2003-09-17 2005-01-18 Micron Technology, Inc. Method of forming DRAM access transistors
US20050156208A1 (en) 2003-09-30 2005-07-21 Taiwan Semiconductor Manufacturing Company, Ltd. Device having multiple silicide types and a method for its fabrication
DE10345455A1 (de) 2003-09-30 2005-05-04 Infineon Technologies Ag Verfahren zum Erzeugen einer Hartmaske und Hartmasken-Anordnung
KR100536801B1 (ko) * 2003-10-01 2005-12-14 동부아남반도체 주식회사 반도체 소자 및 그 제조 방법
US6867116B1 (en) * 2003-11-10 2005-03-15 Macronix International Co., Ltd. Fabrication method of sub-resolution pitch for integrated circuits
US6946709B2 (en) 2003-12-02 2005-09-20 International Business Machines Corporation Complementary transistors having different source and drain extension spacing controlled by different spacer sizes
US7153734B2 (en) 2003-12-29 2006-12-26 Intel Corporation CMOS device with metal and silicide gate electrodes and a method for making it
US7056794B2 (en) 2004-01-09 2006-06-06 International Business Machines Corporation FET gate structure with metal gate electrode and silicide contact
US6875703B1 (en) * 2004-01-20 2005-04-05 International Business Machines Corporation Method for forming quadruple density sidewall image transfer (SIT) structures
US20060033678A1 (en) * 2004-01-26 2006-02-16 Applied Materials, Inc. Integrated electroless deposition system
US7372091B2 (en) 2004-01-27 2008-05-13 Micron Technology, Inc. Selective epitaxy vertical integrated circuit components
KR100574317B1 (ko) 2004-02-19 2006-04-26 삼성전자주식회사 게이트 구조물, 이를 갖는 반도체 장치 및 그 형성 방법
US7262089B2 (en) 2004-03-11 2007-08-28 Micron Technology, Inc. Methods of forming semiconductor structures
WO2005094231A2 (en) 2004-03-19 2005-10-13 The Regents Of The University Of California Methods for fabrication of positional and compositionally controlled nanostructures on substrate
US6955961B1 (en) 2004-05-27 2005-10-18 Macronix International Co., Ltd. Method for defining a minimum pitch in an integrated circuit beyond photolithographic resolution
US7183205B2 (en) 2004-06-08 2007-02-27 Macronix International Co., Ltd. Method of pitch dimension shrinkage
US7473644B2 (en) * 2004-07-01 2009-01-06 Micron Technology, Inc. Method for forming controlled geometry hardmasks including subresolution elements
US7396767B2 (en) * 2004-07-16 2008-07-08 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor structure including silicide regions and method of making same
US7518182B2 (en) 2004-07-20 2009-04-14 Micron Technology, Inc. DRAM layout with vertical FETs and method of formation
US7176125B2 (en) * 2004-07-23 2007-02-13 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming a static random access memory with a buried local interconnect
KR100704470B1 (ko) * 2004-07-29 2007-04-10 주식회사 하이닉스반도체 비결정성 탄소막을 희생 하드마스크로 이용하는반도체소자 제조 방법
US7122425B2 (en) 2004-08-24 2006-10-17 Micron Technology, Inc. Methods of forming semiconductor constructions
US7151040B2 (en) 2004-08-31 2006-12-19 Micron Technology, Inc. Methods for increasing photo alignment margins
US7547945B2 (en) 2004-09-01 2009-06-16 Micron Technology, Inc. Transistor devices, transistor structures and semiconductor constructions
US7910288B2 (en) 2004-09-01 2011-03-22 Micron Technology, Inc. Mask material conversion
US7042047B2 (en) 2004-09-01 2006-05-09 Micron Technology, Inc. Memory cell, array, device and system with overlapping buried digit line and active area and method for forming same
US7442976B2 (en) 2004-09-01 2008-10-28 Micron Technology, Inc. DRAM cells with vertical transistors
US7285812B2 (en) 2004-09-02 2007-10-23 Micron Technology, Inc. Vertical transistors
US7115525B2 (en) 2004-09-02 2006-10-03 Micron Technology, Inc. Method for integrated circuit fabrication using pitch multiplication
US7655387B2 (en) 2004-09-02 2010-02-02 Micron Technology, Inc. Method to align mask patterns
US20060073613A1 (en) * 2004-09-29 2006-04-06 Sanjeev Aggarwal Ferroelectric memory cells and methods for fabricating ferroelectric memory cells and ferroelectric capacitors thereof
KR100614651B1 (ko) * 2004-10-11 2006-08-22 삼성전자주식회사 회로 패턴의 노광을 위한 장치 및 방법, 사용되는포토마스크 및 그 설계 방법, 그리고 조명계 및 그 구현방법
US7098536B2 (en) 2004-10-21 2006-08-29 International Business Machines Corporation Structure for strained channel field effect transistor pair having a member and a contact via
US20060094180A1 (en) 2004-11-02 2006-05-04 Intel Corporation Method for making a semiconductor device with a high-k gate dielectric layer and a silicide gate electrode
US7214629B1 (en) 2004-11-16 2007-05-08 Xilinx, Inc. Strain-silicon CMOS with dual-stressed film
US7208379B2 (en) * 2004-11-29 2007-04-24 Texas Instruments Incorporated Pitch multiplication process
US7476920B2 (en) * 2004-12-15 2009-01-13 Infineon Technologies Ag 6F2 access transistor arrangement and semiconductor memory device
KR100596795B1 (ko) 2004-12-16 2006-07-05 주식회사 하이닉스반도체 반도체 소자의 캐패시터 및 그 형성방법
US7432553B2 (en) 2005-01-19 2008-10-07 International Business Machines Corporation Structure and method to optimize strain in CMOSFETs
US7238580B2 (en) 2005-01-26 2007-07-03 Freescale Semiconductor, Inc. Semiconductor fabrication process employing stress inducing source drain structures with graded impurity concentration
US7271107B2 (en) 2005-02-03 2007-09-18 Lam Research Corporation Reduction of feature critical dimensions using multiple masks
US7163853B2 (en) 2005-02-09 2007-01-16 Taiwan Semiconductor Manufacturing Company, Ltd. Method of manufacturing a capacitor and a metal gate on a semiconductor device
US7253118B2 (en) 2005-03-15 2007-08-07 Micron Technology, Inc. Pitch reduced patterns relative to photolithography features
US7390746B2 (en) * 2005-03-15 2008-06-24 Micron Technology, Inc. Multiple deposition for integration of spacers in pitch multiplication process
US20060246217A1 (en) 2005-03-18 2006-11-02 Weidman Timothy W Electroless deposition process on a silicide contact
US7611944B2 (en) 2005-03-28 2009-11-03 Micron Technology, Inc. Integrated circuit fabrication
KR100640639B1 (ko) 2005-04-19 2006-10-31 삼성전자주식회사 미세콘택을 포함하는 반도체소자 및 그 제조방법
JP2006313784A (ja) 2005-05-06 2006-11-16 Nec Electronics Corp 半導体装置およびその製造方法
US7214621B2 (en) 2005-05-18 2007-05-08 Micron Technology, Inc. Methods of forming devices associated with semiconductor constructions
US7429536B2 (en) 2005-05-23 2008-09-30 Micron Technology, Inc. Methods for forming arrays of small, closely spaced features
US7547599B2 (en) 2005-05-26 2009-06-16 Micron Technology, Inc. Multi-state memory cell
US7560390B2 (en) 2005-06-02 2009-07-14 Micron Technology, Inc. Multiple spacer steps for pitch multiplication
US7396781B2 (en) 2005-06-09 2008-07-08 Micron Technology, Inc. Method and apparatus for adjusting feature size and position
US7858458B2 (en) 2005-06-14 2010-12-28 Micron Technology, Inc. CMOS fabrication
US7541632B2 (en) * 2005-06-14 2009-06-02 Micron Technology, Inc. Relaxed-pitch method of aligning active area to digit line
US8338887B2 (en) 2005-07-06 2012-12-25 Infineon Technologies Ag Buried gate transistor
US7413981B2 (en) * 2005-07-29 2008-08-19 Micron Technology, Inc. Pitch doubled circuit layout
US7291560B2 (en) 2005-08-01 2007-11-06 Infineon Technologies Ag Method of production pitch fractionizations in semiconductor technology
US7816262B2 (en) * 2005-08-30 2010-10-19 Micron Technology, Inc. Method and algorithm for random half pitched interconnect layout with constant spacing
US7829262B2 (en) * 2005-08-31 2010-11-09 Micron Technology, Inc. Method of forming pitch multipled contacts
US7572572B2 (en) * 2005-09-01 2009-08-11 Micron Technology, Inc. Methods for forming arrays of small, closely spaced features
US7776744B2 (en) * 2005-09-01 2010-08-17 Micron Technology, Inc. Pitch multiplication spacers and methods of forming the same
US7393789B2 (en) * 2005-09-01 2008-07-01 Micron Technology, Inc. Protective coating for planarization
US7687342B2 (en) 2005-09-01 2010-03-30 Micron Technology, Inc. Method of manufacturing a memory device
US7759197B2 (en) * 2005-09-01 2010-07-20 Micron Technology, Inc. Method of forming isolated features using pitch multiplication
US8716772B2 (en) 2005-12-28 2014-05-06 Micron Technology, Inc. DRAM cell design with folded digitline sense amplifier
US20070210449A1 (en) 2006-03-07 2007-09-13 Dirk Caspary Memory device and an array of conductive lines and methods of making the same
US7537866B2 (en) 2006-05-24 2009-05-26 Synopsys, Inc. Patterning a single integrated circuit layer using multiple masks and multiple masking layers
US8129289B2 (en) 2006-10-05 2012-03-06 Micron Technology, Inc. Method to deposit conformal low temperature SiO2

Cited By (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN105374813A (zh) * 2014-08-29 2016-03-02 台湾积体电路制造股份有限公司 单元布局和结构
US9984191B2 (en) 2014-08-29 2018-05-29 Taiwan Semiconductor Manufacturing Company Cell layout and structure
US10664639B2 (en) 2014-08-29 2020-05-26 Taiwan Semiconductor Manufacturing Company Cell layout and structure
US11281835B2 (en) 2014-08-29 2022-03-22 Taiwan Semiconductor Manufacturing Company, Ltd. Cell layout and structure
CN105826318A (zh) * 2014-10-02 2016-08-03 华亚科技股份有限公司 半导体结构
CN105826318B (zh) * 2014-10-02 2019-08-30 美光科技公司 半导体结构
CN106783743A (zh) * 2015-11-23 2017-05-31 华邦电子股份有限公司 存储器装置及其制造方法
CN106783743B (zh) * 2015-11-23 2019-07-26 华邦电子股份有限公司 存储器装置及其制造方法
CN110168727A (zh) * 2017-01-10 2019-08-23 美光科技公司 形成包括垂直对置电容器对的阵列的方法及包括垂直对置电容器对的阵列
CN110168727B (zh) * 2017-01-10 2023-07-25 美光科技公司 包括垂直对置电容器对的阵列及其形成方法
CN109427787A (zh) * 2017-08-30 2019-03-05 联华电子股份有限公司 半导体存储装置
US10872858B2 (en) 2017-08-30 2020-12-22 United Microelectronics Corp. Semiconductor memory device

Also Published As

Publication number Publication date
EP1929517A2 (en) 2008-06-11
JP2009507373A (ja) 2009-02-19
US20100148249A1 (en) 2010-06-17
CN101297399B (zh) 2012-09-26
TWI321844B (en) 2010-03-11
JP5003979B2 (ja) 2012-08-22
KR101057265B1 (ko) 2011-08-16
WO2007030346A2 (en) 2007-03-15
KR20080051152A (ko) 2008-06-10
SG165334A1 (en) 2010-10-28
WO2007030346A3 (en) 2007-06-21
US7687342B2 (en) 2010-03-30
TW200721457A (en) 2007-06-01
EP1929517B1 (en) 2016-04-20
US7935999B2 (en) 2011-05-03
US20070045712A1 (en) 2007-03-01

Similar Documents

Publication Publication Date Title
CN101297399B (zh) 存储器单元布局及工艺流程
US7416943B2 (en) Peripheral gate stacks and recessed array gates
US9076888B2 (en) Silicided recessed silicon
US10903218B2 (en) Methods of incorporating leaker-devices into capacitor configurations to reduce cell disturb, and capacitor configurations incorporating leaker-devices
US6514805B2 (en) Trench sidewall profile for device isolation
EP3039713B1 (en) Semiconductor constructions and methods of forming electrically conductive contacts
US11917834B2 (en) Integrated assemblies and methods of forming integrated assemblies
US11695072B2 (en) Integrated assemblies and methods of forming integrated assemblies
US20230014289A1 (en) Integrated Assemblies and Methods of Forming Integrated Assemblies

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant