CN101302610B - 具有覆盖钇铝层的部件的处理腔 - Google Patents

具有覆盖钇铝层的部件的处理腔 Download PDF

Info

Publication number
CN101302610B
CN101302610B CN2008101084174A CN200810108417A CN101302610B CN 101302610 B CN101302610 B CN 101302610B CN 2008101084174 A CN2008101084174 A CN 2008101084174A CN 200810108417 A CN200810108417 A CN 200810108417A CN 101302610 B CN101302610 B CN 101302610B
Authority
CN
China
Prior art keywords
yttrium
parts
layer
metal alloy
chamber
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
CN2008101084174A
Other languages
English (en)
Other versions
CN101302610A (zh
Inventor
韩念慈
徐立
石宏
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of CN101302610A publication Critical patent/CN101302610A/zh
Application granted granted Critical
Publication of CN101302610B publication Critical patent/CN101302610B/zh
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32477Vessel characterised by the means for protecting vessels or internal parts, e.g. coatings
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4404Coatings or surface treatment on the inside of the reaction chamber or on parts thereof
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/12All metal or with adjacent metals
    • Y10T428/12458All metal or with adjacent metals having composition, density, or hardness gradient
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/12All metal or with adjacent metals
    • Y10T428/12493Composite; i.e., plural, adjacent, spatially distinct metal components [e.g., layers, joint, etc.]
    • Y10T428/1266O, S, or organic compound in metal component
    • Y10T428/12667Oxide of transition metal or Al
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/12All metal or with adjacent metals
    • Y10T428/12493Composite; i.e., plural, adjacent, spatially distinct metal components [e.g., layers, joint, etc.]
    • Y10T428/12736Al-base component
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/12All metal or with adjacent metals
    • Y10T428/12493Composite; i.e., plural, adjacent, spatially distinct metal components [e.g., layers, joint, etc.]
    • Y10T428/12736Al-base component
    • Y10T428/12764Next to Al-base component
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/13Hollow or container type article [e.g., tube, vase, etc.]
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/26Web or sheet containing structurally defined element or component, the element or component having a specified physical dimension

Abstract

本发明具有覆盖钇铝层的部件的处理腔。基片处理腔部件是具有整体性表面层的结构,该层含有钇铝化合物。可以由含钇和铝构成的金属合金浇铸成该部件形状,并阳极化处理其表面以形成整体性阳极化表面层。该腔室部件也可以在预制金属模中用离子注入材料形成。该部件可以是腔壁、基片支座、基片传送器、供气设备、气体激发器和排气设备中的一个或多个。

Description

具有覆盖钇铝层的部件的处理腔
本申请是分案申请,原申请的申请日为2002年12月19日、申请号为02828221.3(PCT/US02/41150)、发明名称为“具有覆盖钇铝层的部件的处理腔”。
技术领域
本发明涉及基片处理腔及其制造方法。
背景技术
在基片处理过程中,例如,基片刻蚀过程、沉积过程以及基片和腔室的清理过程中,会使用诸如卤素或氧气之类的气体。该气体,特别是当其被诸如射频电源或微波之类的能量激发时能够腐蚀或侵蚀(此二术语在此可互换)腔壁等腔室部件。例如,由铝制成的腔室部件会被卤族气体腐蚀成AlCl3或AlF3。被腐蚀的部件需要被更换或被清理,导致不希望有的腔室停机。此外,当部件被腐蚀的部分剥落和污染基片时,会降低基片的产量。因此,有必要降低腔室部件的腐蚀。
在铝制腔室部件上形成阳极化氧化铝层可以改进该部件的抗腐蚀性或抗侵蚀性。例如,在电镀槽中可以对铝制腔室壁进行阳极化处理以形成由阳极化氧化铝组成的防护层。虽然阳极化层提高了铝制腔室的抗腐蚀性,但其有时仍可被高度激发的或腐蚀性的气体组分所腐蚀,例如,被含有诸如CF4之类的含氟气体等离子体的被激发气体所腐蚀,形成AlF3之类的气态副产物。
由块状陶瓷材料或者等离子喷射陶瓷层制成的传统腔室部件虽然表现出较好的抗侵蚀性,但是却容易受到其它破坏方式的影响。例如,由含有氧化钇和氧化铝混合物的块状材料制成的腔室部件是脆的,当加工成部件形状时容易断裂。块状陶瓷材料在腔室工作期间也可能易于开裂。腔室部件也可由等离子喷射涂层制成。然而,在加热或冷却期间,层和底层部件材料之间的热膨胀不一致会导致热应变,从而造成裂纹或使陶瓷涂层从底下的部件上剥落下来。因而,传统的陶瓷部件并不总是具有期望的抗腐蚀或抗破坏性。
因此,对于腔室部件需要具有对腐蚀性激发气体的改进的抗腐蚀或抗侵蚀性。也需要能够容易地将这样的腔室部件制成期望形状。对于耐久性的腔室部件,还需要该腔室部件在其工作期间不容易开裂或断裂。
发明内容
在本发明的一个实施方式中,能够暴露于RF或微波激发气体的基片处理腔部件包括钇和铝的金属合金,所述金属合金具有能够暴露于基片处理腔中的RF或微波激发气体并且具有穿过层厚度的成分梯度的层。该部件能够抵抗基片处理腔中的激发气体的腐蚀。
提供了一种制造等离子体处理腔部件的方法,所述方法具有步骤:(a)形成包含结构的腔室部件;以及(b)在所述结构上形成层,该层能够暴露于处理腔中的等离子体中,所述层包括钇-铝氧化物,该钇-铝氧化物具有穿过该层厚度的成分梯度。该方法能够形成能抵抗处理腔中等离子体的腐蚀的部件。
在另一个实施方式中,提供了基片处理腔部件,其能够暴露于基片处理腔中的RF或微波激发气体中。该部件具有包括(i)铝或(ii)钇和铝的金属合金的结构,所述结构具有离子注入的表面层,该表面层包括钇-铝化合物,其中激发的钇离子被注入到含铝的部件中或者激发的氧离子被注入到含钇和铝的金属合金的部件中。该部件能够抵抗基片处理腔中RF和微波激发气体的腐蚀。
提供了一种制造基片处理腔部件的方法,该方法具有步骤:(a)形成包括含铝结构的腔室部件;以及(b)离子注入钇到所述铝中;和(c)处理所述结构的表面以形成钇铝氧化物,所述处理包括下列的一个或多个:对所述结构进行退火处理,离子注入氧到所述结构中,和在酸溶液中阳极化处理所述结构的表面。
提供了基片处理装置,该基片处理装置含有:具有围绕处理区的壁的处理腔;能够接收基片的基片传送器;能将处理气体导入所述处理腔内的供气设备;能激发处理腔中处理气体的气体激发器;以及能从处理腔排出处理气体的排气设备,其中处理腔壁、基片支座、基片传送器、供气设备、气体激发器和排气设备中的一个或多个包括具有表面层的结构,所述表面层包括具有穿过该层厚度的成分梯度的钇-铝氧化物。
附图说明
通过参照下列描述、所附权利要求书以及阐释本发明实施例的附图,本发明的上述及其它特征、方面和优点将更加明白,其中:
图1A是根据本发明的处理腔的一个实施例的示意性剖面图;
图1B是另一种气体激发器的剖视图;
图1C是另一种处理腔的示意性剖视图;
图2是腔室部件的局部示意性剖视图,该腔室部件包括钇铝化合物的整体式表面层;
图3A是阳极化处理金属合金部件表面以形成整体式表面层的过程的一个实施例的流程图;
图3B是离子注入部件表面以形成整体式表面层的过程的一个实施例的流程图;
图4是离子注入器的示意性俯视图;
图5是图4的离子注入器中的离子源的示意性剖视图;
图6是退火装置的示意性剖视图。
具体实施方式
一种适于处理基片104的示范性装置102包括能装入该基片104的处理腔106,如图1A和1C所示。示范性的腔室有从Applied Materials,Inc.Santa Clara,California公司购得的eMax(TM)和DPS II(TM)腔。这里展示的装置102的这种特殊实施方式适于处理例如半导体晶片之类的基片104,还可由那些普通技术人员进行改造以处理其它基片104,如平板显示器、聚合物面板或其它电路接收构件。装置102对处理层,如基片104上的抗蚀刻层、含硅层、含金属层、绝缘层和/或导电层特别有用。
装置102可安在主机架体上(未示出),该主机架包括并为装置102提供电的、保持垂直及其它的支持功能,且可以成为多腔室系统的一部分(未示出)。示范性的主机架是从Applied Materials,Inc.Santa Clara,California公司购得的Centura(TM)和Producer(TM)。多腔室系统能在腔室之间传送基片104而不会破坏真空,也不会将基片104曝露到多腔室系统外的湿气或其它污染物之中。多腔室系统的一个优点是多腔室系统内的不同腔室可有不同的用途。例如,一个腔室可用于蚀刻基片104,另一个用于沉积金属膜,另一个用于快速热处理,而再一个用于沉积抗反射层。此处理过程可在多腔室系统内无间断地进行,从而防止基片104受到污染,否则,在用于处理过程的不同部分的各个分开的单独腔室之间传送基片104时,污染可能会发生。
一般来说,装置102包括处理腔106,其具有例如围壁103之类的腔壁107,该腔壁可能包括围绕处理区108的顶壁118、侧壁114和底壁116。腔壁107还可包括腔壁衬里105,其装衬在处理区108周围的围壁103的至少一部分。示范性的衬里有采用于前面提及的eMax和DPS II腔中的那些衬里。在操作中,通过供气设备130将处理气体导入处理腔106中,该供气设备包括处理气源138和气体分配器137。气体分配器137可包括一个或多个具有一个或多个气体流量阀134的导管136,以及一个或多个位于基片支座110周边的气体出口142,该基片支座110具有容纳基片的表面180。或者,气体分配器137可包括莲蓬头式气体分配器(未示出)。通过排气设备144从处理腔106排出处理余气和蚀刻剂副产物,该排气设备144可包括从处理区接收剩余处理气体的抽吸通道170、控制处理腔106内部处理气体压力的节流阀135,以及一个或多个排气泵152。
气体激发器154可通过使能量耦合到处理腔106的处理区108内的处理气体上而激发处理气体。在图1A所示的方案中,气体激发器154包括由电源159供电以激发处理气体的处理电极139,141。处理电极139,141可包括成为壁或在壁内的电极141,如腔室106的侧壁114或顶壁118,该腔室106可电容性地连接到另一电极139上,如基片104下面的支座110中的电极。作为一种选择或附加地,如图1B所示,气体激发器154可包括天线175,其含有一个或多个可能关于腔室106的中心呈圆对称的感应线圈178。在又一种方案中,气体激发器154可包括微波源和波导管,以通过位于腔室106上游处的远区157中的微波能量激活处理气体,如图1C所示。为处理基片104,对处理腔106抽真空并使其保持在预定的低于大气的压力下。然后通过基片传送器101如机械手和起模顶杆系统等将基片104传送到支座110上。此后,使RF或微波能量耦合到气体上,由气体激发器154激发气体,向处理区108提供激发的气体以处理基片104。
腔室106的至少一个部件114,例如腔壁107、基片支座110、基片传送器101、供气设备130、气体激发器154、和排气设备144中的一个或多个,包括含钇-铝化合物的整体性表面层117,如图2所示意性地表示。部件114的下层结构111和整体性表面层构成单一且连续的结构,其间不存在不连续和明显的晶界,如图2中点划线所示意性地表示出的。采用至少一部分下层部件的材料,在部件114表面原地形成整体性表面层。与传统的层如在层和下层结构之间存在不连续和明显的边界的等离子体喷射层相比,在制造部件114的结构外“生长”整体性表面层117,使得整体性表面层117更牢固地结合到下层部件材料结构上。例如通过阳极化处理由所需的金属成分组成的部件的表面113,或通过离子注入部件114的表面113,从结构111形成整体性表面层117。整体性表面层117也可具有成分梯度,该成分梯度发生从下层材料成分到表面成分的连续或逐渐的成分变化。结果是整体性表面层117牢固地结合到下层材料,这减少了该整体性表面层117的剥落,也使得该层更好地抵抗热应力而不发生开裂。
具有整体性表面层117的部件114可以是腔壁107,如部分的围壁103或衬里105、基片支座110、供气设备130、气体激发器154、排气设备144,或基片传送器101。也需要对易受腐蚀或侵蚀的腔室部件114的各部分,例如曝露于高温、腐蚀性气体和/或处理区108内侵蚀性溅射物质中的部件114的表面115进行处理以形成整体性表面层117。例如,部件114可构成腔壁107的一部分,如曝露于腔室106内等离子体中的腔壁表面115。
在一种方案中,整体性表面层117含有钇-铝化合物,该钇-铝化合物可能是钇和铝的合金,或是具有预先确定的化学计量的一种或多种化合物,如钇和铝的多种氧化物。例如,钇-铝化合物可为Y2O3和Al2O3的混合物,这类混合物的例子是钇铝石榴石(YAG)。当整体性表面层117为钇铝氧化物时,整体性表面层117穿过部件114厚度的氧化物化合物的浓度梯度为:在典型存在于部件114的表面113附近处的氧化物化合物的浓度较高,随着进入部件的内部结构111和离开表面113的距离的增加,氧化物化合物的浓度降低。
例如,当整体性表面层117含有钇铝氧化物时,邻近表面113的区域倾向于具有较高浓度的氧化的钇和铝物质,而在朝向部件内部结构111的区域的氧化物浓度较低。钇铝氧化物的整体性表面层117对受激卤化气体表现出良好的抗腐蚀性,对激发的溅射气体也表现出良好的抗侵蚀性。尤其是,整体性表面层117对激发的含氯气体有良好的抵抗性。选择整体性表面层117的成分和厚度以提高对腐蚀、侵蚀或其它破坏作用的抵抗性。例如,较厚的整体性表面层117可对腔室部件114的腐蚀和侵蚀设置更坚固的屏障,而较薄的层更适于抵抗热冲击。甚至可以形成整体性表面层117,使氧化物以及整体性表面层117的厚度延伸穿过部件的一定深度或刚好停留在其表面上。例如,整体性表面层117的合适的厚度可为约0.5密耳到约8密耳,或甚至是1密耳到4密耳。
在一种方案中,部件114由含有钇和铝的合金组成,且整体性表面层117由阳极化处理金属合金表面而形成。具有阳极化的整体性表面层117的金属合金可构成腔室部件114的一部分或全部。金属合金包括元素钇和铝这一成分,可选择元素钇和铝成分以获得所需的抗腐蚀性或其它合金特性。例如,可选择该成分以获得具有优良熔点或使腔室部件114易于制造和成形的延展性的金属合金。也可选择该成分以获得基片处理期间的有益特性,如在激发的处理气体中的抗腐蚀性、耐高温性,或抵抗热冲击的能力。在一种方案中,合适的成分包括基本由钇和铝组成的金属合金。
对阳极化处理的金属合金的成分进行选择,使覆盖层获得所需的抗腐蚀和抗侵蚀性能。可选择该成分以使金属合金能被阳极化而形成阳极化的整体性表面层117,该层抵抗激发的气体的腐蚀。例如,可选择金属合金成分,以使在酸溶液中进行阳极化处理时于金属合金的表面113上获得所需的氧化的铝和钇的面层成分。一种获得了抗腐蚀的阳极化整体性表面层117的金属合金的合适成分,例如,其中钇至少占金属合金重量的5%,优选占少于金属合金重量的约80%,如占金属合金重量的约67%。
金属合金使具有有益覆盖整体性表面层117的一体化或连续结构成为可能。该一体化的结构降低了阳极化的整体性表面层117和下层的金属合金之间的热膨胀不一致。换句话说,包括阳极化整体性表面层117的阳极化金属合金在金属合金的加热和冷却期间保持了基本单一的结构。因此,阳极化的整体性表面层117在基片处理期间出现开裂和剥落现象最少,并以剩余的金属合金形成持久的抗腐蚀结构。
在一种制造由含钇和铝的金属合金组成且具有阳极化的整体性表面层117的部件114的示范性方法中,加热软化或熔化钇和铝的混合物以形成用于加工成腔室部件114的金属合金。将腔室部件114置于氧化溶液中并对腔室部件114电偏置(electrically biasing),以清洁腔室部件114的表面113并随后进行阳极化处理。
图3A表示说明制造中阳极化处理方法的一个实施例的流程图。在所需的成分中形成含钇和铝的金属合金。例如,合适的成分可包含其中钇和铝的摩尔比为约5∶3的金属合金。例如,金属合金可由加热含有所需量的钇和铝的混合物至成分的熔点或软化点,使金属熔化并使它们结合成单一的合金。在一种方案中,金属合金可基本由钇和铝组成,例如其它金属之类的其它合金添加剂可与金属钇和铝一起熔化以帮助形成合金或提高金属合金的性能。例如,可加入铈或其它稀土元素。
金属合金被加工成形为所需的腔室部件或腔室部件的部分。例如,所需的金属合金的形状可由浇铸或机械加工金属合金而得到。通过在具有预定形状或形式的浇铸容器中冷却熔融或其它液化形式的金属合金,对金属合金进行浇铸。浇铸容器可包含其中熔化金属钇和铝以形成合金的相同容器,或者也可为分开的浇铸容器。热金属合金的冷却使金属合金固化成与浇铸容器形状一致的形状,从而获得所需的金属合金形状。
一旦形成了具有所需形状的金属合金,就可以进行阳极化处理使金属合金的表面阳极化,从而形成氧化物类的阳极化整体性表面层117。也可在阳极化处理之前清洁金属合金以除去金属合金的表面113上的任何污染物或微粒,这些污染物或微粒可能会干扰阳极化表面层的生长。例如,将金属合金浸入酸溶液中除去所有污染粒子以清洁表面113,或者可采用超声波方法清洁金属合金。
在一种方案中,使金属合金的表面113和氧化剂发生电解反应来阳极氧化金属合金。例如,可将金属合金置于氧化性溶液如氧化性酸溶液中,向金属合金加偏置电压以诱发形成阳极化的表面层。合适的酸溶液可包括如铬酸、草酸和硫酸中的一种或多种。可选择阳极化处理的参数,如酸溶液成分、偏置电功率、以及处理时间以形成具有所需性质如具有所需厚度或抗腐蚀性等的阳极化整体性表面层117。例如,对槽中的电极施加合适的偏置电功率约30分钟至约90分钟,甚至是约120分钟,在包括约0.5M到1.5M硫酸溶液中阳极化处理金属合金,形成包含阳极化表面层的金属合金。
将金属合金曝露于如空气之类的含氧气体中,也可使金属合金至少发生部分阳极化。空气中的氧气对金属合金的表面113进行氧化,从而形成阳极化整体性表面层117。通过加热金属合金和含氧气体并采用纯的氧气,可以提高阳极化处理的速度。
按照本领域普通技术人员所公知的技术,采用最合适于制造腔室部件114的顺序实施形成腔室部件114的步骤,该腔室部件114由具有阳极化整体性表面层117的金属合金组成。例如,可如前述将金属合金形成所需的形状之后,再进行阳极化处理。另一个例子是可在金属合金形成所需形状之前进行阳极化处理。例如,可在阳极化处理之前或之后用焊接使金属合金成形。
至少部分从含有钇和铝并具有阳极化整体性表面层117的金属合金形成的腔室部件114,如腔室壁107、供气设备、气体激发器、排气设备,基片传送器或支座,使部件114在激发的处理气体中和在高处理温度下具有改进的抗腐蚀性。具有阳极化整体性表面层117的金属合金的一体化结构进一步提高了抗腐蚀性,并减少了阳极化表面层的开裂和剥落现象。因此,理想的是腔室部件114在例如曝露于处理区的腔室壁107的表面115之类的部件114易被腐蚀的区域包含具有阳极化整体性表面层117的金属合金,以减少这些区域受到腐蚀和侵蚀。
在本发明的另一方面,如图4所示,采用离子注入器300将整体性表面层117的构成材料离子注入到部件114的表面113中,形成整体性表面层117。在这种方法中,离子注入器300采用一种或多种金属制造部件114,并采用激发的离子注入物质轰击其表面113,将其它金属或非金属物质注入到部件114中。在一个实施例中,将激发的钇离子注入到含铝的部件114的表面113中,而在另一个实施例中,将激发的氧离子注入到钇-铝合金的表面113中。离子注入器300包括封闭真空环境的真空仓310,以及一个或多个对真空仓310进行抽真空以在此形成真空环境的真空泵320。可在室温或更高的温度下进行离子注入处理。图3B中给出了一典型的处理步骤的列表。
离子注入器300能很好控制注入到金属合金的表面113中的材料的均匀性和表面分布。例如,离子注入器300能控制注入密度,注入离子以该密度被注入到部件114中;以及控制注入材料在部件中的渗透深度。离子注入器300也能提供均匀的表面覆盖和浓度水平。此外,离子注入器300也能只在部件114的某些选定区域形成整体性表面层117,并可控制注入材料在这些区域边缘处的分布。在典型的离子注入方法中,可注入合适剂量范围的离子,如从1011到1017个离子/cm2。在一个实施例中,离子注入器300可将离子注入量控制在该量范围的±1%内。
典型地,离子注入器300包括位于真空仓310内的离子源330,以提供注入形成整体性表面层117的材料并使其离子化。在一个方案中,离子源330包括固态的注入材料,并采用汽化室(未示出)使固态注入材料汽化。在另一个方案中,离子源330提供气态的注入材料。例如,可从远处将气态注入材料输入到离子源330内,从而使材料在离子源330中得到补充而不用打开真空仓,否则就会破坏真空环境。例如,注入材料可包括将被注入到铝部件中以形成含钇-铝氧化物化合物如YAG的部件的元素钇或氧。可采用任何来源的可离子化的材料,如含钇气体、固态钇或氧气。
在一个实施例中,如图5所示,离子源330包括气体入口410,通过它可将气态注入材料导入离子化系统420的离子化区,以使气态注入材料在被输送到部件的表面113之前先进行离子化。使气体或注入材料蒸汽通过热阴极电子放电、冷阴极电子放电或RF放电,对气态或汽化的注入材料进行离子化。在一个方案中,离子化系统420包括加热丝425。离子源330进一步包括阳极430和围绕抽吸出口445的抽吸电极440,对该抽吸电极递增地加上偏置电压,从离子化气体抽吸出正离子并形成离子束340。在一个实施例中,在阳极430上加的偏压为约70V到约130V,例如为100V。抽吸电极(extraction electrode)440上所加的偏压可为约10keV到约25keV,如从约15keV到约20keV。可做成一定形状的抽吸出口445以决定离子束340的形状。例如,抽吸出口445可为圆形孔或为长方形缝。设置螺线管450以产生迫使电子沿螺旋形轨道移动的磁场,以增加离子源330的离子化效率。离子束340的电流的示范性合适范围为约0.1mA到约100mA,如约1mA到约20mA。
回到图4,离子注入器300也典型地包括一系列加速电极350以加速离子束340。加速电极350通常保持为在沿离子束340前进的方向上递增地增加电势的强度,以逐渐加速离子束340。在一个方案中,加速电极350加速离子束至其能量达到约50到约500keV,更典型地为从约100到约400keV。可采用该高能离子束来注入相对较重或需要较深注入到部件114的表面113中的离子。
离子注入器300包括聚焦离子束340的束聚焦器360。在一个方案中,束聚焦器360包括产生磁场以汇聚离子束340的磁场透镜(未示出)。例如,磁场可基本平行于离子束340前进的方向。例如通过保持在某一电势,束聚焦器360还可额外用于进一步加速离子束340。在另一个方案中,束聚焦器360包括产生电场以聚焦离子束340的静电场透镜(未示出)。例如,电场的一部分可基本垂直于离子束340前进的方向。
在一个方案中,离子注入器300进一步包括分析并挑选离子质量的质量分析器370。在一个方案中,质量分析器370包括离子束340可以穿过的弯曲通道(未示出)。质量分析器370在通道内部产生磁场以加速具有选定的质荷比的离子沿弯曲通道内部前进。选定的离子中具有相当大差异的质荷比的那些离子与弯曲通道的侧面碰撞,因而不继续穿过弯曲通道。在一个实施例中,质量分析器370通过选择特定的磁场强度,选择出允许的特定质荷比。在另一个实施例中,质量分析器370通过测定磁场强度范围并观测每个磁场强度下穿过弯曲通道的离子数目,决定离子束340的质荷比分布。质量分析器370典型地包括许多由铁磁体材料制成的磁极靴。可设置一个或多个螺线管以在磁极靴附近产生磁场。
离子注入器300包括束偏转器380,使离子束340偏转通过部件114的表面113以分布地将离子注入部件114。在一个实施例中,束偏转器380包括产生电场以使离子束340发生偏转的静电偏转器。该电场具有垂直于离子束340前进方向的场分量,静电偏转器使离子束340沿着该场分量偏转。在另一个实施例中,束偏转器380包括产生磁场以使离子束340发生偏转的磁偏转器。该磁场具有垂直于离子束340前进方向的磁场分量,且该磁偏转器使离子束340在既垂直于离子束340的前进方向又垂直于垂直的磁场分量的方向偏转。
离子注入器300将一定量的注入材料注入到部件114的结构111中,使注入材料与下层结构的材料的比率达到所需的化学计量。例如,当将钇离子注入到铝结构的表面中时,铝和钇的理想摩尔比可以是约4∶2到约6∶4,或者甚至是约5∶3。当结构111随后进行退火、阳极化、或注入氧离子时优化这一比率以得到YAG。
如图6所示,也可采用退火装置500对部件114进行退火,使部件114晶体结构的破坏得到修复。例如,退火装置500可“愈合”部件114在离子注入期间被激发离子破坏的区域。典型地,退火装置500包括能加热部件114到合适的温度进行退火的热源510,如不相干的或相干的电磁辐射源。例如,退火装置500可将部件114加热到至少约600℃的温度,如至少约900℃。在图6所示的一个实施例中,退火装置500是包括有热源510的快速热退火装置505,该热源包括产生射线的钨卤素灯515以及将射线反射到部件114上的反射装置520。如空气或水之类的流体525沿热源510流动以调整热源510的温度。在一种实施方式中,在热源510和部件114之间设置石英片530以隔离流体与部件114。快速热退火装置可进一步包括监控部件114温度的温度监控器540。在一个实施例中,温度监控器540包括分析部件114发出的辐射以测定部件114的温度的光学高温计545。
虽然对本发明的示范性实施例进行了展示和描述,但本领域的普通技术人员可设计出并入本发明同时也落入本发明范围的其它实施例。例如,金属合金可包括例如其它金属之类的其它合适成分而不偏离本发明的范围。此外,金属合金可形成除那些特别提及的部分之外的部件114的各部分,这对本领域的普通技术人员来说是显而易见的。此外,之下、之上、底、顶、上、下、第一和第二等术语以及其它表示相对关系或表示位置的术语是参照附图中的示范性实施例给出的,并且是可互换的。因此,用于阐释本发明的优选方案、材料,或空间位置排列的说明,不应限制所附的权利要求。

Claims (25)

1.一种用于基片处理腔的部件,其能够暴露于RF或微波激发气体中,所述部件包括:
包含钇和铝的金属合金,所述金属合金具有包括钇-铝氧化物的层,该钇-铝氧化物具有穿过该层厚度的成分梯度,所述层能够暴露于所述基片处理腔中的所述RF或微波激发气体。
2.根据权利要求1的部件,其中所述成分梯度穿过所述层厚度连续变化。
3.根据权利要求1的部件,其中所述钇-铝氧化物包括YAG。
4.根据权利要求1的部件,其中所述金属合金包含按重量计至少5%的钇含量。
5.根据权利要求1的部件,其中所述金属合金包含按重量计50%以下的钇含量。
6.根据权利要求1的部件,其中所述层的厚度为从0.5密耳到8密耳。
7.一种制造等离子体处理腔部件的方法,所述方法包括:
(a)形成包括含金属合金的结构的腔室部件,该金属合金由钇和铝构成;以及
(b)在所述结构上形成层,该层包括钇-铝氧化物,该钇-铝氧化物具有穿过该层厚度的成分梯度,所述层能够暴露于所述处理腔中的等离子体。
8.根据权利要求7的方法,其中步骤(b)包括阳极化处理所述金属合金以形成具有所述成分梯度的钇-铝氧化物的阳极化层。
9.一种制造等离子体处理腔部件的方法,所述方法包括:
(a)形成包括含铝的结构的腔室部件;和
(b)在所述结构上形成层,该层包括钇-铝氧化物,该钇-铝氧化物具有穿过该层厚度的成分梯度,所述层能够暴露于所述处理腔中的等离子体。
10.根据权利要求9的方法,其中步骤(b)包括离子注入激发的钇和氧离子到所述铝中,以形成具有所述成分梯度的钇-铝氧化物。
11.根据权利要求7或9的方法,其中步骤(b)包括形成层,该层包括YAG的成分梯度。
12.一种基片处理腔部件,其能够暴露于基片处理腔中的RF或微波激发气体中,所述部件包括含(i)铝或(ii)金属合金的结构,该金属合金包括钇和铝,并且所述结构具有离子注入的表面层,该表面层包括钇-铝化合物。
13.根据权利要求12的部件,其中激发的钇离子被注入到含铝的部件中或者激发的氧离子被注入到含钇和铝的金属合金的部件中。
14.根据权利要求12的部件,其中所述结构包括按重量计钇含量至少5%的金属合金。
15.根据权利要求12的部件,其中所述钇-铝化合物包括钇铝氧化物。
16.根据权利要求12的部件,其中所述钇-铝化合物包括YAG。
17.根据权利要求12的部件,其中所述离子注入的表面层的厚度在从0.5密耳到8密耳之间。
18.根据权利要求12的部件,其中所述结构包括一部分围壁或腔壁衬里。
19.一种制造基片处理腔部件的方法,包括:
(a)形成包括含铝结构的腔室部件;
(b)离子注入钇到所述铝中;以及
(c)处理所述结构的表面以形成钇铝氧化物,所述处理包括下列的一个或多个:对所述结构进行退火处理,离子注入氧到所述结构中,和在酸溶液中阳极化处理所述结构的表面。
20.根据权利要求19的方法,其中步骤(b)包括产生钇离子并将所述离子激发到50至500keV的能级。
21.根据权利要求19的方法,其中步骤(c)包括处理所述结构的表面以形成YAG。
22.根据权利要求19的方法,包括处理所述结构的表面以形成整体性表面层,该层包括具有穿过该层厚度的成分梯度的钇-铝氧化物。
23.基片处理装置,包括:
处理腔,其具有围绕处理区的壁;
基片传送器,其能接收基片;
供气设备,其能将处理气体导入所述处理腔内;
气体激发器,其能激发所述处理腔中的所述处理气体;和
排气设备,其能从所述处理腔排出所述处理气体,
其中所述处理腔壁、基片支座、基片传送器、供气设备、气体激发器、排气设备中的一个或多个包括具有表面层的结构,所述表面层包括具有穿过该层厚度的成分梯度的钇-铝氧化物。
24.根据权利要求23的装置,其中所述表面层包括通过向所述结构施加偏置电功率而形成的阳极化表面层。
25.根据权利要求23的装置,其中所述表面层包括离子注入层。
CN2008101084174A 2002-01-08 2002-12-19 具有覆盖钇铝层的部件的处理腔 Expired - Fee Related CN101302610B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/042,666 US6942929B2 (en) 2002-01-08 2002-01-08 Process chamber having component with yttrium-aluminum coating
US10/042,666 2002-01-08

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
CNB028282213A Division CN100439562C (zh) 2002-01-08 2002-12-19 具有覆盖钇铝层的部件的处理腔

Publications (2)

Publication Number Publication Date
CN101302610A CN101302610A (zh) 2008-11-12
CN101302610B true CN101302610B (zh) 2012-04-25

Family

ID=21923126

Family Applications (2)

Application Number Title Priority Date Filing Date
CN2008101084174A Expired - Fee Related CN101302610B (zh) 2002-01-08 2002-12-19 具有覆盖钇铝层的部件的处理腔
CNB028282213A Expired - Fee Related CN100439562C (zh) 2002-01-08 2002-12-19 具有覆盖钇铝层的部件的处理腔

Family Applications After (1)

Application Number Title Priority Date Filing Date
CNB028282213A Expired - Fee Related CN100439562C (zh) 2002-01-08 2002-12-19 具有覆盖钇铝层的部件的处理腔

Country Status (5)

Country Link
US (1) US6942929B2 (zh)
KR (1) KR100864205B1 (zh)
CN (2) CN101302610B (zh)
TW (1) TWI307114B (zh)
WO (1) WO2003060187A1 (zh)

Families Citing this family (385)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7371467B2 (en) * 2002-01-08 2008-05-13 Applied Materials, Inc. Process chamber component having electroplated yttrium containing coating
US20080264564A1 (en) * 2007-04-27 2008-10-30 Applied Materials, Inc. Method of reducing the erosion rate of semiconductor processing apparatus exposed to halogen-containing plasmas
US7479304B2 (en) * 2002-02-14 2009-01-20 Applied Materials, Inc. Gas distribution plate fabricated from a solid yttrium oxide-comprising substrate
US8067067B2 (en) * 2002-02-14 2011-11-29 Applied Materials, Inc. Clean, dense yttrium oxide coating protecting semiconductor processing apparatus
US20080213496A1 (en) * 2002-02-14 2008-09-04 Applied Materials, Inc. Method of coating semiconductor processing apparatus with protective yttrium-containing coatings
US20060226003A1 (en) * 2003-01-22 2006-10-12 John Mize Apparatus and methods for ionized deposition of a film or thin layer
US20040182315A1 (en) 2003-03-17 2004-09-23 Tokyo Electron Limited Reduced maintenance chemical oxide removal (COR) processing system
US20050161061A1 (en) * 2003-09-17 2005-07-28 Hong Shih Methods for cleaning a set of structures comprising yttrium oxide in a plasma processing system
US7791047B2 (en) * 2003-12-12 2010-09-07 Semequip, Inc. Method and apparatus for extracting ions from an ion source for use in ion implantation
DE102004039443B4 (de) * 2004-08-13 2023-05-25 Beijing E-Town Semiconductor Technology, Co., Ltd. Verfahren zum thermischen Behandeln von scheibenförmigen Substraten
US9659758B2 (en) 2005-03-22 2017-05-23 Honeywell International Inc. Coils utilized in vapor deposition applications and methods of production
US8617672B2 (en) * 2005-07-13 2013-12-31 Applied Materials, Inc. Localized surface annealing of components for substrate processing chambers
US20070215607A1 (en) * 2006-03-20 2007-09-20 Wander Joseph M Apparatus and method for heating semiconductor wafers via microwares
US8475625B2 (en) * 2006-05-03 2013-07-02 Applied Materials, Inc. Apparatus for etching high aspect ratio features
US8440049B2 (en) * 2006-05-03 2013-05-14 Applied Materials, Inc. Apparatus for etching high aspect ratio features
US20080105203A1 (en) * 2006-09-28 2008-05-08 Tokyo Electron Limited Component for substrate processing apparatus and method of forming film on the component
JP2008088912A (ja) * 2006-10-03 2008-04-17 Tohoku Univ メカニカルポンプおよびその製造方法
JP2008103403A (ja) * 2006-10-17 2008-05-01 Tokyo Electron Ltd 基板載置台及びプラズマ処理装置
KR101397124B1 (ko) * 2007-02-28 2014-05-19 주성엔지니어링(주) 기판지지프레임 및 이를 포함하는 기판처리장치, 이를이용한 기판의 로딩 및 언로딩 방법
US7696117B2 (en) * 2007-04-27 2010-04-13 Applied Materials, Inc. Method and apparatus which reduce the erosion rate of surfaces exposed to halogen-containing plasmas
TWI483291B (zh) * 2007-04-27 2015-05-01 Applied Materials Inc 減小曝露於含鹵素電漿下之表面腐蝕速率的方法與設備
US10242888B2 (en) 2007-04-27 2019-03-26 Applied Materials, Inc. Semiconductor processing apparatus with a ceramic-comprising surface which exhibits fracture toughness and halogen plasma resistance
US10622194B2 (en) 2007-04-27 2020-04-14 Applied Materials, Inc. Bulk sintered solid solution ceramic which exhibits fracture toughness and halogen plasma resistance
US8367227B2 (en) * 2007-08-02 2013-02-05 Applied Materials, Inc. Plasma-resistant ceramics with controlled electrical resistivity
US8129029B2 (en) 2007-12-21 2012-03-06 Applied Materials, Inc. Erosion-resistant plasma chamber components comprising a metal base structure with an overlying thermal oxidation coating
US20090214825A1 (en) * 2008-02-26 2009-08-27 Applied Materials, Inc. Ceramic coating comprising yttrium which is resistant to a reducing plasma
US8066895B2 (en) * 2008-02-28 2011-11-29 Applied Materials, Inc. Method to control uniformity using tri-zone showerhead
KR20100037765A (ko) * 2008-10-02 2010-04-12 삼성전자주식회사 플라즈마 발생장치
US8206829B2 (en) * 2008-11-10 2012-06-26 Applied Materials, Inc. Plasma resistant coatings for plasma chamber components
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
DE102010024543A1 (de) * 2010-06-22 2011-12-22 Merck Patent Gmbh Verfahren und Vorrichtung zum Beschichten einer Oberfläche
TWI471445B (zh) * 2010-12-30 2015-02-01 Hon Hai Prec Ind Co Ltd 殼體及其製造方法
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9034199B2 (en) 2012-02-21 2015-05-19 Applied Materials, Inc. Ceramic article with reduced surface defect density and process for producing a ceramic article
US9212099B2 (en) 2012-02-22 2015-12-15 Applied Materials, Inc. Heat treated ceramic substrate having ceramic coating and heat treatment for coated ceramics
US9090046B2 (en) 2012-04-16 2015-07-28 Applied Materials, Inc. Ceramic coated article and process for applying ceramic coating
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9604249B2 (en) 2012-07-26 2017-03-28 Applied Materials, Inc. Innovative top-coat approach for advanced device on-wafer particle performance
US9343289B2 (en) 2012-07-27 2016-05-17 Applied Materials, Inc. Chemistry compatible coating material for advanced device on-wafer particle performance
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US20140099794A1 (en) * 2012-09-21 2014-04-10 Applied Materials, Inc. Radical chemistry modulation and control using multiple flow pathways
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9916998B2 (en) 2012-12-04 2018-03-13 Applied Materials, Inc. Substrate support assembly having a plasma resistant protective layer
US9685356B2 (en) 2012-12-11 2017-06-20 Applied Materials, Inc. Substrate support assembly having metal bonded protective layer
US8941969B2 (en) 2012-12-21 2015-01-27 Applied Materials, Inc. Single-body electrostatic chuck
US9358702B2 (en) 2013-01-18 2016-06-07 Applied Materials, Inc. Temperature management of aluminium nitride electrostatic chuck
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9669653B2 (en) 2013-03-14 2017-06-06 Applied Materials, Inc. Electrostatic chuck refurbishment
US20140272341A1 (en) * 2013-03-14 2014-09-18 Applied Materials, Inc. Thermal treated sandwich structure layer to improve adhesive strength
US9887121B2 (en) 2013-04-26 2018-02-06 Applied Materials, Inc. Protective cover for electrostatic chuck
US9666466B2 (en) 2013-05-07 2017-05-30 Applied Materials, Inc. Electrostatic chuck having thermally isolated zones with minimal crosstalk
US9865434B2 (en) 2013-06-05 2018-01-09 Applied Materials, Inc. Rare-earth oxide based erosion resistant coatings for semiconductor application
US9850568B2 (en) 2013-06-20 2017-12-26 Applied Materials, Inc. Plasma erosion resistant rare-earth oxide based thin film coatings
US9384950B2 (en) * 2014-01-31 2016-07-05 Applied Materials, Inc. Chamber coatings
WO2015134135A1 (en) 2014-03-05 2015-09-11 Applied Materials, Inc. Critical chamber component surface improvement to reduce chamber particles
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
KR20160002543A (ko) * 2014-06-30 2016-01-08 세메스 주식회사 기판 처리 장치
CN105304519A (zh) * 2014-07-11 2016-02-03 北京北方微电子基地设备工艺研究中心有限责任公司 内衬、内衬的制备方法及反应腔室
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
JP6544902B2 (ja) * 2014-09-18 2019-07-17 東京エレクトロン株式会社 プラズマ処理装置
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
KR20170070175A (ko) * 2014-10-15 2017-06-21 어플라이드 머티어리얼스, 인코포레이티드 내부식성 저감 시스템
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US9828672B2 (en) 2015-03-26 2017-11-28 Lam Research Corporation Minimizing radical recombination using ALD silicon oxide surface coating with intermittent restoration plasma
US9790582B2 (en) 2015-04-27 2017-10-17 Lam Research Corporation Long lifetime thermal spray coating for etching or deposition chamber application
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10020218B2 (en) 2015-11-17 2018-07-10 Applied Materials, Inc. Substrate support assembly with deposited surface features
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
CN108779568B (zh) * 2016-03-11 2021-06-01 应用材料公司 在半导体处理设备上以电化学方式形成氧化钇的方法
KR102464817B1 (ko) * 2016-03-31 2022-11-09 에이비엠 주식회사 금속부품 및 그 제조 방법 및 금속부품을 구비한 공정챔버
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US11326253B2 (en) 2016-04-27 2022-05-10 Applied Materials, Inc. Atomic layer deposition of protective coatings for semiconductor process chamber components
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US11183373B2 (en) 2017-10-11 2021-11-23 Honeywell International Inc. Multi-patterned sputter traps and methods of making
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
TWI791689B (zh) 2017-11-27 2023-02-11 荷蘭商Asm智慧財產控股私人有限公司 包括潔淨迷你環境之裝置
JP7214724B2 (ja) 2017-11-27 2023-01-30 エーエスエム アイピー ホールディング ビー.ブイ. バッチ炉で利用されるウェハカセットを収納するための収納装置
US11761079B2 (en) 2017-12-07 2023-09-19 Lam Research Corporation Oxidation resistant protective layer in chamber conditioning
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10760158B2 (en) 2017-12-15 2020-09-01 Lam Research Corporation Ex situ coating of chamber components for semiconductor processing
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
JP7124098B2 (ja) 2018-02-14 2022-08-23 エーエスエム・アイピー・ホールディング・ベー・フェー 周期的堆積プロセスにより基材上にルテニウム含有膜を堆積させる方法
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11047035B2 (en) 2018-02-23 2021-06-29 Applied Materials, Inc. Protective yttria coating for semiconductor equipment parts
TWI766433B (zh) 2018-02-28 2022-06-01 美商應用材料股份有限公司 形成氣隙的系統及方法
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
EP3768874A4 (en) 2018-03-19 2022-03-30 Applied Materials, Inc. METHODS FOR DEPOSITING COATINGS ON AEROSPACE ELEMENTS
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US11239058B2 (en) 2018-07-11 2022-02-01 Applied Materials, Inc. Protective layers for processing chamber components
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
CN113196451A (zh) * 2018-10-19 2021-07-30 朗姆研究公司 用于半导体处理的室部件的原位保护性涂层
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
KR102623884B1 (ko) 2018-12-15 2024-01-10 엔테그리스, 아이엔씨. 비 텅스텐 재료를 사용한 불소 이온 주입 시스템 및 사용 방법
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
WO2020219332A1 (en) 2019-04-26 2020-10-29 Applied Materials, Inc. Methods of protecting aerospace components against corrosion and oxidation
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
US11697879B2 (en) 2019-06-14 2023-07-11 Applied Materials, Inc. Methods for depositing sacrificial coatings on aerospace components
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN112899617B (zh) * 2019-12-04 2023-03-31 中微半导体设备(上海)股份有限公司 形成耐等离子体涂层的方法、装置、零部件和等离子体处理装置
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
CN113555279A (zh) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 形成含氮化钒的层的方法及包含其的结构
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
CN114068276A (zh) * 2020-08-05 2022-02-18 中微半导体设备(上海)股份有限公司 半导体零部件、等离子体反应装置和涂层形成方法
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20230098298A (ko) * 2020-11-02 2023-07-03 도쿄엘렉트론가부시키가이샤 플라즈마 처리 장치
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1271706A (zh) * 2000-05-26 2000-11-01 清华大学 高耐磨性复合金属陶瓷刀具材料

Family Cites Families (51)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3754903A (en) * 1970-09-15 1973-08-28 United Aircraft Corp High temperature oxidation resistant coating alloy
US4244743A (en) 1979-04-23 1981-01-13 United Technologies Corporation Sulfur containing refractory for resisting reactive molten metals
US4433004A (en) 1979-07-11 1984-02-21 Tokyo Shibaura Denki Kabushiki Kaisha Semiconductor device and a method for manufacturing the same
JPS59205468A (ja) 1983-05-10 1984-11-21 Natl Res Inst For Metals 高温耐食性材料
US4897315A (en) 1985-10-15 1990-01-30 United Technologies Corporation Yttrium enriched aluminide coating for superalloys
DE3543802A1 (de) 1985-12-12 1987-06-19 Bbc Brown Boveri & Cie Hochtemperatur-schutzschicht und verfahren zu ihrer herstellung
JPS62174377A (ja) 1986-01-24 1987-07-31 Mitsubishi Heavy Ind Ltd タ−ビン翼
US4910092A (en) * 1986-09-03 1990-03-20 United Technologies Corporation Yttrium enriched aluminide coating for superalloys
US4743493A (en) 1986-10-06 1988-05-10 Spire Corporation Ion implantation of plastics
NL8700844A (nl) * 1987-04-10 1988-11-01 Philips Nv Ceramisch lichtdoorlatend materiaal, werkwijze voor het vervaardigen van een dergelijk materiaal en hogedrukontladingslamp voorzien van een dergelijk materiaal.
DE3740478C1 (de) 1987-11-28 1989-01-19 Asea Brown Boveri Hochtemperatur-Schutzschicht
US4939308A (en) 1988-04-29 1990-07-03 Allied-Signal Inc. Method of forming crystallite-oriented superconducting ceramics by electrodeposition and thin film superconducting ceramic made thereby
US4933239A (en) 1989-03-06 1990-06-12 United Technologies Corporation Aluminide coating for superalloys
US5470820A (en) 1991-05-06 1995-11-28 Hauser Chemical Research, Inc. Electroplating of superconductor elements
US5244875A (en) 1991-05-06 1993-09-14 Hauser Chemical Research, Inc. Electroplating of superconductor elements
JPH04333573A (ja) 1991-05-09 1992-11-20 Canon Inc マイクロ波プラズマcvd装置
JPH0632617A (ja) * 1992-07-13 1994-02-08 Tosoh Corp 複合酸化物焼結体
US5366585A (en) 1993-01-28 1994-11-22 Applied Materials, Inc. Method and apparatus for protection of conductive surfaces in a plasma processing reactor
NZ247940A (en) 1993-06-21 1995-05-26 Grace W R & Co Heat-shrinkable thermoplastics packaging film comprising at least two identical films
US5498313A (en) 1993-08-20 1996-03-12 International Business Machines Corp. Symmetrical etching ring with gas control
US5455119A (en) * 1993-11-08 1995-10-03 Praxair S.T. Technology, Inc. Coating composition having good corrosion and oxidation resistance
US5798016A (en) 1994-03-08 1998-08-25 International Business Machines Corporation Apparatus for hot wall reactive ion etching using a dielectric or metallic liner with temperature control to achieve process stability
US5680013A (en) 1994-03-15 1997-10-21 Applied Materials, Inc. Ceramic protection for heated metal surfaces of plasma processing chamber exposed to chemically aggressive gaseous environment therein and method of protecting such heated metal surfaces
GB9414859D0 (en) 1994-07-22 1994-09-14 Baj Coatings Ltd Protective coating
GB9414858D0 (en) 1994-07-22 1994-09-14 Baj Coatings Ltd Protective coating
DE9421671U1 (de) 1994-08-26 1996-07-11 Siemens Ag Entladungskammer für eine Plasmaätzanlage in der Halbleiterfertigung
US5753044A (en) 1995-02-15 1998-05-19 Applied Materials, Inc. RF plasma reactor with hybrid conductor and multi-radius dome ceiling
WO1996015284A1 (en) * 1994-11-09 1996-05-23 Cametoid Advanced Technologies Inc. Method of producing reactive element modified-aluminide diffusion coatings
US5624632A (en) 1995-01-31 1997-04-29 Aluminum Company Of America Aluminum magnesium alloy product containing dispersoids
JP3623054B2 (ja) 1996-08-28 2005-02-23 京セラ株式会社 プラズマプロセス装置用部材
US5993594A (en) 1996-09-30 1999-11-30 Lam Research Corporation Particle controlling method and apparatus for a plasma processing chamber
US6120640A (en) 1996-12-19 2000-09-19 Applied Materials, Inc. Boron carbide parts and coatings in a plasma reactor
JPH11214194A (ja) * 1998-01-30 1999-08-06 Kyocera Corp プラズマ処理装置用窓部材
US6447937B1 (en) * 1997-02-26 2002-09-10 Kyocera Corporation Ceramic materials resistant to halogen plasma and components using the same
EP0875593B1 (en) 1997-04-30 2001-09-19 Sumitomo Electric Industries, Ltd. Aluminium alloy and its production process
JP3799139B2 (ja) 1997-07-09 2006-07-19 太平洋セメント株式会社 セラミックス複合部材
WO1999018265A2 (en) 1997-10-08 1999-04-15 The Regents Of The University Of California Aqueous electrodeposition of rare earth and transition metals
US5964928A (en) 1998-03-12 1999-10-12 Natural Coating Systems, Llc Protective coatings for metals and other surfaces
US6517303B1 (en) * 1998-05-20 2003-02-11 Applied Komatsu Technology, Inc. Substrate transfer shuttle
US6123791A (en) 1998-07-29 2000-09-26 Applied Materials, Inc. Ceramic composition for an apparatus and method for processing a substrate
JP2000096176A (ja) 1998-09-24 2000-04-04 Sumitomo Electric Ind Ltd アルミニウム合金およびその製造方法
JP2000144292A (ja) 1998-10-30 2000-05-26 Sumitomo Electric Ind Ltd アルミニウム合金およびアルミニウム合金部材の製造方法
JP2002529600A (ja) * 1998-11-06 2002-09-10 シヴァク 高レート・コーティング用のスパッタリング装置および方法
US6383964B1 (en) * 1998-11-27 2002-05-07 Kyocera Corporation Ceramic member resistant to halogen-plasma corrosion
JP3550306B2 (ja) 1998-11-27 2004-08-04 京セラ株式会社 耐プラズマ性部材及びその製造方法
US6287644B1 (en) * 1999-07-02 2001-09-11 General Electric Company Continuously-graded bond coat and method of manufacture
US6179976B1 (en) * 1999-12-03 2001-01-30 Com Dev Limited Surface treatment and method for applying surface treatment to suppress secondary electron emission
KR20010062209A (ko) 1999-12-10 2001-07-07 히가시 데쓰로 고내식성 막이 내부에 형성된 챔버를 구비하는 처리 장치
JP3510993B2 (ja) * 1999-12-10 2004-03-29 トーカロ株式会社 プラズマ処理容器内部材およびその製造方法
US6645585B2 (en) * 2000-05-30 2003-11-11 Kyocera Corporation Container for treating with corrosive-gas and plasma and method for manufacturing the same
JP3967093B2 (ja) * 2000-07-10 2007-08-29 東芝セラミックス株式会社 セラミックス部材およびその製造方法

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1271706A (zh) * 2000-05-26 2000-11-01 清华大学 高耐磨性复合金属陶瓷刀具材料

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
JP特开2001-164354A 2001.06.19

Also Published As

Publication number Publication date
KR20040081117A (ko) 2004-09-20
CN1620522A (zh) 2005-05-25
CN101302610A (zh) 2008-11-12
TW200301921A (en) 2003-07-16
US20030127049A1 (en) 2003-07-10
TWI307114B (en) 2009-03-01
US6942929B2 (en) 2005-09-13
WO2003060187A1 (en) 2003-07-24
CN100439562C (zh) 2008-12-03
KR100864205B1 (ko) 2008-10-17

Similar Documents

Publication Publication Date Title
CN101302610B (zh) 具有覆盖钇铝层的部件的处理腔
US9012030B2 (en) Process chamber component having yttrium—aluminum coating
US20030029563A1 (en) Corrosion resistant coating for semiconductor processing chamber
KR100944572B1 (ko) 반도체 및 액정 표시 장치용의 기판을 처리하는 처리 장치
US6432256B1 (en) Implanatation process for improving ceramic resistance to corrosion
US10056237B2 (en) Low pressure arc plasma immersion coating vapor deposition and ion treatment
US20090238998A1 (en) Coaxial microwave assisted deposition and etch systems
US20160254125A1 (en) Method for coating surfaces
WO2011006109A2 (en) High efficiency low energy microwave ion/electron source
US8835296B2 (en) Electronic component manufacturing method including step of embedding metal film
TW504772B (en) Plasma deposition method and system
US20140216928A1 (en) Thin-film formation sputtering device
KR100284248B1 (ko) 스퍼터링장치
Welton et al. Ion source antenna development for the Spallation Neutron Source
CN100437886C (zh) 磁控管溅射
KR100206525B1 (ko) 서브스트레이트들을 코팅하기 위한 방법 및 장치
EP2368282B1 (en) Process of forming protecting layer by particles having low energy
US6495000B1 (en) System and method for DC sputtering oxide films with a finned anode
CN100349264C (zh) GaN基化合物材料上原位淀积高介电常数Al2O3和金属膜的方法
CN1209947C (zh) 等离子体发生装置
JPH04314864A (ja) 基体表面のプラズマクリーニング方法
JPH03166367A (ja) スパッタリング法およびスパッタリング装置
Matsuda Large Scale Ion Beam Equipment and Processing-Review of AMMTRA Project
JPH0581049B2 (zh)

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20120425

Termination date: 20151219

EXPY Termination of patent right or utility model