CN101320673A - 形成半导体器件的精细图案的方法 - Google Patents

形成半导体器件的精细图案的方法 Download PDF

Info

Publication number
CN101320673A
CN101320673A CNA2007103022182A CN200710302218A CN101320673A CN 101320673 A CN101320673 A CN 101320673A CN A2007103022182 A CNA2007103022182 A CN A2007103022182A CN 200710302218 A CN200710302218 A CN 200710302218A CN 101320673 A CN101320673 A CN 101320673A
Authority
CN
China
Prior art keywords
mask
pattern
film
etching
mask pattern
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CNA2007103022182A
Other languages
English (en)
Other versions
CN101320673B (zh
Inventor
李基领
卜喆圭
潘槿道
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
SK Hynix Inc
Original Assignee
Hynix Semiconductor Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Hynix Semiconductor Inc filed Critical Hynix Semiconductor Inc
Publication of CN101320673A publication Critical patent/CN101320673A/zh
Application granted granted Critical
Publication of CN101320673B publication Critical patent/CN101320673B/zh
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0332Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their composition, e.g. multilayer masks, materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0338Process specially adapted to improve the resolution of the mask
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32139Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02115Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material being carbon, e.g. alpha-C, diamond or hydrogen doped carbon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • H01L21/02134Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material comprising hydrogen silsesquioxane, e.g. HSQ
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • H01L21/02137Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material comprising alkyl silsesquioxane, e.g. MSQ
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • H01L21/0214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material being a silicon oxynitride, e.g. SiON or SiON:H
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/022Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being a laminate, i.e. composed of sublayers, e.g. stacks of alternating high-k metal oxides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/3143Inorganic layers composed of alternated layers or of mixtures of nitrides and oxides or of oxinitrides, e.g. formation of oxinitride by oxidation of nitride layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/3146Carbon layers, e.g. diamond-like layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31608Deposition of SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/318Inorganic layers composed of nitrides
    • H01L21/3185Inorganic layers composed of nitrides of siliconnitrides

Abstract

本发明公开一种形成半导体器件的精细图案的方法,所述方法包括:在具有底层的半导体基板上面形成包括第一、第二和第三掩模图案的沉积图案;利用第三掩模图案作为蚀刻阻挡掩模对第二掩模图案进行侧面蚀刻;移除第三掩模图案;形成露出第二掩模图案的上部的旋涂碳层;利用旋涂碳层作为蚀刻阻挡掩模执行蚀刻工序以露出底层;以及移除旋涂碳层。

Description

形成半导体器件的精细图案的方法
技术领域
本发明整体涉及一种形成半导体器件的精细图案的方法。
背景技术
由于信息媒介例如计算机的普及,半导体器件技术已经得到快速发展。半导体器件需要高速操作且具有高的存储容量。结果,要求半导体器件的制造技术制造出具有更高集成度、可靠性和数据存取特性的高容量存储元件。
为了提高器件的集成度,已经发展出光刻技术以形成精细图案。光刻技术包括使用诸如ArF(193nm)和VUV(157nm)等化学增幅型深紫外光(DUV)光源的曝光技术、以及将适合于曝光光源的光阻材料显影的技术。
随着半导体器件变小,在光刻技术中控制图案线宽的临界尺寸是重要的。通常,半导体器件的处理速度决定于图案线宽的临界尺寸。例如,随着图案线宽减少,处理速度增加,从而改进器件性能。
然而,在使用具有小于1.2的一般数值孔径的ArF曝光器的光刻方法中,借助于单曝光方法难以形成小于40nm的线/距图案。
为了提高光刻技术的分辨率和扩展工序裕量(process margin),已经发展出双重图案化技术。双重图案化技术包括如下工序:利用两个掩模将涂布有光阻剂的晶片分别曝光,然后显影,从而获得复杂图案、密集图案或隔离图案。
因为双重图案化技术使用两个掩模进行图案化,因此制造成本和周转周期(turn-around-time)高(长)于使用单个掩模的单图案化技术,于是产出量降低。当在单元区中形成节距小于曝光器的分辨率极限的图案时,虚像会重叠。结果,双重图案化技术无法获得所要的图案。在对准过程中,会产生覆盖对准不良。
发明内容
本发明的各种实施例旨在提供一种形成节距小于曝光器的分辨率极限的半导体器件的精细图案的方法。
根据本发明的实施例,一种形成半导体器件的精细图案的方法包括:在具有底层的半导体基板上面形成包括第一、第二和第三掩模薄膜的层叠层;在第三掩模薄膜上面形成光阻图案;利用光阻图案作为蚀刻阻挡掩模蚀刻第三掩模薄膜,以形成第三掩模图案;利用第三掩模图案作为蚀刻阻挡掩模蚀刻第二和第一掩模薄膜,以形成第二和第一掩模图案;利用第三掩模图案作为蚀刻阻挡掩模对第二掩模图案进行侧面蚀刻;移除第三掩模图案;在第一和第二掩模图案以及底层上面涂布旋涂碳层(spin-on-carbon layer),第二掩模图案的上部穿过旋涂碳层露出;利用旋涂碳材料作为蚀刻阻挡掩模,移除第一掩模图案的一部分以及第二掩模图案以露出底层;移除旋涂碳层以获得具有均匀线宽的第一精细掩模图案。
底层可以包括导电层,该导电层具有绝缘膜和作为顶层的聚合物层的叠层图案。第一和第三掩模薄膜具有与第二掩模薄膜的蚀刻选择比不同的蚀刻选择比。例如,第一掩模薄膜为钨层。第二掩模薄膜优选地选自如下所列的一个或多个:氮化硅薄膜(SiN)、氧化硅薄膜(SiO)、氮氧化硅薄膜(SiON)以及包括至少一个或多个上述薄膜的层叠层。第三掩模薄膜优选地选自如下所列的一个或多个:非晶碳层、包括非晶碳层和氮氧化硅薄膜的层叠层以及多掩模(multimask)薄膜。多掩模薄膜优选地以如下方式形成:i)通过旋涂碳材料形成,其中,碳元素的含量占化合物总分子量的85wt%至90wt%,或ii)通过包含Si化合物的掩模组合物形成,在该Si化合物中,Si元素的含量占化合物总分子量的30wt%至80wt%。Si化合物优选地选自如下群组,该群组包括:含Si聚合物、含Si聚合物的低聚物以及诸如氢倍半硅氧烷(Hydrogen Silses-Quioxane,HSQ)或甲基倍半硅氧烷(Methyl Silses-Quioxane,MSQ)等旋涂玻璃(SOG)材料。
对第二掩模图案进行侧面蚀刻的步骤借助于修蚀工序执行。修蚀工序对第二掩模薄膜的去除速度高于对第一或第三掩模薄膜的去除速度。采用流量比为氟烃气体(例如CHxFy,其中x和y为在1至10范围内的整数)∶SF6=(2~10)∶1的蚀刻气体执行修蚀工序。在此,氟烃气体为CHF3气体。
在对第二掩模图案进行侧面蚀刻之后,与进行侧面蚀刻之前第二掩模图案的线宽相比,第二掩模图案的线宽优选地减小约20~50%,具体地,减小30~40%,更具体地,减小30~35%。
移除第三掩模图案和旋涂碳层的步骤优选地均为借助于氧灰化工序执行。第一掩模图案的线宽与第一掩模图案之间的间距的比值为1∶1。
在一个实施例中,一种形成半导体器件的精细图案的方法包括:在具有底层的半导体基板上面形成包括第一至第三掩模薄膜的层叠层;蚀刻第二和第三掩模薄膜以形成第二和第三掩模图案;利用第二和第三掩模图案作为蚀刻阻挡掩模对第一掩模薄膜进行部分蚀刻;利用第三掩模图案作为蚀刻阻挡掩模对第二掩模薄膜进行侧面蚀刻工序;移除第三掩模图案;在第一和第二掩模图案及底层上涂布旋涂碳层,第二掩模图案的上部穿过旋涂碳层露出;利用旋涂碳材料作为蚀刻阻挡掩模,移除第一掩模图案的一部分和第二掩模图案以露出底层;移除旋涂碳层,直到底层以及第一掩模薄膜的一部分露出为止。
对第一掩模薄膜进行部分蚀刻的步骤优选地包括形成底部互连的第一掩模图案而不露出底层图案。
本发明的方法可包括一种执行一次以形成掩模图案的光阻蚀刻阻挡掩模工序步骤,由此降低制造成本并简化工序步骤以提高效率。
根据本发明的实施例,所述方法可改善由于光阻图案的重叠而导致的覆盖对准不良,从而获得具有采用当前光刻设备不能形成的节距的图案。
附图说明
图1为展示传统正型双重图案化方法的示意图。
图2为展示传统负型双重图案化方法的示意图。
图3为展示传统正型间隙壁图案化方法的示意图。
图4为展示传统负型间隙壁图案化方法的示意图。
图5a至5i为展示根据本发明实施例的形成半导体器件的精细图案的方法的示意图。
图6a为展示图5d所示步骤的SEM相片。
图6b为展示图5e所示步骤的SEM相片。
具体实施方式
下面将参考附图详细地说明本发明。
为了防止重叠和对准不良,发展了两种方法:i)双重曝光蚀刻技术(DEET)和ii)间隙壁图案化技术(SPT),这两种方法已经用于半导体器件的制造过程中。
DEET包括形成线宽为所需图案线宽两倍的第一图案,并且在第一图案之间形成具有相同线宽的第二图案。更具体地说,DEET包括a)正型方法和b)负型方法。
如图1中所示,在正型方法中,在半导体基板1上面形成底层3、第一掩模薄膜5、第二掩模薄膜7和第一正光阻图案8。利用第一正光阻图案8作为蚀刻阻挡掩模形成第二掩模图案7-1。在第二掩模图案7-1之间形成第二正光阻图案9。利用第二掩模图案7-1和第二正光阻图案9作为蚀刻阻挡掩模形成第一掩模图案5-1。
如图2中所示。在负型方法中,在半导体基板21上面形成底层23、第一掩模薄膜25、第二掩模薄膜27和第一负光阻图案28。利用第一负光阻图案28作为蚀刻阻挡掩模形成第二掩模图案27-1。在第二掩模图案27-1和第一掩模薄膜25上面形成第二负光阻图案29。利用第二负光阻图案29作为蚀刻阻挡掩模蚀刻第二掩模图案27-1,以形成第二掩模图案27-2。利用第二掩模图案27-2作为蚀刻阻挡掩模蚀刻第一掩模薄膜25,以形成第一掩模图案25-1。
因为DEET使用两种掩模,所以可以形成具有所要节距大小的图案。然而,该方法步骤复杂,且制造成本增加。而且,当形成第二光阻图案时,由于图案覆盖不准确而发生对准不良。
SPT为一种自对准技术,其借助于执行在单元区中形成图案的掩模工序来防止对准不良。SPT包括a)正型方法和b)负型方法。
如图3中所示,在正型方法中,在半导体基板31上面形成底层33、第一掩模薄膜35、第二掩模薄膜37和第一光阻图案38。利用第一光阻图案38作为蚀刻阻挡掩模形成第二掩模图案37-1。在第二掩模图案37-1的侧壁形成间隙壁39。利用间隙壁39作为蚀刻阻挡掩模形成第一掩模图案35-1。
如图4中所示,负型方法包括在半导体基板41上面形成底层43、第一掩模薄膜45、第二掩模薄膜47和第一光阻图案48,和利用第一光阻图案48作为蚀刻阻挡掩模形成第二掩模图案47-1。在第二掩模图案47-1的侧壁形成间隙壁49。在所产生的结构上面涂布旋涂玻璃薄膜50或抗反射薄膜。执行CMP或回蚀工序以露出第二掩模图案47-1(未显示)。移除间隙壁,并且利用第二掩模图案47-1作为蚀刻阻挡掩模形成第一掩模图案45-1。
为了在中心和边缘部分中形成图案或者隔离微小单元块区域(mini cell block region)的图案部分,SPT需要额外的掩模工序。结果,该方法步骤复杂。另外,在形成间隙壁时难以调整图案的线宽,因此图案线宽的均匀性降低。
图5a至5i为展示根据本发明实施例的形成半导体器件的精细图案的方法的示意图。
图5a分别显示在底层111上面依次沉积的第一至第三掩模薄膜113、115、117以及有机抗反射薄膜119。
在此实施例中,底层为包括栅极氧化物膜、多晶硅层、钨层和绝缘膜的导电层。绝缘膜具有包括多晶硅层(poly layer)和绝缘膜的叠层结构。
在此实施例中,第一掩模薄膜113包括钨(W)。在此实施例中,第二掩模薄膜115包括氮化物膜(SiN)、氧化物膜(SiO)、氮氧化硅薄膜(SiON)以及包括至少一个或多个上述薄膜的层叠层。在此实施例中,第三掩模薄膜117包括非晶碳层或包含非晶碳层和氮氧化硅薄膜的层叠层。
优选地,第一和第三掩模薄膜具有与第二掩模薄膜的蚀刻选择比不同的蚀刻选择比。优选地,第一和第三掩模薄膜优选地对所有类型的蚀刻气体都具有比第二掩模薄膜低的蚀刻选择比和/或蚀刻速度。更具体地说,第一掩模薄膜优选地包括钨膜,第二掩模薄膜优选地包括氮化物膜,第三掩模薄膜优选地包括包含有非晶碳层和氮氧化硅薄膜的层叠层。
用作第一掩模薄膜的钨膜可在用于形成栅极图案的随后蚀刻工序中用作蚀刻阻挡掩模时被移除。结果,不需执行移除钨膜的额外工序。
第三掩模薄膜优选地包括多掩模薄膜而不是包含非晶碳层和氮氧化硅薄膜的层叠层,该多掩模薄膜可借助于旋涂方法形成,且具有优良平坦化性质。多掩模薄膜不像非晶碳层那样使用化学气相沉积,且效率极高。
可使用任何种类的多掩模薄膜。在制造半导体器件的一般方法中,多掩模薄膜可作为用于改进蚀刻选择比的掩模薄膜,和作为用于增加图案均匀性的抗反射薄膜。优选的多掩模薄膜以如下方式形成:i)在第一实施例中,借助于旋涂碳材料形成,其中,碳元素的含量占化合物总分子量的85wt%(重量百分比,下同)至90wt%;或ii)在第二实施例中,借助于包含Si化合物的掩模组合物形成,其中,Si元素的含量占化合物总分子量的30wt%至80wt%。第二实施例中的Si化合物可为含Si聚合物、含Si聚合物的低聚物以及诸如HSQ和MSQ等SOG材料。更具体地说,掩模组合物优选地包含含Si聚合物以及作为主要组分的剩余有机溶剂,在100重量份的组合物中,含Si聚合物的含量为30重量份至70重量份。掩模组合物优选地还包含如下化合物:由化学式1表示的化合物、由化学式2表示的化合物、热致酸产生剂或光致酸产生剂。
[化学式1]
Figure A20071030221800111
[化学式2]
Figure A20071030221800121
其中Ra-Rd均为氢或者取代的或未取代的直链或支链C1-C5烷基基团,e为5至500范围内的整数,f为0至5范围内的整数,g为1至5范围内的整数。
用化学式1表示的化合物的分子量优选地在500至50,000的范围内。
含Si聚合物的分子量优选地在300至30,000的范围内。含Si聚合物优选地包括选自用化学式3至5表示的化合物的一种或多种主剂。
[化学式3]
Figure A20071030221800122
其中R1和R2均为氢或者取代的或未取代的直链或支链C1-C5烷基基团,m、n和o各自独立地为在1至10范围内的整数。
[化学式4]
Figure A20071030221800123
其中R3为氢、取代的或未取代的直链或支链C1-C5烷基基团、取代的或未取代的C3-C8环烷基基团、或者取代的或未取代的C5-C12芳族基团,x和y各自独立为在0至5范围内的整数。
[化学式5]
Figure A20071030221800131
其中R10为(CH2)kSi(OR’)3,R’为氢或者直链或支链C1-C10烷基,k为1至10范围内的整数。
用于多掩模薄膜的旋涂碳材料优选地包括日产化学公司(NissanChemical Co.)的SHN18,或者用于多掩模薄膜的Si化合物优选地包括日产化学公司的MHNO4。
图5b显示在作为顶层的有机抗反射薄膜119上面形成的光阻图案121。
在有机抗反射薄膜上涂布光阻薄膜(未显示),并且在光阻薄膜上执行光刻工序以获得光阻图案121。光阻图案121的节距优选地为设计规则的二倍。优选地,光阻图案的线宽与图案之间的间隔的比值为3∶1。
图5c显示利用光阻图案121作为蚀刻阻挡掩模所形成的第三掩模图案117-1和有机抗反射图案119-1。
图5d显示利用第三掩模图案117-1和有机抗反射图案119-1作为蚀刻阻挡掩模所形成的第二掩模图案115-1和第一掩模图案113-1,第一和第二掩模图案包括开口部分以露出底层111(参见图6a,其显示本发明的实施例,其中第一掩模图案113-1为钨层,第二掩模图案115-1包括沉积掩模氮化物膜(HM Nit),该沉积掩模氮化物膜包括氮化物膜(SiN)和氮氧化硅薄膜(SiON)的层叠层,第三掩模图案117-1为非晶碳(A-C)层)。
执行蚀刻工序,以利用第三掩模图案117-1作为蚀刻阻挡掩模将第一掩模薄膜113和第二掩模薄膜115图案化,直到底层111露出为止。可以在第一掩模薄膜113的顶部上执行部分蚀刻工序而不露出底层,由此形成底部连接的第一掩模图案(未显示)。当形成底部连接的第一掩模图案时,第一掩模薄膜优选地包括钨层或多晶硅层。
图5e显示利用第三掩模图案117-1作为蚀刻阻挡掩模执行修蚀工序所获得的结构。
执行修蚀工序以在逻辑工序中均匀地调整图案线宽。修蚀工序利用上部材料作为蚀刻阻挡掩模过蚀刻(over-etch)下部材料,以调整下部材料的线宽。在此,下部材料的蚀刻选择比与上部材料不同。在传统DRAM方法中不执行修蚀工序。
利用第一和第三掩模薄膜两者与第二掩模薄膜之间大的蚀刻选择比差异执行修蚀工序,从而使得可以均匀蚀刻第二掩模薄膜的侧壁而不损失第一和第三掩模薄膜。即,在修蚀工序中,对于选择的蚀刻气体,第二掩模薄膜115的蚀刻速度高于第一掩模薄膜113的蚀刻速度,也高于第三掩模薄膜117的蚀刻速度。结果,在修蚀工序中首先蚀刻和移除第二掩模图案115-1的侧壁。
优选地利用对钨为钝化蚀刻气体的氟烃气体(例如CHxFy,其中x和y为在1至10范围内的整数)并且优选地利用蚀刻氮化物膜的SF6气体来执行修蚀工序。更优选地,利用流量比为CHF3气体∶SF6气体=(2~10)∶1,优选地(4~5)∶1的蚀刻气执行修蚀工序。
执行修蚀工序,直到第二掩模图案115-2的线宽i)具有与光阻图案之间的间距相同的大小,或ii)与执行修蚀工序之前第二掩模图案115-1的线宽大小相比,减少了约20~50%(参见图6b,其显示在执行修蚀工序之后线宽大小减少了约20~50%的第二掩模图案)为止。第三掩模图案117-1不受蚀刻气体损害。而且,因为借助于部分蚀刻方法形成底部连接的第一掩模图案113-1(未显示),因此,虽然底层111由绝缘膜形成,但是留在底层之上的第一掩模图案113-1作为阻挡薄膜,从而防止底层111受蚀刻气体损害。
参考图5f,在所产生的结构上执行O2灰化工序以移除残余的第三掩模图案117-1。
在所产生的结构上面形成旋涂碳层123。旋涂碳层优选地具有占总分子量85wt%至90wt%的碳元素含量。与第三掩模薄膜类似,可使用日产化学公司的SHN18。
图5g显示通过在旋涂碳层123上执行回蚀工序将第二掩模图案115-2的顶部露出所产生的结构。
优选地利用选自氧、氮、氢及其组合的蚀刻气体执行回蚀工序。
为了在稳定条件下执行随后的蚀刻工序,优选地蚀刻旋涂碳层而不露出位于第二掩模图案115-2底部之外的第一掩模薄膜图案113-1。
参考图5h,利用旋涂碳层123作为蚀刻阻挡掩模移除露出的第二掩模图案115-2以及第一掩模图案113-1的位于露出的第二掩模图案之下的部分,以露出底层111。结果,形成包括开口部分125的第一掩模图案113-2。
对于氮化物或钨,优选地利用诸如SF6、O2、N2、Ar及其组合等蚀刻气体执行蚀刻工序。
优选的是,将第一掩模图案113-2的线宽减少至比第一掩模图案113-1线宽小约1/3的大小。当按原状转录显影检查临界尺寸(DICD)时,第一掩模图案具有与光阻图案之间的间隙相同的大小。例如,对于蚀刻偏差(etching bias)为80nm和设计规则为40nm的器件,第一掩模图案113-2的线宽与第一掩模图案113-2之间的间隙的比值为1∶1。
参考图5i,在所产生的结构上执行O2灰化工序以移除旋涂碳层123。
当第一掩模图案113-1的底部互连时,在第一掩模图案113-1的互连部分上执行过灰化(over ashing)工序以暴露底层,从而获得均匀精细图案。
利用第一掩模图案113-2作为蚀刻阻挡掩模蚀刻下导电层(未显示),以形成导电图案。导电图案可以包括栅极线、位线和金属线。优选地执行额外的掩模工序,以在除单元区域之外的中心和边缘部分中形成图案。
采用节距为设计规则的两倍的曝光掩模图案,可以形成节距减小的图案。与使用两个掩模的传统双重图案化技术相比,可以获得没有覆盖对准不良的自对准图案。
如上所述,根据本发明的实施例,执行修蚀工序以减小在底层上面所形成的掩模图案的线宽。将旋涂碳材料涂布在所产生的结构上面。利用旋涂碳层作为蚀刻阻挡掩模蚀刻掩模图案,以简化工序步骤,并且不论曝光器的覆盖准确性如何,都可以获得具有均匀线宽的精细图案。
本发明的上述实施例是示例性而非限制性的。各种不同的替代方案和等同方案都是可行的。本发明并不受限于本文中所描述的光刻步骤。本发明也不限于任何特定类型的半导体器件。例如,本发明可应用于动态随机存取存储(DRAM)器件或非易失性存储器件。鉴于本发明的揭示内容,其它的增添、删减或修改都是显而易见的,且包括在所附权利要求书的范围内。
本申请要求2007年6月5日提交的韩国专利申请No.10-2007-0054974的优先权,该韩国专利申请的全部内容以引用的方式并入本文。

Claims (20)

1.一种形成半导体器件的精细图案的方法,所述方法包括:
在具有底层的半导体基板上面形成包括第一掩模薄膜、第二掩模薄膜和第三掩模薄膜的层叠膜;
在所述第三掩模薄膜上面形成光阻图案;
使用所述光阻图案作为蚀刻阻挡掩模将所述第三掩模薄膜图案化,以形成第三掩模图案;
使用所述第三掩模图案作为蚀刻阻挡掩模将所述第一掩模薄膜和所述第二掩模薄膜图案化,以形成第一掩模图案和第二掩模图案;
使用所述第三掩模图案作为蚀刻阻挡掩模,对所述第二掩模图案进行侧面蚀刻;
移除所述第三掩模图案;
在所述第二掩模图案上面形成旋涂碳层,以使所述第二掩模图案的上部露出;
使用所述旋涂碳层作为蚀刻阻挡掩模执行蚀刻工序,以露出所述底层;以及
移除所述旋涂碳层。
2.根据权利要求1所述的方法,其中,
所述底层包括导电层,所述导电层具有绝缘膜和作为顶层的聚合物层的叠层图案。
3.根据权利要求1所述的方法,其中,
所述第一掩模薄膜的蚀刻选择比与所述第二掩模薄膜的蚀刻选择比不同,所述第三掩模薄膜的蚀刻选择比与所述第二掩模薄膜的蚀刻选择比不同。
4.根据权利要求1所述的方法,其中,
所述第一掩模薄膜为钨层。
5.根据权利要求1所述的方法,其中,
所述第二掩模薄膜选自一个群组,所述群组包括:氮化硅薄膜、氧化硅薄膜、氮氧化硅薄膜及其组合。
6.根据权利要求1所述的方法,其中,
所述第三掩模薄膜为非晶碳层、包括非晶碳层和氮氧化硅薄膜的层叠层、或多掩模薄膜。
7.根据权利要求6所述的方法,其中,
所述多掩模薄膜通过旋涂碳材料形成,在所述旋涂碳材料中,碳元素的含量占化合物总分子量的85wt%至90wt%;或通过包含Si化合物的掩模组合物形成,在所述Si化合物中,Si元素的含量占化合物总分子量的30wt%至80wt%。
8.根据权利要求7所述的方法,其中,
所述多掩模薄膜通过包含Si化合物的掩模组合物形成,所述Si化合物选自一个群组,所述群组包括:含Si聚合物、含Si聚合物的低聚物以及旋涂玻璃材料。
9.根据权利要求8所述的方法,其中,
所述旋涂玻璃材料为氢倍半硅氧烷(HSQ)或甲基倍半硅氧烷(MSQ)。
10.根据权利要求1所述的方法,包括:
借助于修蚀工序对所述第二掩模图案进行侧面蚀刻。
11.根据权利要求10所述的方法,其中,
所述修蚀工序对所述第二掩模薄膜的去除速度高于对所述第一掩模薄膜或所述第三掩模薄膜的去除速度。
12.根据权利要求10所述的方法,还包括:
用氟烃气体与SF6的流量比为(2~10)∶1的蚀刻气体执行所述修蚀工序。
13.根据权利要求12所述的方法,其中,
所述氟烃气体为CHF3气体。
14.根据权利要求1所述的方法,还包括:
执行侧面蚀刻工序,以使得与线宽减少之前的所述第二掩模图案的线宽相比,所述第二掩模图案的线宽减少20~50%。
15.根据权利要求14所述的方法,还包括:
执行侧面蚀刻工序,以使得与线宽减少之前的所述第二掩模图案的线宽相比,所述第二掩模图案的线宽减少30~40%。
16.根据权利要求1所述的方法,还包括:
借助于氧灰化方法执行移除所述第三掩模图案和所述旋涂碳层的步骤。
17.根据权利要求1所述的方法,还包括:
在所述第一掩模图案和所述第二掩模图案上执行使用所述旋涂碳层作为蚀刻阻挡掩模的蚀刻工序。
18.根据权利要求1所述的方法,其中,
在移除所述旋涂碳层之后,所述第一掩模图案的线宽与图案之间的间距的比值为1∶1。
19.根据权利要求1所述的方法,其中,
将所述第一掩模薄膜图案化的步骤包括:使用所述第二掩模图案和所述第三掩模图案作为蚀刻阻挡掩模对所述第一掩模薄膜进行部分蚀刻;以及执行蚀刻工序以移除所述旋涂碳层和所述第一掩模薄膜的一部分,直到所述底层露出为止。
20.根据权利要求19所述的方法,其中,
在所述第一掩模薄膜上执行所述部分蚀刻以不使所述底层露出,从而形成底部互连的第一掩模图案。
CN2007103022182A 2007-06-05 2007-12-20 形成半导体器件的精细图案的方法 Expired - Fee Related CN101320673B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
KR1020070054974A KR100871967B1 (ko) 2007-06-05 2007-06-05 반도체 소자의 미세 패턴 형성 방법
KR10-2007-0054974 2007-06-05
KR1020070054974 2007-06-05

Publications (2)

Publication Number Publication Date
CN101320673A true CN101320673A (zh) 2008-12-10
CN101320673B CN101320673B (zh) 2010-06-09

Family

ID=40096278

Family Applications (1)

Application Number Title Priority Date Filing Date
CN2007103022182A Expired - Fee Related CN101320673B (zh) 2007-06-05 2007-12-20 形成半导体器件的精细图案的方法

Country Status (5)

Country Link
US (1) US7576009B2 (zh)
JP (1) JP5065058B2 (zh)
KR (1) KR100871967B1 (zh)
CN (1) CN101320673B (zh)
TW (1) TWI362689B (zh)

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102323716A (zh) * 2011-07-07 2012-01-18 西北工业大学 一种纳米结构的图形转移制作方法
CN102341888A (zh) * 2009-03-03 2012-02-01 美光科技公司 形成图案的方法
CN103928292A (zh) * 2013-01-11 2014-07-16 中芯国际集成电路制造(上海)有限公司 条形结构的形成方法
CN105491796A (zh) * 2014-10-08 2016-04-13 宏启胜精密电子(秦皇岛)有限公司 电路板的制作方法
CN112086348A (zh) * 2020-08-31 2020-12-15 上海华力微电子有限公司 双重图形氧化硅芯轴制备方法

Families Citing this family (30)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7399712B1 (en) 2005-10-31 2008-07-15 Novellus Systems, Inc. Method for etching organic hardmasks
US8664124B2 (en) 2005-10-31 2014-03-04 Novellus Systems, Inc. Method for etching organic hardmasks
KR100823847B1 (ko) * 2006-12-20 2008-04-21 동부일렉트로닉스 주식회사 반도체 소자의 패턴 형성방법
TWI334163B (en) * 2007-03-30 2010-12-01 Nanya Technology Corp Method of pattern transfer
US8962101B2 (en) 2007-08-31 2015-02-24 Novellus Systems, Inc. Methods and apparatus for plasma-based deposition
JP2009065000A (ja) * 2007-09-07 2009-03-26 Tokyo Electron Ltd 基板の処理方法、プログラム、コンピュータ記憶媒体及び基板処理システム
US8043794B2 (en) * 2008-02-01 2011-10-25 Qimonda Ag Method of double patterning, method of processing a plurality of semiconductor wafers and semiconductor device
JP4550126B2 (ja) * 2008-04-25 2010-09-22 東京エレクトロン株式会社 エッチングマスク形成方法、エッチング方法、および半導体デバイスの製造方法
US8435608B1 (en) 2008-06-27 2013-05-07 Novellus Systems, Inc. Methods of depositing smooth and conformal ashable hard mask films
TWI409852B (zh) * 2009-12-31 2013-09-21 Inotera Memories Inc 利用自對準雙重圖案製作半導體元件微細結構的方法
KR101341024B1 (ko) * 2010-06-11 2013-12-13 엘지디스플레이 주식회사 박막 패턴의 제조 방법과 그를 가지는 평판 표시 소자
US20120085733A1 (en) * 2010-10-07 2012-04-12 Applied Materials, Inc. Self aligned triple patterning
US8461053B2 (en) * 2010-12-17 2013-06-11 Spansion Llc Self-aligned NAND flash select-gate wordlines for spacer double patterning
US8557649B2 (en) 2011-10-21 2013-10-15 International Business Machines Corporation Method for controlling structure height
TWI569334B (zh) * 2011-10-28 2017-02-01 聯華電子股份有限公司 半導體元件製造方法
CN103309165A (zh) * 2012-03-09 2013-09-18 中芯国际集成电路制造(上海)有限公司 半导体结构的形成方法
SG195494A1 (en) 2012-05-18 2013-12-30 Novellus Systems Inc Carbon deposition-etch-ash gap fill process
US9362133B2 (en) 2012-12-14 2016-06-07 Lam Research Corporation Method for forming a mask by etching conformal film on patterned ashable hardmask
US9304396B2 (en) 2013-02-25 2016-04-05 Lam Research Corporation PECVD films for EUV lithography
US9320387B2 (en) 2013-09-30 2016-04-26 Lam Research Corporation Sulfur doped carbon hard masks
US9589799B2 (en) 2013-09-30 2017-03-07 Lam Research Corporation High selectivity and low stress carbon hardmask by pulsed low frequency RF power
KR102185281B1 (ko) * 2014-01-09 2020-12-01 삼성전자 주식회사 자기 정렬 더블 패터닝 공정을 이용하여 반도체 소자의 패턴을 형성하는 방법
WO2015106261A1 (en) * 2014-01-13 2015-07-16 Applied Materials, Inc. Self-aligned double patterning with spatial atomic layer deposition
KR101848578B1 (ko) * 2014-01-28 2018-04-12 도쿄엘렉트론가부시키가이샤 원자층을 증착하지 않는 자가-정렬 더블 패터닝 방법
CN107924844B (zh) * 2016-03-24 2021-07-20 东京毅力科创株式会社 半导体装置的制造方法
US20170300608A1 (en) * 2016-04-19 2017-10-19 Globalfoundries Inc. Method, system and program product for sadp-friendly interconnect structure track generation
KR102419881B1 (ko) 2017-08-10 2022-07-12 캐논 가부시끼가이샤 패턴 형성 방법
US10699943B2 (en) * 2018-04-30 2020-06-30 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming contacts in a semiconductor device
TW202113121A (zh) 2019-05-29 2021-04-01 美商蘭姆研究公司 藉由高功率脈衝低頻率射頻產生的高選擇性、低應力、且低氫之類鑽石碳硬遮罩
WO2022138331A1 (ja) 2020-12-22 2022-06-30 キヤノン株式会社 膜形成方法および物品製造方法

Family Cites Families (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4572765A (en) * 1983-05-02 1986-02-25 Fairchild Camera & Instrument Corporation Method of fabricating integrated circuit structures using replica patterning
JPS59229876A (ja) * 1983-06-13 1984-12-24 Toshiba Corp シヨツトキ−ゲ−ト型電界効果トランジスタの製造方法
JPS6435916A (en) * 1987-07-31 1989-02-07 Hitachi Ltd Formation of fine pattern
JPH01114041A (ja) * 1987-10-27 1989-05-02 Nec Corp 微細パタン形成方法
JPH03177021A (ja) * 1989-12-05 1991-08-01 Fujitsu Ltd 半導体装置の製造方法
US5328810A (en) * 1990-05-07 1994-07-12 Micron Technology, Inc. Method for reducing, by a factor or 2-N, the minimum masking pitch of a photolithographic process
JP2624450B2 (ja) * 1994-02-10 1997-06-25 光技術研究開発株式会社 量子細線構造の製造方法
KR100195246B1 (ko) * 1996-09-13 1999-06-15 윤종용 Sog를 이용한 반도체장치에서의 콘택홀 제조방법
US6316169B1 (en) * 1999-06-25 2001-11-13 Lam Research Corporation Methods for reducing profile variation in photoresist trimming
JP3697426B2 (ja) 2002-04-24 2005-09-21 株式会社東芝 パターン形成方法および半導体装置の製造方法
US7105442B2 (en) * 2002-05-22 2006-09-12 Applied Materials, Inc. Ashable layers for reducing critical dimensions of integrated circuit features
KR100673099B1 (ko) * 2002-12-30 2007-01-22 주식회사 하이닉스반도체 반도체소자의 미세패턴 형성 방법
KR100640657B1 (ko) * 2005-07-25 2006-11-01 삼성전자주식회사 반도체 소자의 미세 패턴 형성 방법
KR100723506B1 (ko) 2005-10-11 2007-05-30 삼성전자주식회사 다중 포토리소그라피 공정을 이용한 미세 패턴 형성 방법
KR100801308B1 (ko) * 2005-11-12 2008-02-11 주식회사 하이닉스반도체 고선택비 하드마스크를 이용한 트렌치 형성 방법 및 그를이용한 반도체소자의 소자분리 방법
KR100714477B1 (ko) * 2005-12-07 2007-05-07 삼성전자주식회사 반도체 집적 회로 장치의 제조 방법
KR20070063319A (ko) * 2005-12-14 2007-06-19 주식회사 하이닉스반도체 반도체소자의 미세 라인패턴의 형성 방법
US7384874B2 (en) * 2006-09-29 2008-06-10 Hynix Semiconductor Method of forming hardmask pattern of semiconductor device

Cited By (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102341888A (zh) * 2009-03-03 2012-02-01 美光科技公司 形成图案的方法
US8703396B2 (en) 2009-03-03 2014-04-22 Micron Technology, Inc. Methods of forming patterns
US9034570B2 (en) 2009-03-03 2015-05-19 Micron Technology, Inc. Methods of forming patterns
CN102323716A (zh) * 2011-07-07 2012-01-18 西北工业大学 一种纳米结构的图形转移制作方法
CN103928292A (zh) * 2013-01-11 2014-07-16 中芯国际集成电路制造(上海)有限公司 条形结构的形成方法
CN103928292B (zh) * 2013-01-11 2016-09-28 中芯国际集成电路制造(上海)有限公司 条形结构的形成方法
CN105491796A (zh) * 2014-10-08 2016-04-13 宏启胜精密电子(秦皇岛)有限公司 电路板的制作方法
CN105491796B (zh) * 2014-10-08 2019-02-22 深圳市昶东鑫线路板有限公司 电路板的制作方法
CN112086348A (zh) * 2020-08-31 2020-12-15 上海华力微电子有限公司 双重图形氧化硅芯轴制备方法
CN112086348B (zh) * 2020-08-31 2022-11-29 上海华力微电子有限公司 双重图形氧化硅芯轴制备方法

Also Published As

Publication number Publication date
TW200849328A (en) 2008-12-16
CN101320673B (zh) 2010-06-09
JP2008306161A (ja) 2008-12-18
TWI362689B (en) 2012-04-21
US7576009B2 (en) 2009-08-18
JP5065058B2 (ja) 2012-10-31
KR100871967B1 (ko) 2008-12-08
US20080305642A1 (en) 2008-12-11

Similar Documents

Publication Publication Date Title
CN101320673B (zh) 形成半导体器件的精细图案的方法
CN101299408B (zh) 形成半导体器件的精细图案的方法
US8202683B2 (en) Method for forming pattern of semiconductor device
CN101335198B (zh) 形成半导体器件的精细图案的方法
US7745339B2 (en) Method for forming fine pattern of semiconductor device
US8940643B2 (en) Double patterning strategy for contact hole and trench in photolithography
US8445182B2 (en) Double exposure technology using high etching selectivity
JP4420592B2 (ja) 半導体素子の微細パターン形成方法
US8470708B2 (en) Double patterning strategy for contact hole and trench in photolithography
KR20160098183A (ko) Beol(back end of line) 상호접속의 제조시의 오버레이 개선을 위한 대각선 하드마스크
JP2010531051A (ja) 自己組織化材料を使用するピッチマルチプリケーション
CN101151716B (zh) 制造集成电路的方法
US20090170034A1 (en) Method for manufacturing semiconductor device
US20030017420A1 (en) Method to prevent pattern collapse in features etched in sulfur dioxide-containing plasmas
US6432816B2 (en) Method for fabricating semiconductor device
CN112017946A (zh) 半导体结构的形成方法、晶体管
US6448179B2 (en) Method for fabricating semiconductor device
CN103439862A (zh) 栅极lele双重图形成型方法
US11329218B2 (en) Multiply spin-coated ultra-thick hybrid hard mask for sub 60nm MRAM devices
US8372714B2 (en) Semiconductor device and method of manufacturing a semiconductor device
KR20070087728A (ko) 폴리머를 이용한 반도체 소자의 게이트 형성 방법
US7169711B1 (en) Method of using carbon spacers for critical dimension (CD) reduction
CN103441067A (zh) 应用于栅极线尾切割的双重图形成型方法
US7504341B2 (en) Method of manufacturing a semiconductor apparatus using a substrate processing agent
KR20010063763A (ko) 반도체 소자의 제조 방법

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
C17 Cessation of patent right
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20100609

Termination date: 20131220