CN101356303A - 用反相图案工艺形成凹陷结构的方法 - Google Patents

用反相图案工艺形成凹陷结构的方法 Download PDF

Info

Publication number
CN101356303A
CN101356303A CN200580016113.XA CN200580016113A CN101356303A CN 101356303 A CN101356303 A CN 101356303A CN 200580016113 A CN200580016113 A CN 200580016113A CN 101356303 A CN101356303 A CN 101356303A
Authority
CN
China
Prior art keywords
layer
feature
projection
conformal layer
transfer step
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN200580016113.XA
Other languages
English (en)
Other versions
CN101356303B (zh
Inventor
S·V·斯里尼瓦桑
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Canon Nanotechnologies Inc
Original Assignee
Molecular Imprints Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Molecular Imprints Inc filed Critical Molecular Imprints Inc
Publication of CN101356303A publication Critical patent/CN101356303A/zh
Application granted granted Critical
Publication of CN101356303B publication Critical patent/CN101356303B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81CPROCESSES OR APPARATUS SPECIALLY ADAPTED FOR THE MANUFACTURE OR TREATMENT OF MICROSTRUCTURAL DEVICES OR SYSTEMS
    • B81C1/00Manufacture or treatment of devices or systems in or on a substrate
    • B81C1/00436Shaping materials, i.e. techniques for structuring the substrate or the layers on the substrate
    • B81C1/00444Surface micromachining, i.e. structuring layers on the substrate
    • B81C1/0046Surface micromachining, i.e. structuring layers on the substrate using stamping, e.g. imprinting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3083Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/3086Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76816Aspects relating to the layout of the pattern or to the size of vias or trenches
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76817Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics using printing or stamping techniques

Abstract

本发明提供了在基板上形成凹陷的方法,所述方法包括在基板上形成具有第一特征的图案化层,对第一特征结构进行修整蚀刻,形成经过修整后具有一定形状的特征,然后将所述形状反相转移到基板上。

Description

用反相图案工艺形成凹陷结构的方法
发明背景
本发明总体上涉及结构的微型制造(micro-fabrication)。更具体地,本发明涉及采用修整蚀刻工艺形成凹陷结构,然后对凹陷结构进行反相成图。
微型制造涉及制造极小的结构,例如具有微米级或更小级别特征的结构。微型制造有相当影响的一个领域是对集成电路的加工。随着半导体加工工业继续朝着扩大产量和增加基板单位面积上电路数量的方向努力,微型制造变得越发重要。微型制造能够更好地进行加工控制,同时可以更大幅度地缩小所形成结构的最小特征尺寸。采用微型制造工艺的其他发展领域包括生物技术、光学技术、机械系统等。
在微型制造中缩小所形成结构的最小特征尺寸的方法在Plat等人的美国专利第6541360中有介绍。Plat等描述了双层修整蚀刻工艺,用以形成具有最小临界尺寸的集成电路栅极结构。更具体地,Plat等描述了一种多层结构,其形成方法是在一层多晶硅上沉积一个有机底层,在有机底层上沉积成像层,再在成像层上形成图案。然后用成像层作为硬掩模,有选择地对有机底层进行修整蚀刻,形成的图案小于通过成像层产生的图案。然后除去硬掩模成像层,最后利用有机底层上形成的图案对多晶硅层上的某些部分进行蚀刻。这样就形成了栅极图案,其宽度小于成像层上图案的宽度。
不过,现在所需要的是形成一种具有减小的临界尺寸的孔/沟道的结构的技术。
发明概述
本发明提供了在基板上形成凹陷的方法,所述方法包括在基板上形成具有第一特征结构的图案层,对第一特征结构进行修整蚀刻,形成经过修整后具有一定形状的特征结构,然后将所述形状反相转移到基板上。上述及其他实施方式将在下面充分地描述。
附图简述
图1是一种多层结构的简化正视图。
图2是通过压印平版印刷形成的一种多层结构的简化正视图。
图3是对图1所示多层结构进行修整蚀刻后得到的多层结构的简化正视图。
图4是对图3所示多层结构进行选择蚀刻后得到的多层结构的简化正视图。
图5是对图4所示多层结构进行浸渍蚀刻后得到的多层结构的简化正视图。
图6是图5所示多层结构沉积了保形层(conformal layer)之后的简化正视图。
图7是图6所示多层结构受到毯式蚀刻(blanket etch)并形成冠状表面后的简化正视图。
图8是图7所示多层结构,其冠状表面因受到蚀刻而露出基板的一些区域后的简化正视图。
图9是图8所示基板的中转入了多层结构的图案后的简化正视图。
图10是图5所示多层结构,其上根据本发明的另一实施方式沉积了保形层后的简化正视图。
图11是本发明另一实施方式中的多层结构的简化正视图。
图12是对图11所示多层结构进行修整蚀刻后的简化正视图。
图13是图12所示多层结构在根据本发明另一实施方式接受后处理之后的简化正视图。
图14是本发明另一实施方式中的多层结构的简化正视图。
图15是本发明另一实施方式中的多层结构的简化正视图。
发明详述
参见图1,图中示出一种多层结构40,它包含基板30、转移层37和成像层43,其中转移层37位于成像层43和基板30之间。基板30可由多种材料形成,这些材料包括但不限于:硅、砷化镓、石英、熔凝石英、蓝宝石、有机聚合物、硅氧烷聚合物、硼硅酸盐玻璃、氟碳聚合物或它们的组合。转移层37和成像层43可利用任何已知的技术形成,具体取决于材料和要求的用途。例如,用来从转移层37和成像层43以及基板30上除去材料的蚀刻工艺为半导体加工领域公知。采用何种蚀刻工艺取决于所用材料和所要求的用途。可用来沉积转移层37和成像层43的技术包括但不限于:化学气相沉积(CVD)、物理气相沉积(PVD)、溅射沉积、旋涂和液体分散。
转移层37可以是减反射涂层(BARC),如Brewer Science,Inc.(Rolla,Missouri)生产的DUV30J-6。此外,转移层37可以是例如含硅低k层或BCB层。在另一种实施方式中,用于转移层37的组合物可以不含硅,而是由以下组分组成:
组合物1
丙烯酸异冰片酯
丙烯酸正己酯
二丙烯酸乙二醇酯
2-羟基-2-甲基-1-苯基-丙-1-酮
在组合物1中,丙烯酸异冰片酯大约占组合物的55%,丙烯酸正己酯大约占27%,二丙烯酸乙二醇酯大约占15%,引发剂2-羟基-2-甲基-1-苯基-丙-1-酮大约占3%。引发剂由
Figure A20058001611300051
(Tarrytown,New York)以商品名
Figure A20058001611300052
销售。上面界定的组合物还包含为化学领域公知能够提高组合物的工作寿命的稳定剂。
成像层43具有许多特征44和45,为成像层43提供不同于转移层37的蚀刻性质。特征44和45可通过下面这样的技术形成,包括但不限于照相平版印刷(利用各种波长,包括G线、I线、248纳米、193纳米、157纳米和13.2-13.4纳米)、电子束平版印刷、X射线平版印刷、离子束平版印刷、原子束平版印刷和压印平版印刷。压印平版印刷在许多出版物中都有介绍,如美国公开专利申请2004/0065976,登记为美国专利申请10/264960,题为“Method and a Moldto Arrange Features on a Substrate to Replicate Features Having MinimalDimensional Variability”;美国公开专利申请2004/0065252,登记为美国专利申请10/264926,题为“Method of Forming a Layer on a Substrate to FacilitateFabrication of Metrology Standards”;以及美国公开专利申请2004/0046271,登记为美国专利申请10/235314,题为“Functional Patterning Material for ImprintLithography Processes”,这些专利全部授权于本发明的受权人,并在此引为参考。示例性平版印刷系统可以商品名IMPRIO 100TM购自Molecular Imprints,Inc.,它在美国德克萨斯州奥斯汀市布瑞克尔路1807-C号100室(1807-C BrakerLane,Suite 100,Austin,Texas,邮编78758)有一个办事处。要获得对IMPRIO100TM的系统的介绍,可访问www.molecularimprints.com,在此引为参考。
参见图1和2,如果用压印平版印刷法形成特征结构44和45,可能在成像层43上形成残余部分11,它与特征结构44重叠。因此,为了除去残余部分11,可能需要进行穿透蚀刻(break-through),以便形成图1所示的多层结构40。
参见图1,如上所述,特征44和45为成像层43提供的蚀刻性质不同于转移层37的蚀刻性质。最终,成像层43的蚀刻性质使成像层43能用作转移层37的硬掩模。为此,成像层43可由硅含量在3-40重量%之间的含硅有机材料形成,或者由其他可能光成像的材料形成。成像层43可通过旋涂法沉积到转移层37上,成像层43是具有足够厚度的含硅材料,这样对于给定的蚀刻工艺,它可以获得不同于转移层37的所需蚀刻特性。形成成像层43的示例性材料包括组合物2和组合物3,这将在后面更详细地讨论。将特征44和45在成像层43上形成图案以后,对多层结构40进行修整蚀刻加工。在另一个实施方式中,成像层43和转移层37可利用Rohm & Haas of Philadelphia,PA生产的SIBERTM DUV双层光刻胶平台形成。要获得对SIBERTM DUV双层光刻胶平台的说明,可访问http://electronicmaterials.rohmhaas.com//businesses//micro/lithography/248photo.a sp?caid=240,在此引为参考。
参见图3,修整蚀刻工艺在多层结构40中形成凸起42。每个凸起42包含特征45和转移层37与之重叠的部分,记作结构体47。图1中特征45的宽度“a1”可能在修整蚀刻加工中缩小,成为具有宽度“a2”的特征45。具体而言,在修整蚀刻加工中,从特征45上除去部分材料,因而图1所示的宽度“a1”大于宽度“a2”。类似地,从转移层37上除去部分材料。具体而言,转移层37部分进行修整蚀刻加工,因而图1所示的转移层37与特征44重叠的部分被除去。这得自于成像层43在修整蚀刻加工中对转移层37起到掩模作用的结果。转移层37与特征45重叠的部分也被蚀刻掉。按这种方式,结构体47沿其长度具有不同的尺寸。结构体47在它与基板30的界面上的宽度“b1”大于它与成像层43的界面上的宽度“b2”。宽度“b1”基本上等于或小于宽度“a2”,而宽度“b2”可小于宽度“a2”。
参见图3和4,对多层结构40进行上述修整蚀刻加工后,接着对多层结构40进行二次蚀刻加工,以使转移层37剩余部分具有均匀尺寸。具体而言,利用成像层43的剩余部分作掩模,对转移层37进行选择蚀刻。通过这种方式,形成多层结构140。多层结构140具有宽度为“c1”的凸起42。宽度“c1”与宽度“b2”基本上相同。在另一种实施方式中,宽度“c1”可小于宽度“b2”。多层结构140由于进行了上述蚀刻加工,特征45变成多棱角的,称作多面体(faceted)材料。棱角部分需要除去。除去棱角部分的方法取决于形成该部分的材料。为此,除去多面体材料的一种方法是将该材料浸在氢氟酸(HF)中。在另一种情况下,形成棱角部分的材料可以是对光有响应的材料,因而可使该材料接受辐射,然后用化学试剂处理,来除去棱角部分,类似于光蚀刻材料。但是,希望除去棱角部分的方法能够尽可能少地或者完全避免使凸起42的剩余部分形成棱角,而形成图5所示的凸起54。
参见图5和6,除去棱角部分之后,将凸起54的反相图案转移到基板30上。为此,可在凸起54上沉积保形层46,形成多层结构340。这可通过包括但不限于旋涂技术、接触平坦化等在内的方法实现。为此,保形层46可由可聚合材料形成。形成保形层46的示例性组合物如下:
组合物2
含羟基官能团的聚硅氧烷
六甲氧基甲基蜜胺
甲苯磺酸
甲基戊基酮
组合物3
含羟基官能团的聚硅氧烷
六甲氧基甲基蜜胺
γ-(环氧丙氧基)丙基三甲氧基硅烷
甲苯磺酸
甲基戊基酮
在组合物2中,含羟基官能团的聚硅氧烷约占组合物的4%,六甲氧基甲基蜜胺约占0.95%,甲苯磺酸约占0.05%,甲基戊基酮大约占95%。在组合物3中,含羟基官能团的聚硅氧烷约占组合物的4%,六甲氧基甲基蜜胺约占0.7%,γ-(环氧丙氧基)丙基三甲氧基硅烷约占0.25%,甲基苯磺酸大约占0.05%,甲基戊基酮大约占95%。
保形层46包含第一和第二两个相对的面,第一个面48向着基板30,第二个面背向基板30,形成基准表面50。基准表面50上具有基本标准化的外形,以确保凸起54与基准表面50之间的距离k1、k3、k5、k7和k9基本相等,且凹陷58与基准表面50之间的距离k2、k4、k6和k8也基本相等。
形成带有标准化外形的基准表面50的一种方法是使保形层46与带有平坦表面62的平坦化模具60接触。之后,使平坦化模具60与保形层46分离,对保形层46进行辐照,使之发生聚合,从而固化。施加在保形层46上的辐射可以是紫外线辐射、热辐射、电磁辐射、可见光辐射、热等。在另一种实施方式中,可以在将平坦化模具60从保形层46上分离之前,对保形层46施加辐照。为了确保保形层46不粘附在平坦化模具60上,可以在平坦化模具60上沉积低表面能的涂层64。
在另一种情况下,可以在制造保形层46的材料中加入表面活性剂,以改善保形层46的剥离性质。表面活性剂提供了所需的剥离性质,减少保形层46粘附到平坦化模具60上的倾向。就本发明目的而言,表面活性剂可定义为一端为疏水性的任何分子。表面活性剂可以含氟,例如包含氟链,也可以在表面活性剂分子结构中不含任何氟。一种示例性表面活性剂可以商品名
Figure A20058001611300081
FSO-100购自DUPONTTM,其结构通式为R1R2,其中R1=F(CF2CF2)y,y在1-7之间(含端值),R2=CH2CH2O(CH2CH2O)xH,其中x在0-13之间(含端值)。应当理解,表面活性剂可以与施涂到平坦化模具60上的低表面能涂层64同时使用,也可以代替后者。
参见图6和7,用毯式蚀刻除去保形层46的某些部分,形成带有冠状表面66的多层结构340。冠状表面66由每个凸起54的露出表面68和经毯式蚀刻后保留在保形层46上的上表面部分70限定。毯式蚀刻可以是湿蚀刻或干蚀刻。在另一种实施方式中,可以利用化学机械抛光/平坦化来除去部分保形层46的某些部分,得到带冠状表面66的多层结构340。
参见图6、7和8,对冠状表面66进行各向异性等离子蚀刻。适当选择进行各向异性蚀刻的蚀刻剂化学性,以最大程度蚀刻凸起54,同时最大程度减少对凸起70的蚀刻。本实施例利用了凸起54与保形层46之间硅含量的差异。具体而言,用基于氧的化学性质进行等离子蚀刻,可决定在凸起70靠近冠状表面66的区域产生原位硬化的掩模72,从而形成多层结构440。这是含硅可聚合材料与氧等离子体相互作用的结果。因为硬化的掩模72产生和各向异性蚀刻加工的结果,与凸起54重叠的区域74被露出。
参见图8和9,多层结构440限定的图案可构成转移到基板30中的图案基础。具体而言,由多层结构440所确定的结构的形状可以通过利用各向异性的氟等离子体蚀刻而转移到基板30中。此方法的优点是可以在基板30中形成尺寸比形成了图案的层小得多的凹陷,所述形成了图案的层如图1所示的成像层43,它构成凹陷形状的基础。同样,如果图6所示的保形层46由含硅的光响应材料形成,图6所示的保形层可以通过与清除光蚀刻材料相一致的方法来除去。这样做的结果是不必采用毯式氟蚀刻。
参见图4和10,所示另一种实施方式避免了采用上面讨论的HF浸渍法。具体说来,在形成凸起42之后沉积保形层46。为此,用具有类似蚀刻特性的材料制造保形层46和凸起42的多棱角区域。具体说来,多棱角区域的蚀刻速率不宜超过保形层46的蚀刻速率。这样,可以进行毯式蚀刻,如上面结合图6和7所讨论的那样。但应当理解,其表面不必像图7中所示冠状表面66那样平坦。此后,就可以像上面结合图7、8和9所讨论的那样,在基板30的区域74中形成凹陷。
参见图11,所示的本发明另一实施方式表明,可以用前述方法在原本存在于基板30上的层中形成凹陷结构。为此,所示的多层结构540具有基板30、底层141、转移层137和成像层143,其中底层141位于转移层137和基板30之间,转移层137位于成像层143和底层141之间。转移层137和成像层143可以分别用前面介绍图1所示的转移层37和成像层43时提到的材料形成,而且可以分别用前面介绍图1所示转移层37和成像层43时提到的方法形成。
底层141可由低k材料形成,如含硅低k材料、BCB、二氧化硅、旋涂玻璃、FSG和多晶硅。底层141可以用前面对绍图1所示转移层37和成像层43讨论的任何技术形成。在一个示例性实施方式中,底层141可用旋涂技术沉积在基板30上,由含硅低k介电材料形成。
参见图12和13,如前面介绍图1所示成像层43和转移层37时所提到的,成像层143的蚀刻性质与转移层137的蚀刻性质不同。这样,可以采用修整蚀刻方法形成凸起142。如图所示,凸起142按照上面参见图3讨论过的方式形成,但不同于图3中在基板30和特征45之间延伸的结构体47,在特征145和底层141之间延伸的结构体147。对多层结构540进行上述修整蚀刻加工后,可以如前面结合图4、5、6、7、8和9所讨论的那样进行其它加工,在底层141中得到凹陷结构174。虽然图中没有示出,但凹陷结构174可以完全穿过底层141而终止于基板30。
参见图14,在另一种实施方式中,成像层43可位于基板30之上,形成多层结构640。成像层43可由有机光刻胶形成,如Rohm & Haas of Philadelphia,PA生产的I-线、193纳米和248纳米照相平版印刷的光刻胶。要获得对I-线、193纳米和248纳米照相平版印刷抗蚀刻剂的说明,可访问http://electronicmaterials.rohmhaas.com//businesses//micro/lithography/248photo.asp?caid=235,在此引为参考。成像层43也可用购自Zeon公司(日本东京)的电子束有机光刻胶形成。要获得对电子束有机光刻胶的介绍,可访问http://www.zeon.co.jp/business-e/enterprise/imagelec/zep7000.html,在此引为参考。为缩小特征45的宽度“a1”,可用各向同性蚀刻组分对成像层43进行有机蚀刻。但是,这可能使特征45形成棱角,称作多面体材料。可以如前面结合图4、5、6、7、8和9所讨论的进行额外的加工,将多层结构640界定的结构的形状转移到基板30中。但是,对多层结构640,采用前面参见图6和7时提到的毯式蚀刻加工,可能需要过度蚀刻,以消除上面提到的多面体材料。
参见图15,在另一种实施方式中,成像层143可以位于底层141上,形成多层结构740,其中多层结构740可以用上面参照多层结构640时提到的方法进行加工,将多层结构740界定的结构形状转移到衬层141上。
上面介绍的本发明实施方式是示例性的。可以对上面所介绍的内容进行许多变更和改进,它们仍然在本发明的范围之内。因此,本发明的范围应当由附属权利要求及其全部等价要求确定。

Claims (10)

1.在表面上形成凹陷的方法,所述方法包括:
在所述表面上形成具有特征的图案化层,该特征具有第一尺寸和形状;
将所述形状反相转移到所述表面,该表面具有不同于所述第一尺寸的第二尺寸。
2.如权利要求1所述的方法,其特征在于,所述转移步骤还包括将所述形状反相转移到一个基板上。
3.如权利要求1所述的方法,其特征在于,所述转移步骤还包括将所述形状反相转移到一个底层上。
4.如权利要求1所述的方法,其特征在于,所述转移步骤还包括将所述形状反相转移到所述表面与所述特征中的一个特征重叠的区域中。
5.如权利要求1所述的方法,其特征在于,所述转移步骤还包括将所述形状反相转移到底层与所述特征相重叠的区域中。
6.如权利要求1所述的方法,其特征在于,所述转移步骤还包括形成从所述特征延伸到所述表面的许多凸起,用保形层覆盖所述许多凸起,其中所述保形层和所述凸起的子部分由具有可比的硅含量的材料形成。
7.如权利要求1所述的方法,其特征在于,所述转移步骤还包括形成许多从所述特征延伸到所述表面的凸起,用保形层覆盖所述许多凸起,其中所述保形层和所述凸起的第一子部分由具有可比的硅含量的材料形成,所述凸起的第二子部分的硅含量不同于所述第一部分。
8.如权利要求1所述的方法,其特征在于,所述转移步骤还包括形成许多从所述特征延伸到所述表面的凸起,用保形层覆盖所述许多凸起,其中所述保形层和所述凸起的第一部分对于给定的蚀刻化学物质具有类似的蚀刻特性,所述凸起的第二部分相对于给定蚀刻化学物质的蚀刻特性不同于所述保形层。
9.如权利要求1所述的方法,其特征在于,所述第二尺寸小于所述第一尺寸。
10.如权利要求1所述的方法,其特征在于,所述形成步骤还包括将转移层定位在所述图案化层和所述表面之间,所述转移步骤还包括对所述转移层进行蚀刻,形成许多凸起,该凸起沿第一方向的尺寸基本上等于所述反相形状沿所述第一方向的尺寸。
CN200580016113.XA 2004-05-21 2005-05-19 用反相图案工艺形成凹陷结构的方法 Active CN101356303B (zh)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US85087604A 2004-05-21 2004-05-21
US10/850,876 2004-05-21
US10/946,570 2004-09-21
US10/946,570 US7186656B2 (en) 2004-05-21 2004-09-21 Method of forming a recessed structure employing a reverse tone process
PCT/US2005/017756 WO2005114719A2 (en) 2004-05-21 2005-05-19 Method of forming a recessed structure employing a reverse tone process

Publications (2)

Publication Number Publication Date
CN101356303A true CN101356303A (zh) 2009-01-28
CN101356303B CN101356303B (zh) 2012-04-04

Family

ID=35429090

Family Applications (1)

Application Number Title Priority Date Filing Date
CN200580016113.XA Active CN101356303B (zh) 2004-05-21 2005-05-19 用反相图案工艺形成凹陷结构的方法

Country Status (7)

Country Link
US (1) US7186656B2 (zh)
EP (1) EP1761949A4 (zh)
JP (2) JP5059608B2 (zh)
KR (1) KR101139302B1 (zh)
CN (1) CN101356303B (zh)
TW (1) TWI289326B (zh)
WO (1) WO2005114719A2 (zh)

Families Citing this family (32)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7323417B2 (en) * 2004-09-21 2008-01-29 Molecular Imprints, Inc. Method of forming a recessed structure employing a reverse tone process
US7396475B2 (en) * 2003-04-25 2008-07-08 Molecular Imprints, Inc. Method of forming stepped structures employing imprint lithography
US7906180B2 (en) * 2004-02-27 2011-03-15 Molecular Imprints, Inc. Composition for an etching mask comprising a silicon-containing material
US7384569B1 (en) * 2004-08-02 2008-06-10 Advanced Micro Devices, Inc. Imprint lithography mask trimming for imprint mask using etch
US7547504B2 (en) * 2004-09-21 2009-06-16 Molecular Imprints, Inc. Pattern reversal employing thick residual layers
US7252777B2 (en) * 2004-09-21 2007-08-07 Molecular Imprints, Inc. Method of forming an in-situ recessed structure
US7585424B2 (en) * 2005-01-18 2009-09-08 Hewlett-Packard Development Company, L.P. Pattern reversal process for self aligned imprint lithography and device
JP4247198B2 (ja) * 2005-03-31 2009-04-02 株式会社東芝 半導体装置の製造方法
US7482280B2 (en) * 2005-08-15 2009-01-27 Taiwan Semiconductor Manufacturing Company, Ltd. Method for forming a lithography pattern
US20070077763A1 (en) * 2005-09-30 2007-04-05 Molecular Imprints, Inc. Deposition technique to planarize a multi-layer structure
US7759253B2 (en) * 2006-08-07 2010-07-20 Taiwan Semiconductor Manufacturing Company, Ltd. Method and material for forming a double exposure lithography pattern
US7767570B2 (en) 2006-03-22 2010-08-03 Taiwan Semiconductor Manufacturing Company, Ltd. Dummy vias for damascene process
US7776628B2 (en) * 2006-11-16 2010-08-17 International Business Machines Corporation Method and system for tone inverting of residual layer tolerant imprint lithography
WO2008157640A2 (en) 2007-06-18 2008-12-24 Illumina, Inc. Microfabrication methods for the optimal patterning of substrates
US8136224B1 (en) 2008-05-15 2012-03-20 Western Digital (Fremont), Llc Method and system for providing a perpendicular magnetic recording head utilizing a mask having an undercut line
US20110117746A1 (en) * 2008-07-24 2011-05-19 Nissan Chemical Industries, Ltd. Coating composition and pattern forming method
US8415010B2 (en) * 2008-10-20 2013-04-09 Molecular Imprints, Inc. Nano-imprint lithography stack with enhanced adhesion between silicon-containing and non-silicon containing layers
US8529778B2 (en) * 2008-11-13 2013-09-10 Molecular Imprints, Inc. Large area patterning of nano-sized shapes
SG174889A1 (en) * 2009-03-23 2011-11-28 Intevac Inc A process for optimization of island to trench ratio in patterned media
US8492009B1 (en) 2009-08-25 2013-07-23 Wd Media, Inc. Electrochemical etching of magnetic recording layer
NL2007161A (en) 2010-09-09 2012-03-12 Asml Netherlands Bv Lithography using self-assembled polymers.
EP2635419B1 (en) 2010-11-05 2020-06-17 Molecular Imprints, Inc. Patterning of non-convex shaped nanostructures
EP2718465B1 (en) 2011-06-09 2022-04-13 Illumina, Inc. Method of making an analyte array
EP2771103B1 (en) 2011-10-28 2017-08-16 Illumina, Inc. Microarray fabrication system and method
US8870345B2 (en) * 2012-07-16 2014-10-28 Xerox Corporation Method of making superoleophobic re-entrant resist structures
KR20140046266A (ko) * 2012-10-10 2014-04-18 삼성디스플레이 주식회사 패턴 형성 장치, 패턴 형성 장치의 제조 방법 및 패턴 형성 방법
US9105295B2 (en) * 2013-02-25 2015-08-11 HGST Netherlands B.V. Pattern tone reversal
WO2015103232A1 (en) 2013-12-30 2015-07-09 Canon Nanotechnologies, Inc. Methods for uniform imprint pattern transfer of sub-20 nm features
KR102279239B1 (ko) 2014-07-25 2021-07-19 삼성전자주식회사 임프린트 공정을 이용한 역상 패턴 전사방법
US10580659B2 (en) 2017-09-14 2020-03-03 Canon Kabushiki Kaisha Planarization process and apparatus
US10304744B1 (en) 2018-05-15 2019-05-28 International Business Machines Corporation Inverse tone direct print EUV lithography enabled by selective material deposition
US11398377B2 (en) 2020-01-14 2022-07-26 International Business Machines Corporation Bilayer hardmask for direct print lithography

Family Cites Families (114)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS6053675B2 (ja) * 1978-09-20 1985-11-27 富士写真フイルム株式会社 スピンコ−テイング方法
US4426247A (en) * 1982-04-12 1984-01-17 Nippon Telegraph & Telephone Public Corporation Method for forming micropattern
US4507331A (en) * 1983-12-12 1985-03-26 International Business Machines Corporation Dry process for forming positive tone micro patterns
US4512848A (en) * 1984-02-06 1985-04-23 Exxon Research And Engineering Co. Procedure for fabrication of microstructures over large areas using physical replication
JPS61190947A (ja) * 1985-02-19 1986-08-25 Toshiba Corp 微細パタ−ンの形成方法
US4657845A (en) * 1986-01-14 1987-04-14 International Business Machines Corporation Positive tone oxygen plasma developable photoresist
US4692205A (en) * 1986-01-31 1987-09-08 International Business Machines Corporation Silicon-containing polyimides as oxygen etch stop and dual dielectric coatings
FR2604553A1 (fr) * 1986-09-29 1988-04-01 Rhone Poulenc Chimie Substrat polymere rigide pour disque optique et les disques optiques obtenus a partir dudit substrat
JPS63155621A (ja) * 1986-12-18 1988-06-28 Oki Electric Ind Co Ltd 半導体装置の製造方法
US4931351A (en) * 1987-01-12 1990-06-05 Eastman Kodak Company Bilayer lithographic process
US4731155A (en) * 1987-04-15 1988-03-15 General Electric Company Process for forming a lithographic mask
US4936951A (en) 1987-10-26 1990-06-26 Matsushita Electric Industrial Co., Ltd. Method of reducing proximity effect in electron beam resists
US5028366A (en) * 1988-01-12 1991-07-02 Air Products And Chemicals, Inc. Water based mold release compositions for making molded polyurethane foam
US4891303A (en) * 1988-05-26 1990-01-02 Texas Instruments Incorporated Trilayer microlithographic process using a silicon-based resist as the middle layer
CA2010169A1 (en) 1989-02-21 1990-08-21 Masakazu Uekita Multi-layer resist
JP3001607B2 (ja) * 1989-04-24 2000-01-24 シーメンス、アクチエンゲゼルシヤフト 二層法における寸法安定な構造転写方法
EP0394741B1 (de) 1989-04-24 1997-06-25 Siemens Aktiengesellschaft Verfahren zur Erzeugung ätzresistenter Strukturen
US5110514A (en) * 1989-05-01 1992-05-05 Soane Technologies, Inc. Controlled casting of a shrinkable material
JP2586692B2 (ja) * 1990-05-24 1997-03-05 松下電器産業株式会社 パターン形成材料およびパターン形成方法
DE4029912A1 (de) * 1990-09-21 1992-03-26 Philips Patentverwaltung Verfahren zur bildung mindestens eines grabens in einer substratschicht
US5314772A (en) * 1990-10-09 1994-05-24 Arizona Board Of Regents High resolution, multi-layer resist for microlithography and method therefor
JPH0580530A (ja) 1991-09-24 1993-04-02 Hitachi Ltd 薄膜パターン製造方法
US5545367A (en) * 1992-04-15 1996-08-13 Soane Technologies, Inc. Rapid prototype three dimensional stereolithography
US5601641A (en) * 1992-07-21 1997-02-11 Tse Industries, Inc. Mold release composition with polybutadiene and method of coating a mold core
JPH06244156A (ja) * 1993-02-15 1994-09-02 Nippon Telegr & Teleph Corp <Ntt> パタ―ン形成法
DE69405451T2 (de) * 1993-03-16 1998-03-12 Koninkl Philips Electronics Nv Verfahren und Vorrichtung zur Herstellung eines strukturierten Reliefbildes aus vernetztem Photoresist auf einer flachen Substratoberfläche
US5348616A (en) * 1993-05-03 1994-09-20 Motorola, Inc. Method for patterning a mold
JP2837063B2 (ja) * 1993-06-04 1998-12-14 シャープ株式会社 レジストパターンの形成方法
US5512131A (en) * 1993-10-04 1996-04-30 President And Fellows Of Harvard College Formation of microstamped patterns on surfaces and derivative articles
US6776094B1 (en) * 1993-10-04 2004-08-17 President & Fellows Of Harvard College Kit For Microcontact Printing
US5776748A (en) * 1993-10-04 1998-07-07 President And Fellows Of Harvard College Method of formation of microstamped patterns on plates for adhesion of cells and other biological materials, devices and uses therefor
KR970009858B1 (ko) 1994-01-12 1997-06-18 엘지반도체 주식회사 다층 레지스트 패턴 형성방법
US5434107A (en) * 1994-01-28 1995-07-18 Texas Instruments Incorporated Method for planarization
JPH08262717A (ja) * 1995-03-27 1996-10-11 Fujitsu Ltd レジスト組成物及びレジストパターンの形成方法
US5849209A (en) 1995-03-31 1998-12-15 Johnson & Johnson Vision Products, Inc. Mold material made with additives
US5743998A (en) * 1995-04-19 1998-04-28 Park Scientific Instruments Process for transferring microminiature patterns using spin-on glass resist media
US5820769A (en) * 1995-05-24 1998-10-13 Regents Of The University Of Minnesota Method for making magnetic storage having discrete elements with quantized magnetic moments
US5597438A (en) * 1995-09-14 1997-01-28 Siemens Aktiengesellschaft Etch chamber having three independently controlled electrodes
US5849222A (en) 1995-09-29 1998-12-15 Johnson & Johnson Vision Products, Inc. Method for reducing lens hole defects in production of contact lens blanks
US6518189B1 (en) 1995-11-15 2003-02-11 Regents Of The University Of Minnesota Method and apparatus for high density nanostructures
US20040137734A1 (en) * 1995-11-15 2004-07-15 Princeton University Compositions and processes for nanoimprinting
US5772905A (en) * 1995-11-15 1998-06-30 Regents Of The University Of Minnesota Nanoimprint lithography
US6482742B1 (en) * 2000-07-18 2002-11-19 Stephen Y. Chou Fluid pressure imprint lithography
US6309580B1 (en) * 1995-11-15 2001-10-30 Regents Of The University Of Minnesota Release surfaces, particularly for use in nanoimprint lithography
US20040036201A1 (en) * 2000-07-18 2004-02-26 Princeton University Methods and apparatus of field-induced pressure imprint lithography
US20030080471A1 (en) * 2001-10-29 2003-05-01 Chou Stephen Y. Lithographic method for molding pattern with nanoscale features
US7758794B2 (en) * 2001-10-29 2010-07-20 Princeton University Method of making an article comprising nanoscale patterns with reduced edge roughness
US5684066A (en) * 1995-12-04 1997-11-04 H.B. Fuller Licensing & Financing, Inc. Protective coatings having enhanced properties
US5669303A (en) * 1996-03-04 1997-09-23 Motorola Apparatus and method for stamping a surface
US6355198B1 (en) * 1996-03-15 2002-03-12 President And Fellows Of Harvard College Method of forming articles including waveguides via capillary micromolding and microtransfer molding
US5942443A (en) * 1996-06-28 1999-08-24 Caliper Technologies Corporation High throughput screening assay systems in microscale fluidic devices
US5888650A (en) * 1996-06-03 1999-03-30 Minnesota Mining And Manufacturing Company Temperature-responsive adhesive article
US6074827A (en) * 1996-07-30 2000-06-13 Aclara Biosciences, Inc. Microfluidic method for nucleic acid purification and processing
US5942449A (en) * 1996-08-28 1999-08-24 Micron Technology, Inc. Method for removing an upper layer of material from a semiconductor wafer
JP3258240B2 (ja) * 1996-09-10 2002-02-18 株式会社日立製作所 エッチング方法
US5948470A (en) * 1997-04-28 1999-09-07 Harrison; Christopher Method of nanoscale patterning and products made thereby
US6071372A (en) * 1997-06-05 2000-06-06 Applied Materials, Inc. RF plasma etch reactor with internal inductive coil antenna and electrically conductive chamber walls
US6033977A (en) * 1997-06-30 2000-03-07 Siemens Aktiengesellschaft Dual damascene structure
JP3780700B2 (ja) 1998-05-26 2006-05-31 セイコーエプソン株式会社 パターン形成方法、パターン形成装置、パターン形成用版、パターン形成用版の製造方法、カラーフィルタの製造方法、導電膜の製造方法及び液晶パネルの製造方法
DE19828969A1 (de) 1998-06-29 1999-12-30 Siemens Ag Verfahren zur Herstellung von Halbleiterbauelementen
US5907782A (en) * 1998-08-15 1999-05-25 Acer Semiconductor Manufacturing Inc. Method of forming a multiple fin-pillar capacitor for a high density dram cell
US6096655A (en) * 1998-09-02 2000-08-01 International Business Machines, Corporation Method for forming vias and trenches in an insulation layer for a dual-damascene multilevel interconnection structure
US6713238B1 (en) * 1998-10-09 2004-03-30 Stephen Y. Chou Microscale patterning and articles formed thereby
US6218316B1 (en) * 1998-10-22 2001-04-17 Micron Technology, Inc. Planarization of non-planar surfaces in device fabrication
CN2349218Y (zh) * 1998-11-18 1999-11-17 中国科学院长春光学精密机械研究所 一种用于准分子激光刻蚀的附着式掩膜组件
US6334960B1 (en) * 1999-03-11 2002-01-01 Board Of Regents, The University Of Texas System Step and flash imprint lithography
JP4286374B2 (ja) * 1999-03-30 2009-06-24 新日鐵化学株式会社 シリコーン樹脂及びこれを含有する感光性樹脂組成物
US6342097B1 (en) * 1999-04-23 2002-01-29 Sdc Coatings, Inc. Composition for providing an abrasion resistant coating on a substrate with a matched refractive index and controlled tintability
JP2000323461A (ja) 1999-05-11 2000-11-24 Nec Corp 微細パターン形成装置、その製造方法、および形成方法
US6150190A (en) 1999-05-27 2000-11-21 Motorola Inc. Method of formation of buried mirror semiconductive device
US6517995B1 (en) * 1999-09-14 2003-02-11 Massachusetts Institute Of Technology Fabrication of finely featured devices by liquid embossing
US6329256B1 (en) 1999-09-24 2001-12-11 Advanced Micro Devices, Inc. Self-aligned damascene gate formation with low gate resistance
TW442961B (en) * 1999-10-08 2001-06-23 Taiwan Semiconductor Mfg Manufacturing method of double-recess crown capacitor of DRAM
DE19958966A1 (de) * 1999-12-07 2001-06-13 Infineon Technologies Ag Erzeugung von Resiststrukturen
AU779699B2 (en) * 1999-12-23 2005-02-10 Universitat Konstanz Methods and apparatus for forming submicron patterns on films
US6245581B1 (en) * 2000-04-19 2001-06-12 Advanced Micro Devices, Inc. Method and apparatus for control of critical dimension using feedback etch control
US6566258B1 (en) * 2000-05-10 2003-05-20 Applied Materials, Inc. Bi-layer etch stop for inter-level via
US6759325B2 (en) * 2000-05-15 2004-07-06 Asm Microchemistry Oy Sealing porous structures
US6482733B2 (en) * 2000-05-15 2002-11-19 Asm Microchemistry Oy Protective layers prior to alternating layer deposition
US20050037143A1 (en) * 2000-07-18 2005-02-17 Chou Stephen Y. Imprint lithography with improved monitoring and control and apparatus therefor
US7211214B2 (en) * 2000-07-18 2007-05-01 Princeton University Laser assisted direct imprint lithography
US7635262B2 (en) * 2000-07-18 2009-12-22 Princeton University Lithographic apparatus for fluid pressure imprint lithography
US6326627B1 (en) 2000-08-02 2001-12-04 Archimedes Technology Group, Inc. Mass filtering sputtered ion source
US6730256B1 (en) * 2000-08-04 2004-05-04 Massachusetts Institute Of Technology Stereolithographic patterning with interlayer surface modifications
US6777170B1 (en) * 2000-08-04 2004-08-17 Massachusetts Institute Of Technology Stereolithographic patterning by variable dose light delivery
US6468853B1 (en) 2000-08-18 2002-10-22 Chartered Semiconductor Manufacturing Ltd. Method of fabricating a shallow trench isolation structure with reduced local oxide recess near corner
US6455411B1 (en) * 2000-09-11 2002-09-24 Texas Instruments Incorporated Defect and etch rate control in trench etch for dual damascene patterning of low-k dielectrics
JP3848070B2 (ja) 2000-09-27 2006-11-22 株式会社東芝 パターン形成方法
EP1352295B1 (en) * 2000-10-12 2015-12-23 Board of Regents, The University of Texas System Template for room temperature, low pressure micro- and nano-imprint lithography
US6387787B1 (en) * 2001-03-02 2002-05-14 Motorola, Inc. Lithographic template and method of formation and use
US6541360B1 (en) * 2001-04-30 2003-04-01 Advanced Micro Devices, Inc. Bi-layer trim etch process to form integrated circuit gate structures
CN100347608C (zh) * 2001-09-25 2007-11-07 米卢塔技术株式会社 利用毛细作用力在基体上形成微型图案的方法
US6716767B2 (en) * 2001-10-31 2004-04-06 Brewer Science, Inc. Contact planarization materials that generate no volatile byproducts or residue during curing
US6489237B1 (en) * 2001-12-04 2002-12-03 Taiwan Semiconductor Manufacturing Company Method of patterning lines in semiconductor devices
US6767202B2 (en) * 2001-12-18 2004-07-27 3M Innovative Properties Company Tooling with helical coils for structured surface articles
DE10200678B4 (de) * 2002-01-10 2006-05-11 Infineon Technologies Ag Verfahren zum Bearbeiten eines Substrats zum Ausbilden einer Struktur
US6737202B2 (en) 2002-02-22 2004-05-18 Motorola, Inc. Method of fabricating a tiered structure using a multi-layered resist stack and use
US7455955B2 (en) * 2002-02-27 2008-11-25 Brewer Science Inc. Planarization method for multi-layer lithography processing
US6743713B2 (en) * 2002-05-15 2004-06-01 Institute Of Microelectronics Method of forming dual damascene pattern using dual bottom anti-reflective coatings (BARC)
US6495430B1 (en) 2002-05-21 2002-12-17 Macronix International Co., Ltd. Process for fabricating sharp corner-free shallow trench isolation structure
US6849558B2 (en) * 2002-05-22 2005-02-01 The Board Of Trustees Of The Leland Stanford Junior University Replication and transfer of microstructures and nanostructures
US6900881B2 (en) * 2002-07-11 2005-05-31 Molecular Imprints, Inc. Step and repeat imprint lithography systems
US6932934B2 (en) * 2002-07-11 2005-08-23 Molecular Imprints, Inc. Formation of discontinuous films during an imprint lithography process
US6908861B2 (en) * 2002-07-11 2005-06-21 Molecular Imprints, Inc. Method for imprint lithography using an electric field
US7077992B2 (en) * 2002-07-11 2006-07-18 Molecular Imprints, Inc. Step and repeat imprint lithography processes
US7027156B2 (en) * 2002-08-01 2006-04-11 Molecular Imprints, Inc. Scatterometry alignment for imprint lithography
US6916584B2 (en) * 2002-08-01 2005-07-12 Molecular Imprints, Inc. Alignment methods for imprint lithography
US7070405B2 (en) * 2002-08-01 2006-07-04 Molecular Imprints, Inc. Alignment systems for imprint lithography
US6820677B2 (en) 2002-08-20 2004-11-23 Ford Motor Company Method of making a spray formed article
US7750059B2 (en) * 2002-12-04 2010-07-06 Hewlett-Packard Development Company, L.P. Polymer solution for nanoimprint lithography to reduce imprint temperature and pressure
US6770852B1 (en) * 2003-02-27 2004-08-03 Lam Research Corporation Critical dimension variation compensation across a wafer by means of local wafer temperature control
US7179396B2 (en) * 2003-03-25 2007-02-20 Molecular Imprints, Inc. Positive tone bi-layer imprint lithography method
JP4651390B2 (ja) * 2003-03-27 2011-03-16 コリア・インスティテュート・オブ・マシナリー・アンド・マテリアルズ 多重浮彫要素スタンプを利用したuvナノインプリントリソグラフィ法
US7396475B2 (en) * 2003-04-25 2008-07-08 Molecular Imprints, Inc. Method of forming stepped structures employing imprint lithography

Also Published As

Publication number Publication date
WO2005114719A3 (en) 2008-10-09
WO2005114719A2 (en) 2005-12-01
US7186656B2 (en) 2007-03-06
US20050260848A1 (en) 2005-11-24
JP5059608B2 (ja) 2012-10-24
JP2012054612A (ja) 2012-03-15
EP1761949A4 (en) 2011-04-20
KR101139302B1 (ko) 2012-05-25
JP5563544B2 (ja) 2014-07-30
CN101356303B (zh) 2012-04-04
EP1761949A2 (en) 2007-03-14
TW200603261A (en) 2006-01-16
KR20070013305A (ko) 2007-01-30
TWI289326B (en) 2007-11-01
JP2008517448A (ja) 2008-05-22

Similar Documents

Publication Publication Date Title
CN101356303B (zh) 用反相图案工艺形成凹陷结构的方法
US7323417B2 (en) Method of forming a recessed structure employing a reverse tone process
CN102084300B (zh) 超精细图案化掩模、其生产方法以及将其用于形成超精细图案的方法
CN104064457B (zh) 半导体装置
TWI493626B (zh) 使蝕刻底蝕最小化並提供完全金屬剝落(liftoff)的方法
US5234780A (en) Exposure mask, method of manufacturing the same, and exposure method using the same
US7357876B2 (en) Eliminating printability of sub-resolution defects in imprint lithography
US8551566B2 (en) Directed material assembly
KR101988193B1 (ko) 화학적 폴리싱 및 평탄화를 위한 방법
CN105589299A (zh) 用于形成浮雕图像的方法
US7985530B2 (en) Etch-enhanced technique for lift-off patterning
US4599137A (en) Method of forming resist pattern
JP2002303992A (ja) 微小構造の製造方法
TW505976B (en) Method for forming micro-pattern of semiconductor device
JPS6339892B2 (zh)
Hang et al. Molecular patterning through high-resolution polymethylmethacrylate masks
US20070249175A1 (en) Pitch-shrinking technologies for lithographic application
Singh et al. Application of spin‐coated As2S3 thin films in a high resolution trilayer resist system
US20080009137A1 (en) Method for forming fine patterns of a semiconductor device
Mohamed Three-Dimensional Patterning Using Ultraviolet Curable Nanoimprint Lithography.
JP2745988B2 (ja) フォトマスクの製造方法
JPH02156244A (ja) パターン形成方法
JPS62257166A (ja) マスクパタ−ンの形成方法
US6541387B1 (en) Process for implementation of a hardmask
Kawaguchi et al. Fabrication of 65-nm Holes for 157-nm Lithography

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant