CN101370963B - 高温原子层沉积进气歧管 - Google Patents

高温原子层沉积进气歧管 Download PDF

Info

Publication number
CN101370963B
CN101370963B CN2007800027939A CN200780002793A CN101370963B CN 101370963 B CN101370963 B CN 101370963B CN 2007800027939 A CN2007800027939 A CN 2007800027939A CN 200780002793 A CN200780002793 A CN 200780002793A CN 101370963 B CN101370963 B CN 101370963B
Authority
CN
China
Prior art keywords
valve
reactant
rare gas
gas element
pipeline
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN2007800027939A
Other languages
English (en)
Other versions
CN101370963A (zh
Inventor
T·J·普罗文彻
C·B·黑克森
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
ASM IP Holding BV
Original Assignee
ASM America Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by ASM America Inc filed Critical ASM America Inc
Publication of CN101370963A publication Critical patent/CN101370963A/zh
Application granted granted Critical
Publication of CN101370963B publication Critical patent/CN101370963B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45561Gas plumbing upstream of the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F16ENGINEERING ELEMENTS AND UNITS; GENERAL MEASURES FOR PRODUCING AND MAINTAINING EFFECTIVE FUNCTIONING OF MACHINES OR INSTALLATIONS; THERMAL INSULATION IN GENERAL
    • F16KVALVES; TAPS; COCKS; ACTUATING-FLOATS; DEVICES FOR VENTING OR AERATING
    • F16K27/00Construction of housing; Use of materials therefor
    • F16K27/003Housing formed from a plurality of the same valve elements
    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F16ENGINEERING ELEMENTS AND UNITS; GENERAL MEASURES FOR PRODUCING AND MAINTAINING EFFECTIVE FUNCTIONING OF MACHINES OR INSTALLATIONS; THERMAL INSULATION IN GENERAL
    • F16KVALVES; TAPS; COCKS; ACTUATING-FLOATS; DEVICES FOR VENTING OR AERATING
    • F16K51/00Other details not peculiar to particular types of valves or cut-off apparatus
    • F16K51/02Other details not peculiar to particular types of valves or cut-off apparatus specially adapted for high-vacuum installations
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T137/00Fluid handling
    • Y10T137/8593Systems
    • Y10T137/87571Multiple inlet with single outlet
    • Y10T137/87676With flow control
    • Y10T137/87684Valve in each inlet

Abstract

一种用于向原子层沉积(ALD)反应器分配一种或多于一种气体的系统和方法。被安装在喷头组件上的集成式进气歧管挡块包括直接安装于其上的高温(可达到200℃)额定阀门以及短的、容易被清洗的反应物管线。整体通道和金属密封件可以避免O型环及沿流动路径的附带盲区。该歧管包括内部惰性气体管道,用以清洗在挡块进气歧管内的反应物管线。

Description

高温原子层沉积进气歧管
本申请要求2006年1月19日提交的序列号为60/760,243、标题为“HIGH TEMPERATURE ALD INLET MANIFOLD(高温原子层沉积进气歧管)”的临时申请的优先权。上述申请的主题由此通过参考以其全部内容并入本文。
技术领域
本发明一般涉及用于原子层沉积(ALD)反应器的歧管组件。
背景技术
原子层沉积(ALD)是在半导体产业中用于在基片诸如硅片上形成材料薄膜的公知的工艺。ALD是一种蒸汽沉积,其中通过多重超薄层的沉积来建立薄膜,其薄膜厚度是由沉积层的数量决定的。在ALD工艺中,要被沉积的材料的一种或多于一种化合物(前体)的气态分子被提供给基片或晶片用以在晶片上形成此材料的薄膜。在一个脉冲中,一般不到一个单层的第一前体材料在晶片上的自限过程中可以被大体完全吸收。在随后的一个或多个反应物脉冲中,被吸收的前体材料可以被分解或反应以形成所需材料的单分子层。例如,被吸收的前体材料可以与随后的反应物脉冲中的反应物发生反应以形成一种元素或化合物的单分子层。示例包括仅从被吸收种类中剥夺配位体的反应物脉冲、用其它种类代替配位体以形成化合物的反应物以及每个周期内具有三种或多于三种反应物和/或前体脉冲的序列。通过重复生长周期产生厚的薄膜直到达到目标厚度。
在ALD工艺中,至少单面将被镀膜的一个或多于一个基片被传入到反应器或沉积室中。晶片一般被加热到所需温度,此温度高于所选气相反应物的冷凝温度但是低于其热分解温度。一种反应物能够与被吸收的在先反应物种类发生反应以在基片表面形成所需的产物。该产物可以是薄膜、衬垫或层的形式。
在ALD工艺过程中,反应物脉冲(一般均是蒸汽或气态形式)被连续地脉冲输送到在反应物脉冲之间具有移除步骤的反应器内。例如,在反应物脉冲之间提供惰性气体脉冲。惰性气体在下一个反应物脉冲之前清洗一个反应物脉冲的腔体以避免气相混合或化学气相沉积(CVD)类型的反应。ALD的显著特点是每种反应物(不管是对薄膜起作用的前体种类或仅是还原剂)都被传送到基片直到达到饱和表面条件。周期被重复以形成所需厚度的原子层。为了获得自限生长,提供每种前体的足够数量以使基片饱和。因为生长率是自限的,所以生长率与反应序列的重复率成比例,而不是像CVD那样与反应物的流量和/或温度成比例。
发明内容
本发明的系统和方法具有若干特征,没有一个单一的特征能够单独实现其必要属性。在不限制所附权利要求表达的本发明的范围的情况下,现在简要地讨论其更显著的特征。考虑这些讨论后,尤其是阅读了标题为“具体实施方式”的部分后,将会理解本文描述的特征如何比传统的ALD混合方法和系统具有若干优势。
一方面是原子层沉积装置。该装置包括具有第一通道和第二通道的歧管主体,第一通道和第二通道没有O型环。该装置进一步包括位于主体内的孔且该孔与第一通道和第二通道流动连通。该装置还包括气相沉积腔,该气相沉积腔与孔流动连通并被配置为在固定于其中的晶片上沉积薄膜。
另一方面是用于半导体处理装置的多块歧管组件。该歧管组件包括主体,该主体包括第一金属材料并具有孔,该歧管组件还包括底板,该底板包括第一金属材料并被耦合到主体上。该组件进一步包括盖子,该盖子包括第二金属材料并被焊接到底板上,该盖子被配置为在其上安装一个阀门。该组件还包括在主体的孔和盖子之间形成的内部通路。至少一部分内部通路延伸穿过主体和底板,而不在主体和底板之间的焊接界面处形成盲管段。
另一方面是原子层沉积装置,其包括被配置为分散气体的分散组件和被安装在分散组件上的进气歧管挡块,该进气歧管挡块包含孔、第一内部反应物管线和第二内部反应物管线,该第一和第二内部反应物管线与孔流动连通。该组件进一步包括第一反应物阀门和惰性气体阀门,该第一反应物阀门被安装在进气歧管挡块上并被配置为控制供给第一反应性气体到第一内部反应物管线,该惰性气体阀门被安装在进气歧管挡块上并被配置为控制供给惰性气体到第一反应性气体阀门。该组件进一步包括第二反应物阀门和第二惰性气体阀门,该第二反应物阀门被耦合到进气歧管挡块上并被配置为控制供给第二反应性气体到第二内部反应物管线,该第二惰性气体阀门被安装在进气歧管挡块上并被配置为控制供给惰性气体到第二反应性气体阀门。
还有另一方面是分配气体到具有歧管和反应器的原子层沉积装置的方法。该方法包括:通过在第一反应物阀门和歧管出口之间没有O型环的第一通道按路线传送第一反应性气体到歧管,禁止反应性气体流动,以及通过在第一通道上游的第二通道按路线传送惰性气体到歧管,其中该第二通道在第一惰性气体阀门和第一通道之间没有O型环。
附图说明
现在将通过参考几个优选实施例的附图描述本发明的这些和其它特征、方面及优势,这些实施例的目的是举例说明而非限制本发明。
图1是根据本发明的实施例示出原子层沉积(ALD)装置的示意性视图。
图2是根据本发明的实施例示出应用于装置的中间分散元件的一个示例的示意图。
图3是根据实施例示出薄膜形成步骤的一个示例的示意图。
图4是根据实施例示出被耦合到ALD反应器的歧管组件的ALD装置的截面视图。
图5是在图4中图示说明的歧管组件的透视图。
图6是根据实施例穿过图5中歧管组件的气体流动路径的示意性视图,并且示出四个惰性气体阀门,每个惰性气体阀门与分离的反应性气体阀门流动连通。
图7是图5中歧管组件的顶视图。
图8是沿着图7中的线8-8的截面视图。
图9是沿着图7中的线9-9的放大横断面视图,其示出反应物阀门、惰性气体阀门和歧管主体之间的流动通道。
图10是具有不同材料(诸如铝和不锈钢焊接在一起)的子组件的歧管组件的另一个实施例。
具体实施方式
现在将通过参考几个优选实施例的附图描述本发明的方面和优势,这些实施例的目的是举例说明而非限制本发明。歧管主体的某些实施例有一个或多于一个特征。这些特征包括内部惰性气体管道,整体式加热器,在前体路径中没有O型环或盲区,以及短的反应性气体通道。
尽管ALD因为自限反应和在没有完全一致的条件下理论上完全共形沉积而被称道,但是各种工艺参数必须被仔细地控制以确保ALD产生高质量的层。已经发现如果反应性气体没有被有效地清除,当其它前体被脉冲输送时,可能导致存在一种前体,引起CVD反应在气相中或腔室/基片表面而非ALD反应表面发生。使用O型环组装ALD装置的子组件使清洗反应性气体变得更加复杂。这些O型环中产生小的空隙,这些空隙一般指的是接近O型环密封表面的盲管段和供给前体的气体喷嘴。由于这些空隙中的存留体积而引起前体不适当的抽空将产生颗粒,因此负面影响ALD工艺。这些O型环还可能是泄漏的根源,其通过密封表面自身的裂口或通过为高温和化学相容性所选的O型环材料的渗透而引起泄漏。
重要的是维持前体气体从源(多半是装有固体前体的容器)到晶片表面的热控制。通常存在一个允许热量公差的小窗口(每个前体不同,但是其遵循相同的原则)。也就是说,通过控制固体媒介的热量方面来管理蒸汽吸收(或前体的量)。当温度低于临界设定值时,气体流动路径发生冷凝从而引起负面的工艺结果和短的维护间隔。当温度高于临界设定值时,发生媒介“分解”而且工艺处于危险中。重要的是保持所有区域尽可能的短以便维持更好的热稳定性。
如果歧管组件没有热集成或热控制,则混合气体的温度可以在歧管组件内变化并导致CVD生长。尽管对歧管组件增加热集成可以禁止不想要的CVD反应,但是其可能对歧管组件的子组件例如高速阀门产生有害影响。高速阀门在升高的温度环境中不可以被额定操作。此外,沿着流动路径的盲区可能引起反应性气体重新循环到沉积室的上游。
在ALD工艺过程中,抽空前体所需要的时间非常重要。ALD工艺是前体“速射(rapid fire)”和清洗气。管线越短,导通(泵浦效率)越好,则工艺时间越短。这对ALD市场极为重要。
图1根据本发明的实施例示出薄膜形成设备100的一个实施例的横断面。薄膜沉积设备100包括自动机械(未示出),该自动机械通过闸门阀6将半导体基片15(其为要处理的工件或物体)从真空转移室(未示出)传送到反应室1。反应室1包括:上盖2、分散板3(别名“喷头板”)、排气管4、下闸室5、基片转移闸门阀6、排气端口7、基片支架8以及用于上下移动基片架8的升降机构9。
当支架8位于降低的位置8’时,基片15被加载到基片支架8上。然后基片支架8向上移动直到半导体基片15位于离分散板3合适距离的位置。支架8位于装置内部,并且被配置为在沉积工艺过程中支撑基片15或晶片。支架8还可以被提供具有内部或外部加热器(未示出)以在工艺处理之前或工艺处理期间加热基片15。在将基片15从真空转移室转移到反应室1后,薄膜沉积设备通过例如经由阀门31(a)、31(b)、31(c)和31(d)循环反应性气体以及经由阀门30(a)、30(b)、30(c)和30(d)循环惰性气体而在反应空间22中执行薄膜形成工艺。
在某些实施例中,每个反应性气体阀门31(a)-(d)与惰性气体阀门30(a)-(d)流动连通并相关。优选地,每个反应性气体管线的至少一部分被安排与相关惰性气体阀门30串行连接。用这种方法,惰性气体进入反应性气体的流动路径,该流动路径优选靠近相关反应物阀门31但在其上游,以加强清洗全部的反应性气体管线。
例如,每个反应性气体阀门31(a)-(d)可以是三端阀门。三端阀门有两个输入端口与反应性气体源和惰性气体阀门流动连通。三端阀门的输出端口与反应空间22流动连接。反应性气体阀门31(a)-(d)分别控制反应性气体和惰性气体流入反应空间22。
在某些实施例中,每个惰性气体阀门30(a)-(d)是双端阀门。双端阀门有一个输入端口与内部惰性气体管道610(图4)流动连通,还有一个输出端口与反应性气体阀门31(a)-(d)中的一个流动连通。双端阀门控制惰性气体在内部惰性气体管道610和相关反应性气体阀门31(a)-(d)中的一个之间流动。在这一示例性安排中,反应性气体阀门31(a)-(d)与相关惰性气体阀门30(a)-(d)串行连接并处于其下游。因为气体流向反应空间22,如果在基片工艺处理过程中位于第二位置的气体流向第一位置,则第一位置位于第二位置的下游。
每个惰性气体阀门30(a)-(d)控制惰性气体流向相关反应性气体阀门31(a)-(d)。反应性气体阀门31(a)-(d)控制从相关惰性气体阀门30(a)-(d)接收的惰性气体的流动以便在脉冲输送反应物后清洗反应物蒸汽管线。例如,与连接到阀门31(a)、31(b)、31(c)和31(d)的反应物蒸汽源相关的一个或多个惰性气体源被分别连接到阀门30(a)、30(b)、30(c)和30(d)。这个或这些惰性气体源可以被密封加压或不密封加压。这些惰性气体源可以是例如稀有气体源或氮气源。ALD控制系统(未示出)包括存储器和处理模块,并且被编程以控制这些阀门和其它阀门来选择性地允许或阻止各种气体到达反应空间22。例如,气体流从惰性气体阀门30流入相关反应性气体管线,并且可以继续流入反应室1并清洗反应性气体的腔室。
除了与惰性气体和反应性气体相关的阀门30、31外,ALD装置还可以包括单独的惰性气体管线54和连接惰性气体源到反应室1的阀门32。惰性气体阀门32为ALD装置提供另外的惰性气体,并且可以根据所需的基片工艺处理被连续地或定期地操作。在图示说明的实施例中,惰性气体还通过惰性气体管道补给线52流向内部惰性气体管道610(图6)。惰性气体管道补给线52可以通过惰性气体阀门32或单独的惰性气体阀门(未示出)接收惰性气体。内部惰性气体管道610与惰性气体阀门30(a)-(d)流动连通。
ALD装置100被配置为当基片15被插入反应室1时在基片15上沉积薄膜。一般来说,ALD装置通过阀门31(a)、31(b)、31(c)、31(d)中的一个或多于一个接收第一反应性气体。ALD装置100还通过其它阀门30(a)、30(b)、30(c)、30(d)中的一个或多于一个接收惰性气体。通过开关合适的阀门,停止第一反应性气体的流动,然后使用来自一个或多于一个阀门30(a)、30(b)、30(c)、30(d)的惰性气体以及来自惰性气体管道54的主清洗流一起清洗沉积室和气体管线。在反应室1和气体管线被清洗后,使用其它反应性气体中的一种或多于一种来继续沉积循环。来自交替脉冲的反应物仅仅在基片或晶片表面上互相发生反应,以在每个循环中至多形成所需产品的一个单层膜,并且其在气相不发生反应或相遇。请注意在一些运行模式中,通过牺牲均匀性可以实现超过每个循环形成一个单层膜的提高的沉积速度。
在ALD装置100的实施例中,在每个循环中,两种或多于两种反应性气体依次(通过清洗周期分开)流过ALD装置100以在晶片上形成材料。在反应空间22中吸收和反应后,反应空间中每种反应性气体的多余部分通过排气管24被随后排出。排气管24可以被连接到涡轮分子泵(TMP)50上以协助清除反应室1的气体并在反应室1中提供低压环境。此外,整个ALD装置100可以通过将ALD装置100底部的任何联轴器连接到真空泵(TMP)50或干式泵(DRY)而抽气到低压状态。
ALD装置100包括气体引入歧管组件10。歧管组件10包括主体27(图5)、内部惰性气体管道610和中心孔28。歧管组件10进一步包括一个或多于一个反应性气体阀门31(a)、31(b)、31(c)、31(d)和一个或多于一个惰性气体阀门30(a)、30(b)、30(e)、30(d)。歧管组件10被配置为通过反应物阀门31(a)、31(b)、31(c)、31(d)按路线传送反应性气体进入穿过ALD装置100和通过惰性气体阀门30(a)、30(b)、30(c)、30(d)按路线传送惰性气体进入穿过ALD装置100(见图3)。歧管组件10进一步被配置为在给定的脉冲中选择性地将通过阀门30(a)-(d)进入的惰性气体中的一种或多于一种与通过阀门31(a)-(d)进入的反应性气体中的一种混合。得到的混合物进入反应室1。在每个脉冲之后,ALD装置100通过排气管24从反应室1排出任何未反应的反应物和惰性气体,诸如通过清洗。在本文示出的阀门位置仅仅用于说明目的,阀门可以位于沿着气体管线的不同位置。优选地阀门位于接近歧管组件10的位置或本身位于歧管组件10上以减小阀门下游气体管线的长度。反应性气体阀门31(a)-(d)可以例如被设置为离进气歧管挡块大约10mm以提供短的容易清洗的管线。如下所述,本文描述的示例性实施例中的各种阀门被指定为使气体或一种或多于一种气体的混合物流入歧管组件10。然而,本发明不限于本文所公开的示例性实施例。
反应性气体循环通过ALD装置100的次序取决于所需的产物。在每种气体进入反应室1之前,为了使一种或多于一种气体之间的任何相互作用最小化,在反应性气体的脉冲之间,通过阀门30(a)-(d)进入的惰性气体被定期地循环或连续地流过ALD装置100。用这种方法,惰性气体清洗管线和反应室1。如下述解释,各种反应性气体和惰性气体系统地循环通过ALD装置100,以便在通过闸门阀6插入的晶片上形成沉积。
如图4所清楚显示,气体引入歧管组件10被安装在分散板3上。歧管组件10被耦合到管状的气体引入构件11上,该气体引入构件11延伸穿过盖2(见图1)。下面结合图1描述歧管组件10的一个实施例。构件11连接在歧管组件10的下游末端,并且接收来自歧管组件10的反应性气体和惰性气体。示例性惰性气体包括氮气和氩气。沉积工艺利用惰性气体清洗和/或与反应性气体混合。在图示说明的实施例中所示的自由基源12通过阀门16连接在歧管组件10上,其中阀门16可以是完全打开的阀门。在某些实施例中,阀门16是有双重作用的闸门阀。打开阀门16将来自各种气体的自由基引入到歧管组件10中。构件11与气体分散部分13流动连通。从构件11中流出的气体被气体分散部分13分散。远程等离子体主要被用于腔室清理,但是也可以被用于工艺处理。
在某些实施例中,构件11具有中间分散机构43。图2是显示中间分散元件43的一个示例的示意图。如图2所示,图示说明的中间分散元件43是圆柱形,并且其可以被附连在构件11的下游末端或顶端(见图1)。在某些实施例中,在元件43的壁上的一个或多于一个孔或狭缝44为从构件11进入的气体提供扩散流出路径。孔44可以如此布置以便从元件43中沿径向均匀地排出气体。除了孔44之外或替代孔44,一个或多于一个孔45可以延伸穿过元件43的底面,以便沿垂直方向向着分散板3排出气体。优选地,为了更好地在板3上分配气体,一个或多于一个孔45不与分散板3上的孔排成一行。
在图1中图示说明的气体分散部分13的横断面具有喇叭状。为了适应排气流在短时间内流过反应室1的改变,气体分散部分13的内部容积最好非常小。在某些实施例中,气体分散部分13具有平坦截锥形,该截锥相对气体分散部分13的水平下表面的角度大约为3-30度。实施例可以包括5度、10度、15度、20度、25度角和在这些角度之间的角度,但是优选大约为5-15度,以便更均匀地分配被分散的气体。
在某些实施例中,在气体分散部分13的下表面和气体分散板3之间的距离大约为2-10mm,包括3mm、5mm、7mm及这些数值之间的数值。使分散部分13更靠近分散板3可以更均匀地分配气体穿过板3。在某些实施例中,气体分散部分13的内壁形状可以是平滑的以便促进平滑气流。
在某些实施例中,在分散部分13内壁上提供加热器42。加热器42加热进入分散部分13的气体。在分散板3上可以提供第二加热器26,尤其在外围边缘,以便调节薄膜形成。
在气体分散部分13的顶端和分散板3之间形成狭缝排气端口17。该狭缝具有围绕分散部分13的外部顶端延伸的圆环形(如圆形)形状。可以利用排气端口的各种形状,但是优选如此选择以便使气体流动在流体动力学方面被扰乱的区域最小化。例如,排气端口的形状可以具有多个圆弧形狭缝、多个圆孔等。穿过狭缝或孔17的开口宽度可以与气体分散部分13的下表面和气体分散板3之间的距离相同,或大约在2mm到5mm之间。
排气狭缝17与上部空间18连通性连接。上部空间18由分散部分13的上部外壁和上盖2的下表面形成。上部空间18与位于气体分散部分13的下表面和气体分散板3之间的喷头集气室14连通性连接。在某些实施例中,分散部分13的上部外壁和上盖2的下表面之间的距离与气体分散部分13的下表面和气体分散板3之间的距离大约相同。
排气凸缘19连接在上盖2上,并且接收从上部空间18和喷头集气室14排出的气体。打开或关闭喷头排气阀门20允许或阻止气体从上部空间18和喷头集气室14排出。
由于当气体经由狭缝17穿过上部空间18时气压下降,这可能使在反应物脉冲之间的短时间内排出气体变得更困难。因此,在某些实施例中,具有延伸穿过狭缝17并且与排气凸缘19连接的管道可能是有利的。已经发现与带有上部空间18的实施例相比,圆环形管道可以增加流向排气凸缘19的气流。这是因为与气体接触的管道内表面面积小于当气体从上部空间18流出时与气体接触的表面面积。然而,因为排气凸缘19相对圆环形管道有偏移,所以与使用上部空间18的实施例相比,圆环形管道不是均匀地排气。例如,在使用上部空间18的实施例中,排气凸缘19可能位于接近上部空间18中心的位置,并且均匀地接收排出的气体。
气体穿过气体分散部分13并到达喷头集气室14。气体进一步前行穿过分散板3中的气体排出端口21。穿过气体排出端口21的气体到达反应空间22,反应空间22位于基片支架8和分散板或喷头板3之间。然后气体可以继续前行并到达基片15的表面。然后气体可以继续穿过在排气管道4中形成的环形狭缝23,并且被从与狭缝23传输连接的排气管24中排出。在某些实施例中,气体从分散板3流出和流入反应空间22的速率大约是2-3升/秒。
通过从电极25向分散板3馈送射频功率,可以在分散板3和基片支架8之间产生等离子体。例如,在分散板3和基片支架8之间生成现场等离子体以用于等离子体增强原子层沉积(PEALD)工艺处理。远程等离子体生成被用于执行PEALD的某些工艺和在基片15的工艺处理之间(例如每批晶片之间)定期清理反应室1。使用被解释为远程自由基或受激种类源12的非现场等离子体产生器生成远程等离子体。例如,产生器可以以例如400kHz的频率运转,并且其可以从位于马萨诸塞州的威尔明顿的MKS仪器公司获得。产生器可以被安装在歧管组件10的顶部或更上游。阀门16将远程等离子体产生器与歧管组件10分开。自由基在远程等离子体产生器中生成,这些自由基或者用于腔室清理,或者用于沉积。自由基被允许流动/漂移/分散到整个分散部分13并达到基片15的表面。优选自由基源12被安装在靠近腔室1的位置,并且阀门16开大以使受激种类存活和清理效率最大化。
例如,现场直接等离子体生成的射频产生器可以以例如13.56MHz的频率运转。这一射频产生器和匹配网络可以从位于加利福尼亚州的弗里蒙特的ADTEC公司获得。匹配网络可以被安装在反应室1的顶端。传输线被连接在匹配网络的输出和分散板3之间。分散板3(图1)、分散部分13(图1)和上盖环113(图4)是射频加热的。定义反应空间22的其余传导性元件(尤其基片支架8)是在地面上。仅仅在分散板3和基片支架8之间生成直接等离子体。
一旦工艺处理完成,基片支架8下降,基片15可以通过同一闸门阀6从沉积室中移出。
控制系统(未示出)被配置为在基片15的工艺处理过程中控制设备。例如,控制系统可以包括计算机控制系统和电控制阀门,以控制反应性气体和惰性气体流入和流出装置以及控制射频功率的应用。控制系统可以包括诸如软件或硬件组件模块,诸如执行某些任务的可编程逻辑器件(FPGA)或专用集成电路(ASIC)。模块可以有利地被配置为位于计算机控制系统的可寻址存储介质中,并且被配置为执行一个或多于一个处理器。
图3显示向反应室1引入气体的代表性顺序。在图3所示的步骤1中,喷头排气阀门20是关闭的。反应性气体阀门31(a)被打开以允许气体A进入歧管组件10的中心孔28。在这个示例中,气体A继续进入气体分散部分13,穿过分散板3,并且被供给进入反应空间22。气体A穿过排气狭缝23被从反应空间22排出到排气管24。
在气体A被供给一段给定时间后,在步骤2中,气体A的反应性气体阀门31(a)被配置为阻止气体A进入歧管组件10的中心孔28,并且允许惰性气体从惰性气体阀门30(a)流入歧管组件10的中心孔28。此时,根据所涉及的具体工艺或化学特性,喷头排气阀门20可以被完全打开。残留气体A被惰性气体清除。惰性气体被从惰性气体阀门30(a)引入到用于在反应性气体阀门31(a)上游某处的气体A的反应性气体管线。用这种方法,惰性气体流过反应性气体阀门31(a),冲刷或清洗反应性气体管线以防止反应物在随后的步骤中扩散。内部惰性气体管道610(见图4)提供惰性气体进入惰性气体阀门30(a)。在某些实施例中,内部惰性气体管道610位于歧管组件10内。
在步骤3中,反应性气体阀门31(a)被配置为阻止反应性气体A和惰性气体进入歧管组件10的中心孔28。图3中的惰性气体阀门30(a)在步骤3中是关闭的,但是实际不一定是这样。在图示说明的实施例中,在希望使惰性气体停止穿过此管道时,三通反应性气体阀门31(a)阻止惰性气体进入歧管组件10的中心孔28,而不管惰性气体阀门30(a)的配置如何。
气体B通过打开反应性气体阀门31(b)而被引入到歧管组件10的中心孔28。在这种情况下,气体B被从气体引入部分11(图1)引入到气体分散部分13内。然后,气体B继续穿过分散板3,并且被供给到基片表面15上。当穿过基片表面15时,气体B脉冲使基片表面15饱和。在先前脉冲离开后,气体B和基片表面之间发生吸附或反应。
在穿过反应空间22后并且在径向上,气体B流向排气管24并且穿过排气狭缝23。排气管24被配置为在气体使晶片饱和后收集多余的气体和任何副产物。在一个实施例中,排气管24内的区域处于比反应室1内的压强更低的压强。负压源或真空可以与排气管24和/或排气狭缝23流动连通以从反应室1中抽取气体。气体B被从排气狭缝23排出到排气管24。
在一段给定时间后,反应性气体阀门31(b)被关闭并且气体B的供给被切断。在与步骤2中所示相似的情况中,除了用惰性气体流过气体B通道代替气体A通道外,剩余的气体B被从阀门20中排出。通过重复供给反应气体A和供给反应气体B作为这四个步骤中的一部分,每个循环沉积不到一个分子单层。本领域技术人员将认识到大块前体的位阻易于阻塞反应活性部位,并且将生长率减少到每个循环形成不到一个单层。
即使使用三种或多于三种反应性气体,薄膜的形成也可以容易地通过重复供给三种或多于三种反应性气体的步骤和清洗相应气体的步骤来实现。
在某些实施例中,可能通过打开或关闭喷头排气阀门20容易地清洗分散板3的内部区域。此外,因为阀门20打开或关闭的度数可以改变,所以不需要完全关闭。
同时,在某些实施例中,根据化学特性,一种或多于一种反应物管线(A、B、C、D)可以在工艺过程中始终打开。这可以在例如当反应性气体源作为脉冲步骤中传送的前体的还原剂时发生,该反应性气体仅当应用射频功率时才发生反应。
当应用射频功率到气体分散板3时,反应气体也可以被供给作为直接等离子体气体。通过在气体分散部分13中提供加热器42(图1),可能升高分散部分13内部的温度。因此,当使用具有低蒸汽压且易于凝聚的有机金属材料时,不用凝聚而将它们排出变得可能。
图4是详细示出ALD装置100的一个实施例的截面视图。该图未示出基片支架或承受器及所有气体阀门。气体A反应性气体通过阀门31(a)被引入到歧管组件10中。然后气体A通过中间分散元件43中的狭缝44被引入到分散部分13的第一隔间82。第一隔间82被部分地通过具有狭缝的底板定义。气体A反应性气体穿过狭缝并且流入第二隔间81,第二隔间81位于具有多个孔(未示出)的分散板3的上表面之上。第一隔间82和第二隔间81构成喷头集气室。
在某些实施例中,第一隔间82没有底板并且在第一隔间82和第二隔间81之间没有清楚的分界线。气体A通过在分散板3中形成的孔被排出到反应室1的反应空间22。反应空间22位于基片支架8(图1)之上。在上述工艺过程中,反应空间22使用通过环形狭缝23的排气管道4不断地被排气,其中气体被沿径向朝着反应空间22的外围周边被抽取。环形狭缝23位于基片支架8的外围周边。气体分散部分13通过上盖环113被固定在分散板3上,其中在上盖环113之上放置隔离板150。
气体分散部分13和分散板3相互之间不直接接触,并且沿着气体分散部分13的外围周边形成环形缝隙83。此环形缝隙83通过上盖板113与排气凸缘19(见图1)连通。
当清洗第一隔间82和第二隔间81时,清洗气体通过阀门30(a)-(d)中的一个、相关反应性气体阀门31(a)-(d)中的一个、歧管组件10以及中间分散元件13被引入到那里。主要的清洗从惰性气体管线54流出并且穿过歧管组件10。来自反应性气体阀门31(a)-(d)和惰性气体阀门30(a)-(d)的惰性气体冲刷或清洗反应性气体阀门和中心孔28之间的管线。同时,第一隔间82和第二隔间81使用穿过环形缝隙83的排气凸缘19被抽空。反应物空间22通过狭缝23和排气管道4被不断抽空。
如图5所清楚显示,在这个示例中,歧管组件10包括四个反应性气体阀门31(a)-(d)、惰性管道补给管线52以及惰性混合器补给管线54。每个反应物阀门31(a)-(d)与惰性气体阀门30(a)-(d)配对。反应物阀门31(a)与惰性阀门30(a)相匹配。反应物阀门31(b)与惰性阀门30(b)相匹配。反应物阀门31(c)与惰性阀门30(c)相匹配。反应物阀门31(d)与惰性阀门30(d)相匹配。ALD装置100根据其自身的配置可以包括更多的或更少的反应物阀门和惰性阀门。此外,每个反应物管线可以与也可以不与一个惰性气体阀门配对。例如,一个或多于一个反应物管线可以与惰性气体阀门配对,而另一个反应物管线不与惰性气体阀门配对。不与阀门配对的反应物管线可以通过其它方法清洗。
联轴器190(a)将反应性气体阀门31(a)耦合到反应物源A620(图6)。联轴器190(b)将反应性气体阀门31(b)耦合到反应物源B626(图6)。联轴器190(c)将反应性气体阀门31(c)耦合到反应物源C632(图6)。联轴器190(d)将反应性气体阀门31(d)耦合到反应物源D638(图6)。
联轴器190(f)将内部惰性气体管道610(参看图6)耦合到惰性或清洗气体源644(图6)。联轴器190(e)将中心孔28或歧管组件10的内部耦合到与内部惰性气体管道610分离的惰性气体源644。
在图5图示说明的实施例中,惰性管道补给管线52和联轴器190(a)-(d)提供流向阀门和歧管组件10内部的流动路径。惰性管道补给管线52连接在内部惰性气体管道610。在图示说明的实施例中,每个惰性气体阀门30(a)-(d)均位于内部惰性气体管道610的下游。管线54提供通向歧管组件10内部的路径而不穿过阀门。
在图5所示的实施例中,联轴器190(a)-(d)使反应性气体流向歧管组件10。惰性气体管线54提供通道以使惰性气体直接流入中心孔28。得到的混合物(一种反应物与一种惰性气体)向下流向反应室1。当安装在ALD装置时,隔热板56邻近隔离板150(图4)。
歧管组件10包括一个或多于一个加热器模组180,该加热器模组被配置为控制壁的温度。穿过歧管组件10的反应性气体通过歧管和加热器模组180加热。当反应性气体流过歧管组件10时,控制反应性气体的温度来降低气体的冷凝或热分解发生的可能性。在某些实施例中,每个反应性气体阀门31(a)-(d)通过一个或多于一个加热器模组180分别加热。在图示说明的实施例中,两个反应物阀门具有加热器以便于使用具有低蒸汽压的前体(如标准条件下的液体或固体,诸如ZrCl2、HfCl2、TMA和其它有机金属),而两个反应物阀门不具有加热器。例如,第一组一个或多于一个加热器模组180可以位于歧管组件10的内部并且接近承载反应性气体A的管线。第二组一个或多于一个加热器模组180可以位于歧管组件10的内部并且接近承载反应性气体B的管线。第一组和第二组加热器模组180可以被分别控制以便将气体A加热到与气体B不同的温度。在某些实施例中,加热器模组180将歧管组件10的内壁温度维持在200℃以上。一个或多于一个热控开关可以被用来监视歧管组件10的温度。应了解系统包括其它温度传感器和控制机构来使系统的各种组件维持在所需的温度。
此外,系统可以根据所需的工艺处理使第一对阀门30、31维持在不同温度,并且使第二组阀门30、31维持在第二温度。尽管图示说明的实施例打算通过一个或多个温度传感器驱动的加热器模组为整体ALD进气歧管的温度控制限定单一区域,但图示说明的实施例还可以被调整以适应ALD歧管内的每个前体的分离区域控制。例如,在图示说明的四个前体具有分离的歧管路径的情况下,可以为每个前体的流动路径的分离热控制提供五个区域:中心轮轴和四个前体管线(包括阀门)的每一个作为单独的区域。为了便于区域的热分离,轮轴可以被制造为带有热空气开关,热空气开关将例如主体27和底板606(见图10)之间的机械连接和热连接限定在前体气体进气孔周围的小突起点上。可以使用另外的加热器和热电偶来监视热控制。有利地,混合点(如中心孔)上游的流动路径的温度可以针对每种反应物单独调谐以使管道的涂层最小,不管通过冷凝、反应或吸附,并因此使阻塞和/或下游污染最小化。
图6是图5图示说明的通过歧管组件10的气体流动路径的示意图,并且显示四个反应性气体阀门31(a)-(d),每个与单独的惰性气体阀门30(a)-(d)流动连通。歧管组件10包括与四个惰性气体阀门30(a)-(d)流动连通的内部惰性气体管道610。图6进一步图示说明每种反应物和惰性气体的源。根据反应物是否是标准条件下的固体、液体或气体,反应物源可以代表气体容器、起泡器或其它汽化器。与反应物和惰性气体源相关的另外的阀门(未示出)可以位于歧管组件10的外面。
气体A从它的源620流出,并且在到达反应物阀门31(a)前通过管线622。反应性气体阀门31(a)可以被配置为根据所需的工艺处理步骤允许或阻止气体A流动通过管线624并且进入歧管组件10的中心孔28。气体B从它的源626流出,并且在到达反应物阀门31(b)前通过管线628。反应性气体阀门31(b)可以被配置为根据所需的工艺处理步骤允许或阻止气体B流动通过管线630并且进入歧管组件10的中心孔28。
气体C从它的源632流出,并且在到达反应物阀门31(c)前通过管线634。反应性气体阀门31(c)可以被配置为根据所需的工艺处理步骤允许或阻止气体C流动通过管线636并且进入歧管组件10的中心孔28。气体D从它的源638流出,并且在到达反应物阀门31(d)前通过管线640。反应性气体阀门31(d)可以被配置为根据所需的工艺处理步骤允许或阻止气体D流动通过管线642并且进入歧管组件10的中心孔28。图示说明的四个反应物阀门的实施例是示例性的并且可以使用更多或更少的反应物阀门。
惰性气体从气体源644(其可以包括多个气体容器)流出,并且在到达内部惰性气体管道610前通过惰性管道补给管线52。内部惰性气体管道610优选位于歧管组件10的内部。通过使惰性气体管道610包括在歧管组件10内部,维修熟练程度被提高。有利地,歧管组件10可以在重新装配到反应器上之前在工作台上接受测试。通过使惰性气体管道610包括在歧管组件10内,由于惰性气体和前体气体是通过相同的热介质或歧管组件10馈送的,惰性气体的热控制与前体气体更一致。
当惰性气体管道位于歧管之外和反应器顶部之内时,腔室中需要额外的O型环。这些额外的O型环可以影响反应器的真空完整性。由于整个反应器被分解以接通位于反应器内部的惰性气体管道,清理也可能会更复杂。
内部惰性气体管道610进一步与一个或多于一个惰性气体阀门30(a)-(d)流动连通。在图6图示说明的示例性实施例中,内部惰性气体管道610与四个惰性气体阀门30(a)-(d)流动连通。
惰性气体从内部惰性气体管道610流出,并且在到达惰性气体阀门30(a)前通过管线646。在某些实施例中,惰性气体阀门30(a)是双端阀门。双端阀门控制惰性气体在内部惰性气体管道610和反应性气体阀门31(a)之间的流动。双端阀门具有一个输入端口与内部惰性气体管道610流动连通,还具有一个输出口通过管线648与反应性气体阀门31(a)流动连通。用这种方法,惰性气体阀门30(a)可以被配置为允许或阻止惰性气体在管线646和管线648之间的流动。
反应性气体阀门31(a)与管线648流动连通。除了上述允许或阻止反应性气体A从管线622到达歧管组件10的中心孔28外,反应性气体阀门31(a)进一步被配置为允许或阻止惰性气体流动穿过管线624并进入歧管组件10的中心孔28。因此,反应性气体阀门31(a)可以被配置为单独地允许或阻止惰性气体和反应性气体A进入管线624。
在优选实施例中,反应性气体阀门31(a)是三端阀门。反应性气体阀门31(a)的第一端口与管线622流动连通并接收反应性气体A。反应性气体阀门31(a)的第二端口与管线648流动连通并接收惰性气体。反应性气体阀门31(a)的第三端口或出口通过管线624与歧管组件10的中心孔28流动连通。
惰性气体从内部惰性气体管道610流出,并且在到达惰性气体阀门30(b)前通过管线650。在某些实施例中,惰性气体阀门30(b)是双端阀门。该双端阀门控制惰性气体在内部惰性气体管道610和反应性气体阀门31(b)之间的流动。该双端阀门具有一个输入端口与内部惰性气体管道610流动连通,还具有一个输出端口通过管线652与反应性气体阀门31(b)流动连通。用这种方法,惰性气体阀门30(b)可以被配置为允许或阻止惰性气体在管线650和管线652之间的流动。
反应性气体阀门31(b)与管线652流动连通。除了上述允许或阻止反应性气体B从管线628到达歧管组件10的中心孔28外,反应性气体阀门31(b)进一步被配置为允许或阻止惰性气体流动穿过管线630并进入歧管组件10的中心孔28。因此,反应性气体阀门31(b)可以被配置为单独地允许或阻止惰性气体和反应性气体B进入管线630。
在优选实施例中,反应性气体阀门31(b)是三端阀门。反应性气体阀门31(b)的第一端口与管线628流动连通并接收反应性气体B。反应性气体阀门31(b)的第二端口与管线652流动连通并接收惰性气体。反应性气体阀门31(b)的第三端口或出口通过管线630与歧管组件10的中心孔28流动连通。
惰性气体从内部惰性气体管道610流出,并且在到达惰性气体阀门30(c)前通过管线654。在某些实施例中,惰性气体阀门30(c)是双端阀门。该双端阀门控制惰性气体在内部惰性气体管道610和反应性气体阀门31(c)之间的流动。该双端阀门具有一个输入口与内部惰性气体管道610流动连通,还具有一个输出口通过管线656与反应性气体阀门31(c)流动连通。用这种方法,惰性气体阀门30(c)可以被配置为允许或阻止惰性气体在管线654和管线656之间的流动。
反应性气体阀门31(c)与管线656流动连通。除了上述允许或阻止反应性气体C从管线634到达歧管组件10的中心孔28外,反应性气体阀门31(c)进一步被配置为允许或阻止惰性气体流动穿过管线636并且进入歧管组件10的中心孔28。因此,反应性气体阀门31(c)可以被配置为单独地允许或阻止惰性气体和反应性气体C进入管线636。
在优选实施例中,反应性气体阀门31(c)是三端阀门。反应性气体阀门31(c)的第一端口与管线634流动连通并接收反应性气体C。反应性气体阀门31(c)的第二端口与管线656流动连通并接收惰性气体。反应性气体阀门31(c)的第三端口或出口通过管线636与歧管组件10的中心孔28流动连通。
惰性气体从内部惰性气体管道610流出,并且在到达惰性气体阀门30(d)前通过管线658。在某些实施例中,惰性气体阀门30(d)是双端阀门。该双端阀门控制惰性气体在内部惰性气体管道610和反应性气体阀门31(d)之间的流动。该双端阀门具有一个输入口与内部惰性气体管道610流动连通,还具有一个输出口通过管线660与反应性气体阀门31(d)流动连通。用这种方法,惰性气体阀门30(d)可以被配置为允许或阻止惰性气体在管线658和管线660之间的流动。
反应性气体阀门31(d)与管线660流动连通。除了上述允许或阻止反应性气体D从管线640到达歧管组件10的中心孔28外,反应性气体阀门31(d)进一步被配置为允许或阻止惰性气体流动穿过管线642并进入歧管组件10的中心孔28。因此,反应性气体阀门31(d)可以被配置为单独地允许或阻止惰性气体和反应性气体D进入管线642。
在优选实施例中,反应性气体阀门31(d)是三端阀门。反应性气体阀门31(d)的第一端口与管线640流动连通并接收反应性气体D。反应性气体阀门31(d)的第二端口与管线660流动连通并接收惰性气体。反应性气体阀门31(d)的第三端口或出口通过管线642与歧管组件10的中心孔28流动连通。
术语“阻止”和“允许”是相对的术语,并且不限于密封气体流动或允许完全流动。例如,反应性气体阀门31(a)被配置为当流过阀门的反应性气体增多时允许反应性气体流动。类似地,反应性气体阀门31(a)被配置为当流过阀门的反应性气体减少时阻止反应性气体流动。此外,在图6中图示说明的管线长度是为了易于识别,并且其根据所需配置可更短或更长。在某些实施例中,可以优选缩短一个或多于一个管道来减少要从歧管组件10清洗出的未反应的反应物量。实际上,图6中歧管组件10内的“管线”全都是中心块和/或附加板内的机械加工通道,从而阀门和反应室之间的距离是最小的,用以减少清洗时间,这可以从图4-5和7-10了解到。
惰性混合器补给管线54将中心孔28或歧管组件10的内部耦合到与内部惰性气体管道610分离的惰性气体源644上。管线54提供通向中心孔28而不穿过阀门的路径。在某些实施例中,阀门662控制惰性气体从管线54流进歧管组件10。
图7是图5中歧管组件10的顶视图,其图示说明耦合在歧管组件10的中心主体27上的反应性气体阀门31(a)-(d)和惰性气体阀门30(a)-(d)。歧管组件10被配置为通过联轴器190(a)-(d)使反应性气体按路线进入歧管组件10的中心孔28,并且通过联轴器190(e)使惰性气体按路线进入歧管组件10的中心孔28。联轴器190(a)通过管线622与反应性气体阀门31(a)流动连通。联轴器190(b)通过管线628与反应性气体阀门31(b)流动连通。联轴器190(c)通过管线634与反应性气体阀门31(c)流动连通。联轴器190(d)通过管线640与反应性气体阀门31(d)流动连通。联轴器190(e)通过管线54与歧管组件10的中心孔28流动连通。
歧管组件10在给定的脉冲期间可以将单种气体或多种气体同时按路线传送到歧管组件10的中心孔28。优选地,在ALD模式中,一种反应性气体在孔28中与惰性气体混合。所得的混合物进入沉积室1(图1)。在每个脉冲之后,ALD通过排气管24将任何未反应的反应物和惰性气体从沉积室排出,以及通过喷头排气阀门20(图1)将其从喷头组件中排出,诸如通过清洗。
在工艺处理、暂停或仅仅在清洗操作期间,惰性气体可以通过管线54连续地流向歧管组件10的中心孔28。如上所述,惰性气体还可以通过歧管组件10内的惰性管道补给管线52(图6)流向内部惰性气体管道610。内部惰性气体管道610与惰性气体阀门30(a)-(d)流动连通。
惰性气体阀门30(a)-(d)直接附连在歧管组件10的主体27上。参看图8和图9,每个反应性气体阀门31(a)-(d)可以使用附连在主体27上的间隔块700(a)-(d)而被安装在主体27上。间隔块700(a)-(d)被提供具有开口和与反应性气体阀门31(a)-(d)匹配的螺丝钉。间隔块700(a)-(d)使歧管组件10的制造变得容易。间隔块700(a)与反应性气体阀门31(a)相关联并且在歧管组件10的主体27和反应性气体阀门31(a)之间提供流动路径。间隔块700(b)与反应性气体阀门31(b)相关联并且在歧管组件10的主体27和反应性气体阀门31(b)之间提供流动路径。间隔块700(c)与反应性气体阀门31(c)相关联并且在歧管组件10的主体27和反应性气体阀门31(c)之间提供流动路径。间隔块700(d)与反应性气体阀门31(d)相关联并且在歧管组件10的主体27和反应性气体阀门31(d)之间提供流动路径。
图8是沿着图7中的线8-8的截面视图,而图9是沿着图7中的线9-9的截面视图。每个间隔块700(a)-(d)提供到达和来自相关反应性气体阀门31(a)-(d)的部分气体路由路径。图8和图9中图示说明的气体路由路径相当于图6中描述的管线。图6中描述的整体管线可以代表歧管组件10的单个部件中的整体通道或歧管组件10的多个部件中的部分通道。例如,图6和图8中图示说明的管线652相当于在歧管组件10的主体27和间隔块700(b)中的至少部分通道。图6和图8中图示说明的管线660相当于在歧管组件10的主体27和间隔块700(d)中的至少部分通道。
图示说明的实施例中的主体27是带有中心孔28的管状。主体27包括入口612和出口614。中心孔28有上下两部分,其下面部分是圆柱形,其上面部分是圆锥形。入口612区域的截面面积优选比出口614的截面面积大。在一些实施例中,中心孔28的截面流动面积随着混合物向出口614移动而逐渐减小,从而形成锥形或漏斗形的通路。
在某些实施例中,主体27的至少部分内表面是圆锥形,其随着混合物流向出口614而减小主体27的开口横截面积。主体27进一步包括下游的附连孔或用于将歧管组件10连接到反应室1的喷头板上的底面。
在图示说明的实施例中,每个间隔块700(a)-(d)有三个不同的通道,这些通道连接到相关反应性气体阀门31(a)-(d)的两个输入口和单一输出口上。例如,间隔块700(b)和歧管组件10的主体27中的第一通道或管线652将惰性气体阀门30(b)的输出端口连接到反应性气体阀门31(b)的两个输入端口中的一个上。第二通道或管线628将联轴器190(b)连接到反应性气体阀门31(b)的另一个输入端口上。第三通道或管线630将反应性气体阀门31(b)的输出端口连接到歧管组件10的中心孔28上。关于反应性气体阀门31(d),间隔块700(d)和歧管组件10的主体27中的第一通道或管线660将惰性气体阀门30(d)的输出端口连接到反应性气体阀门31(d)的两个输入口中的一个上。第二通道或管线640将联轴器190(d)连接到反应性气体阀门31(d)的另一个输入端口上。第三通道或管线642将反应性气体阀门31(d)的输出口连接到歧管组件10的中心孔28上。在图7中,惰性气体阀门30(a)-(d)的视图部分被反应性气体阀门31(a)-(d)的视图阻挡。
图9是沿着图7中的线9-9的放大截面视图,其显示连接在歧管组件10的主体27上的反应物阀门31(a)、31(c)和惰性气体阀门30(a)、30(c)。参考图7和图9,间隔块700(a)与反应性气体阀门31(a)相关联并在歧管组件10的主体27和反应性气体阀门31(a)之间提供流动路径。间隔块700(c)与反应性气体阀门31(c)相关联并在歧管组件10的主体和反应性气体阀门31(c)之间提供流动路径。间隔块700(a)和歧管组件10的主体27中的第一通道或管线648将惰性气体阀门30(a)的输出端口连接到反应性气体阀门31(a)的两个输入端口中的一个上。第二通道或管线622将联轴器190(a)连接到反应性气体阀门31(a)的另一个输入端口上。第三通道或管线624将反应性气体阀门31(a)的输出端口连接到歧管组件10的中心孔28上。关于反应性气体阀门31(c),间隔块700(c)和歧管组件10的主体27中的第一通道或管线656将惰性气体阀门30(c)的输出端口连接到反应性气体阀门31(c)的两个输入端口中的一个上。第二通道或管线634将联轴器190(c)连接到反应性气体阀门31(c)的另一个输入端口上。第三通道或管线636将反应性气体阀门31(c)的输出端口连接到歧管组件10的中心孔28上。
通道或管线654将惰性气体阀门30(c)的输入端口连接到内部惰性气体管道610上。通道或管线646将惰性气体阀门30(a)的输入端口连接到内部惰性气体管道610上。
参考图8和图9,反应性气体通过管线624、630、636、642进入歧管组件10的中心孔28,优选偏离中心线702以便使中心孔28内的气体形成漩涡以增强混合。漩涡气体可以根据所需产物促进反应性气体与惰性气体和/或其它反应性气体的混合。随着混合物向沉积室1移动,气体混合物在管状主体的内部环绕。
在某些实施例中,一个或多于一个主体27、间隔块700(a)-(d)和阀门30(a)-(d)、31(a)-(d)组件是不锈钢的或其它金属材料。使用不锈钢,歧管组件10不需要包括O型环,不会产生盲区。有利的是,管线或通道被整体形成于耐化学腐蚀金属块或主体27内。在某些实施例中,惰性阀门和反应物阀门30、31是不锈钢的,并且可以从俄亥俄州的Salon的接头套管(Swagelok)公司购买到。在优选实施例中,Swagelok公司的双端惰性气体阀门30(a)-(d)标识有零件号码6LVV-MSM-ALD3T-W2-P-CS,三端反应性气体阀门31(a)-(d)标识有零件号码6LVV-MSM-ALD3T-W3-P-CS。每个金属阀门30、31可以用金属密封,并且优选歧管的不锈钢间隔块700和主体27用金属密封。在某些其它实施例中,歧管组件10的一个或多于一个元件用陶瓷材料制成。
图9进一步图示说明位于匹配组件表面之间的各种金属密封件。当然,根据例如与匹配组件相关的材料、公差、工作压力以及气体可以使用更多的或更少的金属密封件。此外,在某些实施例中,一个或多于一个组件可以被结合成单一组件,从而在结合的组件之间不需要提供任何密封件。例如,间隔块700(a)-(d)和相关反应性气体阀门31(a)-(d)可以被结合成单一组件,从而在结合的元件之间避免需要密封件。此外,与反应性气体阀门相关联的间隔块700(a)-(d)可以延伸超出反应性气体阀门一侧以便为相邻惰性气体阀门(见图10)形成一个间隔。作为替代,反应性气体阀门和与其相关联的惰性气体阀门可以具有分开的间隔。用聚合材料制成的传统密封件900(诸如O型环)也被用来把歧管组件10与喷头组件密封在一起。
图10示意性地图示说明歧管组件10的另一个实施例,其中间隔块包括不同材料如铝和不锈钢的子组件,这些子组件被焊接在反应性气体阀门31(a)及其相关惰性气体阀门30(a)与歧管组件10的主体27之间。在这个实施例中,反应性气体阀门31(a)和惰性气体阀门30(a)被图示说明,而未反应性气体阀门31(b)-(d)和惰性气体阀门30(b)-(d)则未被图示说明。然而,以下描述同样适用于其它三对反应性气体阀门及其相关惰性气体阀门30(b)、31(b);30(c)、31(c);30(d)、31(d)。
在此优选实施例中,阀门31(a)、30(a)是用不锈钢制成的,例如316不锈钢(SS)。与较低强度金属相比,不锈钢有利于增强阀门的耐久性。歧管组件10的主体27是用铝或相似的材料制成的,并且提供高热导率。有利地,与不锈钢相比,铝是相对的轻金属并提供增强的热分布。作为替代,主体27可以用316不锈钢制成。当然其它材料也可以被用于主体27。
如图6所示,歧管组件10内的许多内部通道在组件之间是共享的。在不同部分连接通道之间的接口一般使用匹配表面中的凹槽来容纳O型环或其它密封装置900(图9)。凹槽及其相关密封件提高了在接口处形成盲区的可能性。有利的是具有更少的凹陷密封件或嵌入密封件、O型环以及任何其它组件,这些组件导致沿着歧管组件10的中心孔28和反应性气体阀门及惰性气体阀门之间的流动路径的盲区。这些盲区可能提供禁止完全清洗流动通道的缝隙或空隙。在空隙位置或沿着第一反应性气体可以扩散的流动路径的位置,未完全被清洗的第一反应性气体可能与第二反应性气体发生不希望的反应。
已经发现,通过减少位于主体27和阀门30、31之间的中间接口的数量,能够形成盲区的密封件数量和敏感性可以被降低。在必须有接口的地方,可以利用先进的加工技术来将接口处的盲区形成降到最低。这些加工技术包括电子束焊接、使用金属密封件技术、爆炸焊接等等。可以使用这些技术的一种或多于一种来制造歧管组件10。
在此优选实施例中,一个或多于一个构件被插入在主体27和阀门31(a)、30(a)之间。在图示说明的实施例中,铝底板606和不锈钢盖子608将主体27连接到阀门30(a)、31(a)上。底板606和盖子608进一步互相连接。优选地,底板606和盖子608在被连接到主体207上之前互相连接。在某些实施例中,底板606和盖子608使用本领域所知的爆炸焊接技术附连在一起。爆炸焊接融合底板606和盖子608的不同材料以在其间提供一个无密封件的接口。
优选地,底板606与主体27用相同的材料制成以简化它们之间的相互联接。在这一示例性实施例中,它们都是用铝制成的。在将底板606和盖子608的组件附连到主体27上之前,内部惰性气体管道610在主体27内被机械加工。底板606的表面形成内部惰性气体管道610的外表面。图示说明的内部惰性气体管道610的形状和尺寸仅仅是示例性的,而且其可以有不同的形状和尺寸。此外,内部惰性气体管道610的位置仅仅是示例性的,而且其可以从主体27内图示说明的位置移开。
爆炸焊接的底板606和盖子608被附连到主体27的外表面上。可以使用能量束焊接方法将底板606附连到主体27上。例如,激光束或电子束可以被使用并提供高聚焦能量束以将材料焊接在一起。在某些实施例中,底板606是用电子束焊接在主体27上的。
然后阀门被连接到盖子608上。在某些实施例中,金属密封件被使用以在阀门30、31和盖子608之间形成密封。与聚合物O型环不同的是,金属密封件具有提高的耐化学性。在某些实施例中,W形金属密封件被用在阀门30、31和盖子608之间的接口处。与聚合物O型环相比,金属密封件还因为其能够经得起高负荷而没有过度变形而有优势。金属密封件可以被镀膜或不被镀膜
一旦被装配,惰性气体从内部惰性气体管道610流出,并且在到达惰性气体阀门30(a)前通过管线646。有利地,主体27和底板606之间的焊接是没有分离密封件的电子束焊接。底板606和盖子608之间的焊接是没有分离密封件的爆炸焊接。在阀门30(a)、31(a)和盖子608之间使用可拆金属密封件,以允许移开阀门30(a)、31(a)以便检查、清理和维修。
惰性气体阀门30(a)的输出端口通过管线648与反应性气体阀门31(a)流动连通。管线648优选不在内部惰性气体管道610的组件之间共享,并且除了在管线648的入口和出口外不需要密封件。优选地,密封管线646的出口、管线648的入口、管线648的出口、管线622的出口以及管线624的入口的密封件是金属。有利的是,使用金属密封件可以提高密封件的寿命,使其寿命比传统的聚合物密封件长,并且因为其高耐化学性而增强排污效果。
反应性气体阀门31(a)与管线648流动连通。除了允许或阻止反应性气体A从管线622到达歧管组件10的中心孔28外,反应性气体阀门31(a)进一步被配置为允许或阻止惰性气体流动通过管线624并进入歧管组件10的中心孔28。因此,反应性气体阀门31(a)可以被配置为分别允许或阻止惰性气体和反应性气体A进入管线624。
在优选实施例中,反应性气体阀门31(a)是三端阀门。反应性气体阀门31(a)的第一端口与管线622流动连通并接收反应性气体A。反应性气体阀门31(a)的第二端口与管线648流动连通并接收惰性气体。反应性气体阀门31(a)的第三端口或出口通过管线624与歧管组件10的中心孔28流动连通。
控制底板606和盖子608的机械加工公差可以帮助对准接口第一侧的管线的第一部分与相同接口第二侧的相同管线的第二部分,从而降低歧管组件10内的再循环或空隙。控制歧管组件10的子组件匹配表面上的表面加工和表面光滑度可以帮助密封相邻的子组件。在某些实施例中,在密封面上保持16-32微米的加工表面。
控制系统控制一个或多于一个阀门30、31以选择性允许或阻止一种或多于一种气体到达歧管组件10的中心孔28。有利的是,歧管组件10的实施例降低了在歧管组件10的元件之间的接口处对传统密封件的需要。减少传统密封件的数量降低了形成盲管段或盲区的机会。对于ALD操作,减少盲管段就减少了所需清洗的持续时间,以避免反应物在反应空间上游的相互作用。此相互作用可能导致基片沉积的污染或不均匀性。在必须有接口的地方,可以使用先进的加工技术来将形成的盲区减到最少。这些加工技术包括电子束焊接、使用金属密封件技术、爆炸焊接等等。歧管组件10进一步使用离散的加热器180来分别控制进入歧管组件10的中心孔28的各种气体的温度。
虽然本发明依照优选实施例进行了描述,但是对本领域的普通技术人员来说显而易见的是其它实施例也在本发明的范围内。因此,可以在不偏离本发明思想和范围的情况下,做出各种变化和修改。例如,各种组件可以重新安排在需要的位置。此外,实践本发明时,不是必需具备所有的特征、方面和优势。

Claims (35)

1.一种原子层沉积ALD装置,包括:
歧管主体(27),其具有置于其中的惰性气体管道(610)、第一通道(624)和第二通道(630),所述第一通道(624)和所述第二通道(630)没有O型环;
孔(28),其位于所述主体(27)内并与所述第一通道(624)和所述第二通道(630)流动连通;
第一反应物阀门(31(a)),其被安装在所述主体(27)上并被配置为控制供给第一反应性气体通过所述第一通道(624)到所述孔(28);
第一惰性气体阀门(30(a)),其被安装在所述主体(27)上并具有与所述惰性气体管道(610)流动连通的输入端口以及与所述第一反应物阀门(31(a))的输入端口流动连通的输出端口,所述第一惰性气体阀门(30(a))被配置为控制从所述惰性气体管道(610)供给惰性气体到所述第一反应物阀门(31(a));以及
蒸汽沉积室,其与所述孔(28)流动连通并被配置为在其中容纳基片。
2.根据权利要求1所述的ALD装置,进一步包括:
第二反应物阀门(31(b)),其被安装在所述主体(27)上并被配置为控制供给第二反应性气体通过所述第二通道(630)到所述孔(28);以及
第二惰性气体阀门(30(b)),其被安装在所述主体(27)上并与所述惰性气体管道(610)流动连通,所述第二惰性气体阀门(30(b))被配置为控制从所述惰性气体管道(610)供给所述惰性气体到所述第二反应物阀门(31(b))。
3.根据权利要求2所述的ALD装置,进一步包括:
第三通道(636),其在所述主体(27)内没有O型环并与所述孔(28)流动连通;
第三反应物阀门(31(c)),其被安装在所述主体上并被配置为控制供给第三反应性气体通过所述第三通道(636)到所述孔;以及
第三惰性气体阀门(30(c)),其被安装在所述主体(27)上并与所述惰性气体管道(610)流动连通,所述第三惰性气体阀门(30(c))被配置为控制从所述惰性气体管道(610)供给所述惰性气体到所述第三反应物阀门(31(c))。
4.根据权利要求2所述的ALD装置,进一步包括置于所述第一反应物阀门和所述主体之间的第一间隔块和置于所述第二反应物阀门和所述主体之间的第二间隔块。
5.根据权利要求4所述的ALD装置,其中所述第一反应物阀门、所述第一惰性气体阀门、所述第一间隔块、所述第二反应物阀门、所述第二惰性气体阀门、所述第二间隔块和所述歧管主体包括316等级不锈钢。
6.根据权利要求4所述的ALD装置,其中所述第一间隔块包括底板和盖子,所述底板被耦合到所述主体和所述盖子上,并且所述盖子被耦合到所述第一反应物阀门上。
7.根据权利要求6所述的ALD装置,其中所述盖子和所述底板通过爆炸焊接而被耦合。
8.根据权利要求6所述的ALD装置,其中所述底板包括铝。
9.根据权利要求6所述的ALD装置,其中所述盖子包括不锈钢。
10.根据权利要求6所述的ALD装置,其中所述主体包括铝。
11.根据权利要求6所述的ALD装置,其中所述第一反应物阀门包括不锈钢,并且所述第一反应物阀门和所述盖子之间的联轴器使用金属密封件。
12.根据权利要求6所述的ALD装置,其中所述第一反应物阀门和所述盖子之间不用O型环耦合。
13.根据权利要求6所述的ALD装置,进一步包括第一加热器以加热所述第一反应性气体。
14.根据权利要求13所述的ALD装置,进一步包括第二加热器以加热第二反应性气体,所述第二加热器独立于所述第一加热器而被控制。
15.根据权利要求1所述的ALD装置,其中所述惰性气体管道(610)被配置为选择性地在第一时间段内通过所述第一通道(624)并在第二时间段内通过所述第二通道(630)与所述孔(28)连通。
16.一种用于半导体处理装置的多块歧管组件,所述歧管组件包括:
主体(27),其包括第一金属材料并且具有孔(28)和置于所述主体(27)内的惰性气体管道(610);
惰性气体阀门(30(a)),其被安装在所述主体(27)上并与所述惰性气体管道(610)流动连通;
底板(606),其包括所述第一金属材料并被耦合到所述主体(27)上;
盖子(608),其包括第二金属材料并被焊接到所述底板(606)上;
反应物阀门(31(a)),其被安装在所述盖子(608)上;以及
内部通路(624),其形成在所述主体(27)的所述孔(28)和所述盖子(608)之间,至少部分所述内部通路(624)延伸穿过所述主体(27)和所述底板(606),而不在所述主体(27)和底板(606)之间的焊接界面处形成盲管段;
其中所述惰性气体阀门(30(a))具有与所述惰性气体管道(610)流动连通的输入端口以及与所述反应物阀门(31(a))的输入端口流动连通的输出端口,其中所述惰性气体阀门(30(a))被配置为控制从所述惰性气体管道(610)供给惰性气体到所述反应物阀门(31(a))。
17.根据权利要求16所述的多块歧管组件,其中所述第一金属材料是铝,且所述第二金属材料是不锈钢。
18.一种原子层沉积ALD装置,包括:
分散组件,其被配置为分散气体;
进气歧管挡块,其被安装在所述分散组件上并具有孔(28)、内部惰性气体管道(610)、第一内部反应物通道(624)和第二内部反应物通道(630),所述第一和第二内部反应物通道(624)、(630)与所述孔(28)流动连通;
第一反应物阀门(31(a)),其被安装在所述进气歧管挡块上并被配置为控制供给第一反应性气体到所述第一内部反应物通道(624);
第一惰性气体阀门(30(a)),其被安装在所述进气歧管挡块上并具有与所述惰性气体管道(610)流动连通的输入端口以及与所述第一反应物阀门(31(a))的输入端口流动连通的输出端口,所述第一惰性气体阀门(30(a))被配置为控制从所述内部惰性气体管道(610)供给惰性气体到所述第一反应物阀门(31(a));
第二反应物阀门(31(b)),其被耦合到所述进气歧管挡块上并被配置为控制供给第二反应性气体到所述第二内部反应物通道(630);以及
第二惰性气体阀门(30(b)),其被安装在所述进气歧管挡块上并具有与所述惰性气体管道(610)流动连通的输入端口以及与所述第二反应物阀门(31(b))的输入端口流动连通的输出端口,所述第二惰性气体阀门(30(b))被配置为控制从所述内部惰性气体管道(610)供给所述惰性气体到所述第二反应物阀门(31(b))。
19.根据权利要求18所述的ALD装置,进一步包括控制器,所述控制器被配置为控制所述第一反应物阀门和所述第二反应物阀门。
20.根据权利要求18所述的ALD装置,其中所述控制器交替供给所述第一反应性气体和所述第二反应性气体到所述孔。
21.根据权利要求18所述的ALD装置,其中所述分散组件包括具有排气通路的喷头组件。
22.根据权利要求18所述的ALD装置,进一步包括间隔块,所述间隔块被置于所述第一反应物阀门和所述进气歧管挡块之间。
23.根据权利要求18所述的ALD装置,进一步包括间隔块,所述间隔块被置于所述第一惰性气体阀门和所述进气歧管挡块之间。
24.根据权利要求22所述的ALD装置,其中所述间隔块包括底板和盖子。
25.根据权利要求18所述的ALD装置,其中所述第一反应物阀门和所述进气歧管挡块之间的距离大约是10mm。
26.根据权利要求18所述的ALD装置,其中至少部分所述孔具有圆锥形。
27.根据权利要求25所述的ALD装置,其中所述第一内部反应物通道相对穿过所述圆锥形孔的中心线成一定角度,以便促进所述第一反应性气体在所述孔中的旋流。
28.根据权利要求18所述的ALD装置,其中所述第一内部反应物通道在所述第一反应物阀门和所述进气歧管挡块之间没有O型环。
29.根据权利要求18所述的ALD装置,其中所述第一和第二反应物阀门以及所述第一和第二惰性气体阀门是额定的,用以在至少为200℃的温度下操作。
30.一种向具有歧管主体(27)和反应器的原子层沉积装置分配气体的方法,所述歧管主体(27)内具有孔(28),所述方法包括:
通过在第一反应物阀门(31(a))和所述孔(28)之间没有O型环的第一通道(624),按路线向所述孔(28)传送第一反应性气体;
禁止所述第一反应性气体流动;以及
通过在所述第一通道上游的管线(648),按路线从第一惰性气体阀门(30(a))向所述第一反应物阀门(31(a))传送惰性气体,至少一部分所述管线(648)处于所述歧管主体(27)内部,所述管线(648)在所述第一惰性气体阀门(30(a))和所述第一通道(624)之间没有O型环。
31.根据权利要求30所述的方法,进一步包括加热处于所述第一反应物阀门和所述歧管出口之间的位置的所述第一反应性气体。
32.根据权利要求30所述的方法,进一步包括旋流所述歧管内的所述第一反应性气体。
33.根据权利要求30所述的方法,进一步包括:
通过在第二反应物阀门(31(b))和所述孔之间没有O型环的第二通道(630),按路线向所述孔(28)传送第二反应性气体;
禁止所述第二反应性气体流动;以及
通过在所述第二通道(630)上游的管线(652),按路线向所述孔(28)传送所述惰性气体,至少一部分所述管线(652)处于所述歧管主体(27)中,所述管线(652)在第二惰性气体阀门(30(b))和所述第二通道(630)之间没有O型环。
34.根据权利要求33所述的方法,进一步包括交替供给所述第一反应性气体和所述第二反应性气体到所述孔(28)。
35.根据权利要求33所述的方法,进一步包括至少在所述第一反应性气体被按路线传送到所述孔(28)和所述第二反应性气体被按路线传送到所述孔(28)之间的时间内,排空所述反应器。
CN2007800027939A 2006-01-19 2007-01-17 高温原子层沉积进气歧管 Active CN101370963B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US76024306P 2006-01-19 2006-01-19
US60/760,243 2006-01-19
PCT/US2007/001114 WO2007084493A2 (en) 2006-01-19 2007-01-17 High temperature ald inlet manifold

Publications (2)

Publication Number Publication Date
CN101370963A CN101370963A (zh) 2009-02-18
CN101370963B true CN101370963B (zh) 2012-03-28

Family

ID=38185832

Family Applications (1)

Application Number Title Priority Date Filing Date
CN2007800027939A Active CN101370963B (zh) 2006-01-19 2007-01-17 高温原子层沉积进气歧管

Country Status (6)

Country Link
US (2) US7918938B2 (zh)
JP (1) JP5280861B2 (zh)
KR (2) KR101522725B1 (zh)
CN (1) CN101370963B (zh)
TW (1) TWI424084B (zh)
WO (1) WO2007084493A2 (zh)

Families Citing this family (378)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4790291B2 (ja) * 2005-03-10 2011-10-12 東京エレクトロン株式会社 基板処理方法、記録媒体および基板処理装置
KR101522725B1 (ko) * 2006-01-19 2015-05-26 에이에스엠 아메리카, 인코포레이티드 고온 원자층 증착용 인렛 매니폴드
KR20090018290A (ko) * 2007-08-17 2009-02-20 에이에스엠지니텍코리아 주식회사 증착 장치
KR101046520B1 (ko) * 2007-09-07 2011-07-04 어플라이드 머티어리얼스, 인코포레이티드 내부 챔버 상의 부산물 막 증착을 제어하기 위한 pecvd 시스템에서의 소스 가스 흐름 경로 제어
US7939447B2 (en) * 2007-10-26 2011-05-10 Asm America, Inc. Inhibitors for selective deposition of silicon containing films
KR100949914B1 (ko) * 2007-11-28 2010-03-30 주식회사 케이씨텍 원자층 증착 장치
US7655543B2 (en) 2007-12-21 2010-02-02 Asm America, Inc. Separate injection of reactive species in selective formation of films
JP2009224590A (ja) * 2008-03-17 2009-10-01 Tokyo Electron Ltd 基板処理装置
JP2009239082A (ja) * 2008-03-27 2009-10-15 Tokyo Electron Ltd ガス供給装置、処理装置及び処理方法
FI122941B (fi) * 2008-06-12 2012-09-14 Beneq Oy Sovitelma ALD-reaktorin yhteydessä
JP5231117B2 (ja) * 2008-07-24 2013-07-10 株式会社ニューフレアテクノロジー 成膜装置および成膜方法
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8486191B2 (en) 2009-04-07 2013-07-16 Asm America, Inc. Substrate reactor with adjustable injectors for mixing gases within reaction chamber
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9441295B2 (en) * 2010-05-14 2016-09-13 Solarcity Corporation Multi-channel gas-delivery system
US8580044B2 (en) * 2010-08-13 2013-11-12 Samsung Austin Semiconductor, L.P. Apparatus for agitating and evacuating byproduct dust from a semiconductor processing chamber
TW201240087A (en) * 2011-03-30 2012-10-01 Anpec Electronics Corp Power device with boundary trench structure
US9255346B2 (en) * 2011-05-27 2016-02-09 Crystal Solar, Incorporated Silicon wafers by epitaxial deposition
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10707082B2 (en) * 2011-07-06 2020-07-07 Asm International N.V. Methods for depositing thin films comprising indium nitride by atomic layer deposition
CN102868092A (zh) * 2011-07-08 2013-01-09 苏州徕卡节能电气技术有限公司 双前门节电柜
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9574268B1 (en) 2011-10-28 2017-02-21 Asm America, Inc. Pulsed valve manifold for atomic layer deposition
KR101308111B1 (ko) * 2011-11-17 2013-09-26 주식회사 유진테크 복수의 배기포트를 포함하는 기판 처리 장치 및 방법
US8900364B2 (en) * 2011-11-29 2014-12-02 Intermolecular, Inc. High productivity vapor processing system
US9388492B2 (en) 2011-12-27 2016-07-12 Asm America, Inc. Vapor flow control apparatus for atomic layer deposition
JP5868219B2 (ja) * 2012-02-29 2016-02-24 株式会社フジキン 流体制御装置
JP5513544B2 (ja) * 2012-04-23 2014-06-04 東京エレクトロン株式会社 基板処理装置
US8985152B2 (en) * 2012-06-15 2015-03-24 Novellus Systems, Inc. Point of use valve manifold for semiconductor fabrication equipment
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
KR102003768B1 (ko) * 2012-11-13 2019-07-26 삼성디스플레이 주식회사 기상 증착 장치 및 유기 발광 표시 장치 제조 방법
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
KR102173047B1 (ko) * 2013-10-10 2020-11-03 삼성디스플레이 주식회사 기상 증착 장치
US9605343B2 (en) 2013-11-13 2017-03-28 Asm Ip Holding B.V. Method for forming conformal carbon films, structures conformal carbon film, and system of forming same
JP5971870B2 (ja) * 2013-11-29 2016-08-17 株式会社日立国際電気 基板処理装置、半導体装置の製造方法及び記録媒体
TWI524388B (zh) * 2013-12-27 2016-03-01 Hitachi Int Electric Inc A substrate processing apparatus, a manufacturing method of a semiconductor device, and a recording medium
JP5859583B2 (ja) * 2014-01-30 2016-02-10 株式会社日立国際電気 基板処理装置及び半導体装置の製造方法
US10683571B2 (en) * 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US9284644B2 (en) * 2014-02-27 2016-03-15 Lam Research Corporation Apparatus and method for improving wafer uniformity
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
JP5764228B1 (ja) 2014-03-18 2015-08-12 株式会社日立国際電気 基板処理装置、半導体装置の製造方法、プログラム及び記録媒体
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
JP5762602B1 (ja) * 2014-06-24 2015-08-12 株式会社日立国際電気 基板処理装置、半導体装置の製造方法及びプログラム
JP6001015B2 (ja) 2014-07-04 2016-10-05 株式会社日立国際電気 基板処理装置、半導体装置の製造方法、プログラムおよび記録媒体
JP6446881B2 (ja) * 2014-07-17 2019-01-09 東京エレクトロン株式会社 ガス供給装置及びバルブ装置
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) * 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
JP5800969B1 (ja) 2014-08-27 2015-10-28 株式会社日立国際電気 基板処理装置、半導体装置の製造方法、プログラム、記録媒体
US20170252756A1 (en) 2014-09-17 2017-09-07 Tokyo Electron Limited Shower head and film forming apparatus
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9963782B2 (en) * 2015-02-12 2018-05-08 Asm Ip Holding B.V. Semiconductor manufacturing apparatus
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US11384432B2 (en) * 2015-04-22 2022-07-12 Applied Materials, Inc. Atomic layer deposition chamber with funnel-shaped gas dispersion channel and gas distribution plate
US20160359080A1 (en) 2015-06-07 2016-12-08 Solarcity Corporation System, method and apparatus for chemical vapor deposition
KR20160147482A (ko) * 2015-06-15 2016-12-23 삼성전자주식회사 가스 혼합부를 갖는 반도체 소자 제조 설비
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US10403515B2 (en) * 2015-09-24 2019-09-03 Applied Materials, Inc. Loadlock integrated bevel etcher system
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
JP6333232B2 (ja) * 2015-12-02 2018-05-30 株式会社日立国際電気 基板処理装置、半導体装置の製造方法およびプログラム
US20170162366A1 (en) * 2015-12-08 2017-06-08 Asm Ip Holding B.V. Film forming apparatus, recording medium, and film forming method
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10215317B2 (en) * 2016-01-15 2019-02-26 Lam Research Corporation Additively manufactured gas distribution manifold
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
KR102632725B1 (ko) 2016-03-17 2024-02-05 에이에스엠 아이피 홀딩 비.브이. 기판 지지 플레이트 및 이를 포함하는 박막 증착 장치 및 박막 증착 방법
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US9748434B1 (en) 2016-05-24 2017-08-29 Tesla, Inc. Systems, method and apparatus for curing conductive paste
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10662527B2 (en) 2016-06-01 2020-05-26 Asm Ip Holding B.V. Manifolds for uniform vapor deposition
CN105839079B (zh) * 2016-06-07 2019-05-14 江苏微导纳米装备科技有限公司 真空镀膜装置
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10403474B2 (en) * 2016-07-11 2019-09-03 Lam Research Corporation Collar, conical showerheads and/or top plates for reducing recirculation in a substrate processing system
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
KR102514043B1 (ko) 2016-07-18 2023-03-24 삼성전자주식회사 반도체 소자의 제조 방법
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9954136B2 (en) 2016-08-03 2018-04-24 Tesla, Inc. Cassette optimized for an inline annealing system
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10115856B2 (en) 2016-10-31 2018-10-30 Tesla, Inc. System and method for curing conductive paste using induction heating
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
CN109964331B (zh) * 2016-12-02 2021-09-03 应用材料公司 薄膜封装处理系统和工艺配件
KR20180068582A (ko) * 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
KR20180070971A (ko) * 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
RU2727634C1 (ru) 2017-02-08 2020-07-22 Пикосан Ой Устройство для осаждения или очистки с подвижной конструкцией и способ его эксплуатации
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10400332B2 (en) * 2017-03-14 2019-09-03 Eastman Kodak Company Deposition system with interlocking deposition heads
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
CN109321897B (zh) * 2017-07-31 2022-01-07 北京北方华创微电子装备有限公司 一种原子层沉积系统及方法
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US20190048467A1 (en) * 2017-08-10 2019-02-14 Applied Materials, Inc. Showerhead and process chamber incorporating same
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
JP2020532658A (ja) 2017-08-24 2020-11-12 フォージ ナノ,インコーポレイティド 粉末を合成し、機能化し、表面処理及び/又はカプセル化する製造方法及びその用途
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10927459B2 (en) 2017-10-16 2021-02-23 Asm Ip Holding B.V. Systems and methods for atomic layer deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
CN111316417B (zh) 2017-11-27 2023-12-22 阿斯莫Ip控股公司 与批式炉偕同使用的用于储存晶圆匣的储存装置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
CN116732497A (zh) 2018-02-14 2023-09-12 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
TW202013553A (zh) 2018-06-04 2020-04-01 荷蘭商Asm 智慧財產控股公司 水氣降低的晶圓處置腔室
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR20210027265A (ko) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체
WO2020002995A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
JP7119779B2 (ja) * 2018-08-30 2022-08-17 住友金属鉱山株式会社 成膜装置と成膜方法
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
CN111286721A (zh) * 2018-12-06 2020-06-16 北京北方华创微电子装备有限公司 一种薄膜沉积方法及设备
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
CN113196444A (zh) * 2018-12-20 2021-07-30 应用材料公司 用于供应改良的气流至处理腔室的处理空间的方法和设备
WO2020146047A1 (en) * 2019-01-08 2020-07-16 Applied Materials, Inc. Pumping apparatus and method for substrate processing chambers
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
KR102638425B1 (ko) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 방법 및 장치
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
SG11202107817XA (en) * 2019-03-11 2021-09-29 Applied Materials Inc Lid assembly apparatus and methods for substrate processing chambers
US11492701B2 (en) * 2019-03-19 2022-11-08 Asm Ip Holding B.V. Reactor manifolds
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
WO2020243288A1 (en) * 2019-05-28 2020-12-03 Applied Materials, Inc. Thermal process chamber lid with backside pumping
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TW202121506A (zh) 2019-07-19 2021-06-01 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
US11631571B2 (en) * 2019-08-12 2023-04-18 Kurt J. Lesker Company Ultra high purity conditions for atomic scale processing
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN114269967A (zh) * 2019-09-10 2022-04-01 应用材料公司 蒸气输送方法与设备
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210048408A (ko) * 2019-10-22 2021-05-03 에이에스엠 아이피 홀딩 비.브이. 반도체 증착 반응기 매니폴드
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
KR20210103953A (ko) * 2020-02-13 2021-08-24 에이에스엠 아이피 홀딩 비.브이. 가스 분배 어셈블리 및 이를 사용하는 방법
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132576A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 함유 층을 형성하는 방법 및 이를 포함하는 구조
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202200817A (zh) * 2020-06-17 2022-01-01 美商應用材料股份有限公司 高溫化學氣相沉積蓋
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
US11862475B2 (en) * 2020-10-15 2024-01-02 Applied Materials, Inc. Gas mixer to enable RPS purging
US11584993B2 (en) 2020-10-19 2023-02-21 Applied Materials, Inc. Thermally uniform deposition station
US11401608B2 (en) * 2020-10-20 2022-08-02 Sky Tech Inc. Atomic layer deposition equipment and process method
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
FI129868B (en) * 2021-03-30 2022-10-14 Beneq Oy Gas supply cup and gas manifold assembly
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
TWI814435B (zh) * 2022-06-09 2023-09-01 友達光電股份有限公司 具抽氣結構的箱體
CN116103640B (zh) * 2023-04-07 2023-06-27 上海陛通半导体能源科技股份有限公司 一种ald反应腔装置及ald镀膜设备

Family Cites Families (74)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4747367A (en) * 1986-06-12 1988-05-31 Crystal Specialties, Inc. Method and apparatus for producing a constant flow, constant pressure chemical vapor deposition
US4951601A (en) 1986-12-19 1990-08-28 Applied Materials, Inc. Multi-chamber integrated process system
DE3715644A1 (de) 1987-05-11 1988-12-01 Fraunhofer Ges Forschung Molekularstrahlepitaxieanlage
US5080549A (en) 1987-05-11 1992-01-14 Epsilon Technology, Inc. Wafer handling system with Bernoulli pick-up
US4828224A (en) 1987-10-15 1989-05-09 Epsilon Technology, Inc. Chemical vapor deposition system
JPS6411320A (en) 1987-07-06 1989-01-13 Toshiba Corp Photo-cvd device
US5166092A (en) 1988-01-28 1992-11-24 Fujitsu Limited Method of growing compound semiconductor epitaxial layer by atomic layer epitaxy
US5071460A (en) 1988-03-04 1991-12-10 Nippon Telegraph And Telephone Corporation Process for the preparation of fluoride glass and process for the preparation of optical fiber preform using the fluoride glass
JPH01266715A (ja) * 1988-04-18 1989-10-24 Hitachi Ltd 薄膜成長装置
US4949783A (en) 1988-05-18 1990-08-21 Veeco Instruments, Inc. Substrate transport and cooling apparatus and method for same
EP0343530B1 (de) 1988-05-24 2001-11-14 Unaxis Balzers Aktiengesellschaft Vakuumanlage
US4889609A (en) 1988-09-06 1989-12-26 Ovonic Imaging Systems, Inc. Continuous dry etching system
US4907534A (en) 1988-12-09 1990-03-13 Siemens Aktiengesellschaft Gas distributor for OMVPE Growth
WO1990010092A1 (en) 1989-02-24 1990-09-07 Massachusetts Institute Of Technology A modified stagnation flow apparatus for chemical vapor deposition providing excellent control of the deposition
US5186718A (en) 1989-05-19 1993-02-16 Applied Materials, Inc. Staged-vacuum wafer processing system and method
KR0155158B1 (ko) 1989-07-25 1998-12-01 카자마 젠쥬 종형 처리 장치 및 처리방법
JPH0364465A (ja) * 1989-08-02 1991-03-19 Fujitsu Ltd 有機金属気相成長法
JPH03166719A (ja) * 1989-11-27 1991-07-18 Mitsubishi Electric Corp 気相結晶成長装置
DE4006489A1 (de) 1990-03-02 1991-09-05 Hoechst Ag Vorrichtung zum herstellen duenner schichten aus metallmischoxiden aus organischen metallverbindungen auf einem substrat
CA2016970A1 (en) 1990-05-16 1991-11-16 Prasad N. Gadgil Inverted diffusion stagnation point flow reactor for vapor deposition of thin films
JPH04145621A (ja) * 1990-10-08 1992-05-19 Furukawa Electric Co Ltd:The 半導体薄膜気相成長装置
US5286296A (en) 1991-01-10 1994-02-15 Sony Corporation Multi-chamber wafer process equipment having plural, physically communicating transfer means
JPH04308090A (ja) 1991-04-05 1992-10-30 M B K Maikurotetsuku:Kk 気相化学反応生成装置のロードロック機構
US5199483A (en) 1991-05-15 1993-04-06 Applied Materials, Inc. Method and apparatus for cooling wafers
US5192371A (en) 1991-05-21 1993-03-09 Asm Japan K.K. Substrate supporting apparatus for a CVD apparatus
US5223001A (en) 1991-11-21 1993-06-29 Tokyo Electron Kabushiki Kaisha Vacuum processing apparatus
US5248253A (en) 1992-01-28 1993-09-28 Digital Equipment Corporation Thermal processing furnace with improved plug flow
JPH05218176A (ja) 1992-02-07 1993-08-27 Tokyo Electron Tohoku Kk 熱処理方法及び被処理体の移載方法
US5229615A (en) 1992-03-05 1993-07-20 Eaton Corporation End station for a parallel beam ion implanter
GB2264957B (en) 1992-03-12 1995-09-20 Bell Communications Res Deflected flow in a chemical vapor deposition cell
JP3183575B2 (ja) 1992-09-03 2001-07-09 東京エレクトロン株式会社 処理装置および処理方法
JP3405466B2 (ja) 1992-09-17 2003-05-12 富士通株式会社 流体切替弁および半導体装置の製造装置
JP3186262B2 (ja) 1992-10-14 2001-07-11 ソニー株式会社 半導体装置の製造方法
KR100302012B1 (ko) 1992-11-06 2001-11-30 조셉 제이. 스위니 미소-환경 콘테이너 연결방법 및 미소-환경 로드 로크
JP2548062B2 (ja) 1992-11-13 1996-10-30 日本エー・エス・エム株式会社 縦型熱処理装置用ロードロックチャンバー
US5516732A (en) 1992-12-04 1996-05-14 Sony Corporation Wafer processing machine vacuum front end method and apparatus
JP3292540B2 (ja) 1993-03-03 2002-06-17 東京エレクトロン株式会社 熱処理装置
JP3218488B2 (ja) 1993-03-16 2001-10-15 東京エレクトロン株式会社 処理装置
JPH07122500A (ja) * 1993-10-28 1995-05-12 Fujitsu Ltd ガス機器及びこれを利用したガス供給装置
US5538390A (en) 1993-10-29 1996-07-23 Applied Materials, Inc. Enclosure for load lock interface
JP3042335B2 (ja) 1994-10-25 2000-05-15 信越半導体株式会社 気相成長方法及びその装置
US5586585A (en) 1995-02-27 1996-12-24 Asyst Technologies, Inc. Direct loadlock interface
JP3380091B2 (ja) 1995-06-09 2003-02-24 株式会社荏原製作所 反応ガス噴射ヘッド及び薄膜気相成長装置
US5609459A (en) 1995-07-06 1997-03-11 Brooks Automation, Inc. Door drive mechanisms for substrate carrier and load lock
JP3258885B2 (ja) 1995-12-27 2002-02-18 東京エレクトロン株式会社 成膜処理装置
US5661093A (en) 1996-09-12 1997-08-26 Applied Materials, Inc. Method for the stabilization of halogen-doped films through the use of multiple sealing layers
US5789027A (en) 1996-11-12 1998-08-04 University Of Massachusetts Method of chemically depositing material onto a substrate
US6432479B2 (en) 1997-12-02 2002-08-13 Applied Materials, Inc. Method for in-situ, post deposition surface passivation of a chemical vapor deposited film
IL138258A (en) * 1998-03-05 2005-08-31 Swagelok Co Modular surface mount manifold
US6079353A (en) 1998-03-28 2000-06-27 Quester Technology, Inc. Chamber for reducing contamination during chemical vapor deposition
KR100275738B1 (ko) * 1998-08-07 2000-12-15 윤종용 원자층 증착법을 이용한 박막 제조방법
JP2000172343A (ja) * 1998-12-02 2000-06-23 Hitachi Ltd ガス供給装置と成膜装置
AU1218401A (en) 1999-10-20 2001-04-30 Cvd Systems, Inc. Fluid processing system
DE10060002B4 (de) 1999-12-07 2016-01-28 Komatsu Ltd. Vorrichtung zur Oberflächenbehandlung
WO2001057289A1 (de) 2000-02-04 2001-08-09 Aixtron Ag Vorrichtung und verfahren zum abscheiden einer oder mehrerer schichten auf ein substrat
US6303501B1 (en) 2000-04-17 2001-10-16 Applied Materials, Inc. Gas mixing apparatus and method
KR100444149B1 (ko) 2000-07-22 2004-08-09 주식회사 아이피에스 Ald 박막증착설비용 클리닝방법
WO2002023964A1 (en) 2000-09-13 2002-03-21 Applied Materials, Inc. Processing chamber with multi-layer brazed lid
US6527855B2 (en) 2000-10-10 2003-03-04 Rensselaer Polytechnic Institute Atomic layer deposition of cobalt from cobalt metallorganic compounds
TW511135B (en) * 2000-12-06 2002-11-21 Angstron Systems Inc Method for integrated in-situ cleaning and subsequent atomic layer deposition within a single processing chamber
US6905547B1 (en) * 2000-12-21 2005-06-14 Genus, Inc. Method and apparatus for flexible atomic layer deposition
US20080102203A1 (en) * 2001-10-26 2008-05-01 Dien-Yeh Wu Vortex chamber lids for atomic layer deposition
US6916398B2 (en) 2001-10-26 2005-07-12 Applied Materials, Inc. Gas delivery apparatus and method for atomic layer deposition
US7780789B2 (en) * 2001-10-26 2010-08-24 Applied Materials, Inc. Vortex chamber lids for atomic layer deposition
US20080102208A1 (en) * 2001-10-26 2008-05-01 Dien-Yeh Wu Vortex chamber lids for atomic layer deposition
AU2003238853A1 (en) * 2002-01-25 2003-09-02 Applied Materials, Inc. Apparatus for cyclical deposition of thin films
US6899507B2 (en) 2002-02-08 2005-05-31 Asm Japan K.K. Semiconductor processing apparatus comprising chamber partitioned into reaction and transfer sections
US6846516B2 (en) * 2002-04-08 2005-01-25 Applied Materials, Inc. Multiple precursor cyclical deposition system
EP1420080A3 (en) * 2002-11-14 2005-11-09 Applied Materials, Inc. Apparatus and method for hybrid chemical deposition processes
US8152922B2 (en) 2003-08-29 2012-04-10 Asm America, Inc. Gas mixer and manifold assembly for ALD reactor
US7408225B2 (en) * 2003-10-09 2008-08-05 Asm Japan K.K. Apparatus and method for forming thin film using upstream and downstream exhaust mechanisms
US20070128862A1 (en) * 2005-11-04 2007-06-07 Paul Ma Apparatus and process for plasma-enhanced atomic layer deposition
KR101522725B1 (ko) * 2006-01-19 2015-05-26 에이에스엠 아메리카, 인코포레이티드 고온 원자층 증착용 인렛 매니폴드
US20070194470A1 (en) * 2006-02-17 2007-08-23 Aviza Technology, Inc. Direct liquid injector device

Also Published As

Publication number Publication date
KR20080106520A (ko) 2008-12-08
US20110162580A1 (en) 2011-07-07
US20080202416A1 (en) 2008-08-28
KR20140081895A (ko) 2014-07-01
WO2007084493A2 (en) 2007-07-26
US7918938B2 (en) 2011-04-05
JP2009524244A (ja) 2009-06-25
KR101522725B1 (ko) 2015-05-26
TWI424084B (zh) 2014-01-21
CN101370963A (zh) 2009-02-18
WO2007084493A3 (en) 2007-10-04
JP5280861B2 (ja) 2013-09-04
KR101474879B1 (ko) 2014-12-19
US8372201B2 (en) 2013-02-12
TW200732501A (en) 2007-09-01

Similar Documents

Publication Publication Date Title
CN101370963B (zh) 高温原子层沉积进气歧管
US11053587B2 (en) Radical source design for remote plasma atomic layer deposition
US7622005B2 (en) Uniformity control for low flow process and chamber to chamber matching
US7922863B2 (en) Apparatus for integrated gas and radiation delivery
US9951421B2 (en) Inlet for effective mixing and purging
US6495233B1 (en) Apparatus for distributing gases in a chemical vapor deposition system
KR101165110B1 (ko) 화학 기상 증착 시스템에서 가스를 분배하기 위한 차단기플레이트 우회로
KR102481930B1 (ko) 3-5족-반도체 층을 증착하기 위한 방법 및 장치
TWI589724B (zh) 熱絲化學氣相沉積腔室之噴頭設計
CN104250728A (zh) 具有气封的化学沉积腔室
US20140127404A1 (en) Apparatus For Spatial Atomic Layer Deposition With Recirculation And Methods Of Use
KR20050088454A (ko) 챔버 에지에서의 세정율을 개선하는 차단기 플레이트우회로 설계
KR20080033406A (ko) 반도체 처리용 증착 장치
CN110582591A (zh) 原子层沉积设备、方法和阀
US11189502B2 (en) Showerhead with interlaced gas feed and removal and methods of use
KR20070107711A (ko) Cvd 반응기용 가스 유입 요소
JP2017520120A (ja) エピタキシャルチャンバへのガス注入装置
US20070045239A1 (en) Apparatus and method for processing a microfeature workpiece using a plasma
KR20240034515A (ko) 기판 처리 장치
CN116145110A (zh) 进气装置以及等离子体设备
KR20030037873A (ko) 반도체 제조장치용 반응가스 분사노즐

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
TR01 Transfer of patent right
TR01 Transfer of patent right

Effective date of registration: 20210414

Address after: Holland Almere

Patentee after: ASM IP Holding B.V.

Address before: Arizona, USA

Patentee before: ASM AMERICA, Inc.