CN101375381A - 形成场效晶体管的方法及形成包含晶体管栅极阵列及在所述栅极阵列外围的电路的集成电路的方法 - Google Patents

形成场效晶体管的方法及形成包含晶体管栅极阵列及在所述栅极阵列外围的电路的集成电路的方法 Download PDF

Info

Publication number
CN101375381A
CN101375381A CNA2007800037288A CN200780003728A CN101375381A CN 101375381 A CN101375381 A CN 101375381A CN A2007800037288 A CNA2007800037288 A CN A2007800037288A CN 200780003728 A CN200780003728 A CN 200780003728A CN 101375381 A CN101375381 A CN 101375381A
Authority
CN
China
Prior art keywords
gate
masking
grid
groove
array
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CNA2007800037288A
Other languages
English (en)
Other versions
CN101375381B (zh
Inventor
金永毕
库纳尔·R·帕雷克
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Micron Technology Inc
Original Assignee
Micron Technology Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Micron Technology Inc filed Critical Micron Technology Inc
Publication of CN101375381A publication Critical patent/CN101375381A/zh
Application granted granted Critical
Publication of CN101375381B publication Critical patent/CN101375381B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823462MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate insulating layers, e.g. different gate insulating layer thicknesses, particular gate insulator materials or particular gate insulator implants
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823437MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • H01L21/823456MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes gate conductors with different shapes, lengths or dimensions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823487MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of vertical transistor structures, i.e. with channel vertical to the substrate surface
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42356Disposition, e.g. buried gate electrode
    • H01L29/4236Disposition, e.g. buried gate electrode within a trench, e.g. trench gate electrode, groove gate electrode
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42372Gate electrodes for field effect devices for field-effect transistors with insulated gate characterised by the conducting layer, e.g. the length, the sectional shape or the lay-out
    • H01L29/42376Gate electrodes for field effect devices for field-effect transistors with insulated gate characterised by the conducting layer, e.g. the length, the sectional shape or the lay-out characterised by the length or the sectional shape
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66613Lateral single gate silicon transistors with a gate recessing step, e.g. using local oxidation
    • H01L29/66621Lateral single gate silicon transistors with a gate recessing step, e.g. using local oxidation using etching to form a recess at the gate location

Abstract

本发明包括形成场效晶体管的方法、形成场效晶体管栅极的方法、形成包含晶体管栅极阵列及在所述栅极阵列外围的电路的集成电路的方法及形成包含包括第一栅极及第二接地隔离栅极的晶体管栅极阵列的集成电路的方法。在一个实施方案中,一种形成场效晶体管的方法包括在衬底的半导电材料(11)上方形成掩蔽材料(22、24、26)。形成穿过掩蔽材料(22、24、26)并进入半导电材料(11)中的沟槽(30)。在半导电材料(11)中的沟槽(30)内形成栅极介电材料(32)。在掩蔽材料(22、24、26)中的沟槽(30)内且在栅极介电材料(32)上方的半导电材料(11)中的沟槽(30)内沉积栅极材料(34)。形成源极/漏极区域。本发明还预期其它方面及实施方案。

Description

形成场效晶体管的方法及形成包含晶体管栅极阵列及在所述栅极阵列外围的电路的集成电路的方法
技术领域
本发明涉及场效晶体管及其组件的制造。
背景技术
场效晶体管是用于集成电路(例如:逻辑电路、存储器电路及存储器电路的控制电路)中的共用器件。所述器件通常包含一对在其之间接纳有沟道区域的源极区域/漏极区域。导电栅极以操作方式提供在靠近所述沟道区域处,且通过栅极介电区域与所述沟道区域间隔开。向导电栅极施加合适电压致使电流在源极/漏极区域之间穿过沟道区域。
仅举例来说,栅极的导电材料可形成在半导电材料以上或上方或形成在半导电材料中所形成的开口内,且举例来说无论是块单晶衬底材料内还是绝缘体上半导体材料内。当形成于半导电材料的沟槽或其它开口内时,一些所述导电材料被称作凹入式存取器件。此处,在衬底的半导电材料上方提供掩蔽材料并将其图案化以在所述衬底内形成栅极线沟槽。在如此形成沟槽的情况下,移除掩蔽材料,且然后通过(举例来说)热氧化所述沟槽内暴露的半导电材料,在沟槽开口内形成栅极电介质。然后沉积栅极材料以过度填充所述沟槽。然后通常使用光刻及蚀刻图案化接纳于沟槽外部的栅极材料以在也接纳栅极材料的沟槽上方形成所需的栅极轮廓。
通常,栅极材料图案化在所述沟槽上方形成与底层沟槽同宽或极为接近的栅极线。光掩模欠对准可不需要地将所需栅极线图案的一边缘置于先前所蚀刻沟槽的横向界限内。此极其不可取,因为栅极图案蚀刻可蚀刻沟槽内的栅极材料,最终导致电路故障或至少不可接受的器件配置及性能。
虽然本发明的动机是解决上文所识别的问题,但其絶不受此限制。本发明仅受未对本说明书做解释性或其它限制性参考的文字表达的随附权利要求书及根据等效原则限制。
发明内容
本发明包括:形成场效晶体管的方法、形成场效晶体管栅极的方法、形成包含晶体管栅极阵列及在所述栅极阵列外围的电路的集成电路的方法及形成包含包括第一栅极及第二接地隔离栅极的晶体管栅极阵列的集成电路的方法。在一个实施方案中,一种形成场效晶体管的方法包括在衬底的半导电材料上方形成掩蔽材料。形成穿过所述掩蔽材料且进入所述半导电材料中的沟槽。在所述半导电材料中的沟槽内形成栅极介电材料。在所述掩蔽材料中的沟槽内且在所述栅极介电材料上方的所述半导电材料中的沟槽内沉积栅极材料。形成源极/漏极区域。
在一个实施方案中,一种形成场效晶体管栅极的方法包括在衬底的半导电材料上方形成包含氮化硅的掩蔽材料。形成穿过所述包含氮化硅的掩蔽材料且进入所述半导电材料中的沟槽。在形成进入所述半导电材料中的沟槽之后移除所述掩蔽材料的氮化硅。在移除所述掩蔽材料的氮化硅之前,在所述半导电材料中的沟槽内形成栅极介电材料。在所述栅极介电材料上方的所述半导电材料中的沟槽内沉积栅极材料。
在一个实施方案中,一种形成包含晶体管栅极阵列及在所述栅极阵列外围的电路的集成电路的方法包括在衬底的半导电材料上方形成掩蔽材料。形成穿过所述掩蔽材料且进入所述半导电材料中的阵列电路沟槽。在所述掩蔽材料中的所述阵列电路沟槽内及所述半导电材料中的所述阵列电路沟槽内沉积阵列栅极材料。在沉积所述阵列栅极材料之后,形成穿过所述掩蔽材料的外围电路沟槽。在所述掩蔽材料内的所述外围电路沟槽内沉积外围电路栅极材料。
在一个实施方案中,一种形成包含晶体管栅极阵列及在所述栅极阵列外围的电路的集成电路的方法包括在衬底的半导电材料上方形成掩蔽材料。形成穿过所述掩蔽材料且进入所述半导电材料中的阵列电路沟槽。在所述掩蔽材料中的所述阵列电路沟槽内及所述半导电材料中的所述阵列电路沟槽内沉积阵列栅极材料。形成穿过所述阵列栅极材料且穿过所述掩蔽材料的外围电路沟槽。在所述阵列栅极材料内及所述掩蔽材料内的所述外围电路沟槽内沉积外围电路栅极材料。
在一个实施方案中,一种形成场效晶体管栅极的方法包括在衬底的半导电材料上方形成掩蔽材料。所述衬底包含沟槽隔离区域。在共用掩蔽步骤中,形成穿过所述掩蔽材料且进入所述半导电材料中的第一沟槽且形成穿过所述沟槽隔离区域上方的所述掩蔽材料的第二接地隔离栅极沟槽。在共用沉积步骤中,在所述第一沟槽及第二沟槽内沉积栅极材料。
在一个实施方案中,一种形成包含包括第一栅极及第二接地隔离栅极的晶体管栅极阵列的集成电路的方法包含在衬底的半导电材料上方形成掩蔽材料。所述衬底包含沟槽隔离区域。为第一栅极形成穿过所述掩蔽材料且进入所述半导电材料中的第一沟槽。形成穿过所述沟槽隔离区域上方的所述掩蔽材料的第二接地隔离栅极沟槽。在所述第一沟槽及第二沟槽内沉积栅极材料。
本发明也预期其它方面及实施方案。
附图说明
上文已参照以下附图描述了本发明的优选实施例。
图1是根据本发明一方面在过程中的半导体衬底片段的示意性剖面图。
图2是继图1所示步骤后的处理步骤处图1衬底片段的视图。
图3是继图2所示步骤后的处理步骤处图2衬底片段的视图。
图4是继图3所示步骤后的处理步骤处图3衬底片段的视图。
图5是继图4所示步骤后的处理步骤处图4衬底片段的视图。
图6是继图5所示步骤后的处理步骤处图5衬底片段的视图。
图7是继图6所示步骤后的处理步骤处图6衬底片段的视图。
图8是继图7所示步骤后的处理步骤处图7衬底片段的视图。
图9是继图8所示步骤后的处理步骤处图8衬底片段的视图。
图10是继图9所示步骤后的处理步骤处图9衬底片段的视图。
图11是继图10所示步骤后的处理步骤处图10衬底片段的视图。
图12是继图11所示步骤后的处理步骤处图11衬底片段的视图。
图13是继图12所示步骤后的处理步骤处图12衬底片段的视图。
图14是继图13所示步骤后的处理步骤处图13衬底片段的视图。
图15是根据本发明一方面在过程中替代实施例半导体衬底片段的示意性剖面图。
图16是继图15所示步骤后的处理步骤处图15衬底片段的视图。
图17是继图16所示步骤后的处理步骤处图16衬底片段的视图。
图18是根据本发明一方面在过程中另一替代实施例半导体衬底片段的示意性剖面图。
图19是继图18所示步骤后的处理步骤处图18衬底片段的视图。
图20是根据本发明一方面在过程中另一替代实施例半导体衬底片段的示意性剖面图。
具体实施方式
本发明包括:形成场效晶体管栅极的方法、形成场效晶体管的方法及形成包含晶体管栅极阵列及在所述栅极阵列外围的电路的集成电路的方法。所述论述主要参照形成包含晶体管栅极阵列及在所述栅极阵列外围的电路的集成电路而继续,而所属领域的技术人员将理解本发明的适当方面也可应用于形成单个场效晶体管以及多个场效晶体管及其一个或一个以上场效晶体管栅极。
开始参照图1,一般使用参考符号10指示过程中的半导体衬底。在本文件的上下文中,术语“半导体衬底”或“半导电衬底”被定义为意指包含半导电材料的任何结构,所述半导电材料包括但不限于例如半导电晶片(单独或在其上包含其它材料的组合件中)的块状半导电材料及半导电材料层(单独或在包含其它材料的组合件中)。术语“衬底”是指任一支撑结构,其包括但不限于上文所述的半导电衬底。所描绘衬底10包含阵列区或区域12及在栅极阵列区12外围的外围电路区14,在阵列区12内将制造场效晶体管栅极阵列。仅举例来说,阵列区12可用于制造存储器电路,举例来说DRAM电路,而外围电路区14可包括用于操作/控制阵列区12内的存储器电路的控制电路。当然,本发明预期替代配置,举例来说在逻辑电路、控制电路或其它电路内利用栅极阵列及场效晶体管。
所描绘衬底10包含半导电材料11,举例来说块状单晶硅。当然,本发明也预期其它半导电材料衬底,举例来说绝缘体上半导体衬底且无论已存在或尚未开发。理想上,半导电材料11适合本底掺杂或经掺杂以形成经掺杂阱以成为合适的电导类型及浓度。已相对于半导电衬底材料11制造出实例性优选的沟槽隔离区域13、15、16、17及18。
参照图2,已在衬底10的半导电材料11上方形成掩蔽材料20。所描绘的掩蔽材料20包含:最内部的垫氧化物层22(从30埃到100埃的实例性优选厚度范围)、接纳于材料22上方且具有不同于材料22组成的组成的掩蔽层24(从50埃到300埃的优选实例性厚度范围)及形成于掩蔽层24上方且具有不同于掩蔽层24的材料的材料的掩蔽层26(从1,000埃到3,000埃的实例性优选厚度范围)。某些或所有掩蔽材料20可能牺牲,因此最终从衬底移除。因此,掩蔽材料20的某些部分或全部可能是电绝缘、半导电或导电中的任一者。用于层24的实例性优选材料是氮化硅,而用于层26的实例性优选材料是未掺杂的二氧化硅。另一实例性替代实施例(且举例来说)形成包含二氧化硅的层24及包含氮化硅的层26。无论如何且因此仅在一个优选实施方案中,掩蔽材料20包含二氧化硅及氮化硅,且在一更优选实施例中包含接纳于氮化硅上方的二氧化硅。
在一个优选实施方案中,可将层26视为包含外部绝缘材料层且可将层24视为包含内部绝缘材料层,其中可相对于所述内部绝缘材料层选择性地蚀刻所述外部绝缘材料层,且与是否在内部绝缘材料层24内部接纳另一绝缘材料层(例如层22)无关。在一个优选实施方案中,外部绝缘材料层26厚于内部绝缘材料层24,且在所示的一个优选实施方案中,外部绝缘材料层26接触内部绝缘材料层24。另外在所描绘的实例性实施例中,外部绝缘材料层26至少在掩蔽材料20图案化结束时是掩蔽材料20的最外部材料。另外,在仅一个实例性实施方案中,层24优选地厚于层22。
参照图3,已形成穿过掩蔽材料20的阵列电路沟槽28。实例性优选技术包括使用一个或一个以上光致抗蚀剂层或其它层(未显示)的光刻图案化及蚀刻。图3描绘所述光致抗蚀剂层或其它层已在掩蔽材料20上方移除,虽然在利用光刻的图3的处理结束时可能保留部分或全部光致抗蚀剂层或其它层。
参照图4,已将掩蔽材料20用作掩模以形成进入半导电材料11中的阵列电路沟槽30。因此在一个优选实施例中,使用单个掩蔽步骤(举例来说,利用光刻)形成所描绘的沟槽28及30。半导电材料11内的沟槽30从其一外表面开始的实例性优选深度范围是从300埃到2,500埃。
参照图5,已在半导电材料11中的沟槽30内形成栅极介电材料32。在一个优选实施方案中,通过对沟槽30内的半导电材料11的热氧化来形成至少大部分栅极介电材料32。虽然所描绘的实例性实施例实质上描绘已通过热氧化形成所有所述栅极介电材料,但本发明当然也预期对阵列沟槽30内的材料11进行或不进行热氧化的情况下沉积栅极介电材料。
参照图6,已在掩蔽材料20内的阵列电路沟槽28内及在半导电材料11内的阵列电路沟槽30内及在栅极介电材料32上方沉积阵列栅极材料34。优选地,将阵列栅极材料34沉积到至少填充沟槽28及30,且最优选地沉积到过度填充所述沟槽,且也沉积栅极材料34以覆盖掩蔽材料20。实例性优选材料34包括导电掺杂型半导电材料,例如在沉积期间或随后原位掺杂的导电掺杂型多晶硅。也可利用其它导电材料,例如导电金属或金属化合物,但在所述过程中在此处其它导电材料并非优选。
参照图7,在沉积阵列栅极材料34之后,已形成穿过掩蔽材料20(在所描绘的实施例中,其中在掩蔽材料20上方接纳材料34)且也穿过阵列栅极材料34的外围电路沟槽36。图7也在一个实施方案中描绘制造穿过(举例来说)一个或一个以上沟槽隔离区域上方的阵列区域12内的掩蔽材料20的接地栅极沟槽37。在本文件的上下文中,接地栅极是隔离栅极,其经制造而接纳于至少某些场隔离上方且保持接地或其它合适电位以用于提供隔离功能,以便排除或减少场隔离区域下方或周围的寄生场效晶体管电流的形成。如果需要,某些或所有沟槽36、37可经制造以蚀刻/延伸到半导电材料11及/或场/沟槽隔离材料的材料内。
参照图7及8,优选实施例沟槽36、37优选地暴露衬底10的半导电材料11。图8描绘一个优选实施方案,其中在外围电路沟槽36内的已暴露半导电材料11上方形成栅极介电层38。仅举例来说,此可由热氧化来形成,其中至少大部分栅极介电层是由经氧化的半导电材料(如所示)构成。此当然也可在对衬底材料11进行热氧化或不进行热氧化的情况下与栅极介电层的沉积组合或由栅极介电层的沉积所替代。此外,在所描绘的实例性实施例中,栅极介电层38实质上也形成于阵列栅极材料34上方(且如图所示“在上面”),且如下文所述,随后通常将其从阵列栅极材料34上方移除。无论如何,栅极介电材料38可与阵列电路沟槽30的栅极介电材料32相同或不同,借此使电路不同区的栅极电介质优化。形成沟槽36及37的优选方式是(举例来说)使用光刻在形成两种类型的沟槽共用的单个掩蔽步骤中。在某些实施方案中,可根本不形成沟槽36及37的一者或两者,或在其它时间形成(如果形成),在下文仅以实例形式在可能的可能替代实施例中进行说明。
无论如何,图7描绘一个实例性优选实施例,其中在所述相同掩蔽步骤中形成所述阵列的接地栅极沟槽及外围电路沟槽。此外,当然也可在外围电路区14内制造接地栅极沟槽。
参照图9,已在掩蔽材料20内的外围电路沟槽36内且(在所描绘的实例性实施例中)在也形成于阵列栅极材料34内的对应外围电路沟槽内沉积外围电路栅极材料40。栅极材料40可与材料34相同或不同,借此能够优化针对不同栅极形成的导电栅极材料的导电类型及/或功函数。此外在所描绘的实例性实施例中,在接地栅极的制造中也使用外围电路栅极材料40,也在接地栅极沟槽37内沉积栅极材料40。在所描绘的实例性优选实施例中,将外围电路栅极材料40沉积到如下厚度:用外围电路栅极材料40至少填充且优选地过度填充外围电路沟槽36且至少填充且优选地过度填充接地栅极沟槽37。
参照图10,已相对于掩蔽材料20选择性地移除阵列栅极材料34、外围电路栅极材料40及两者之间的介电层38,且外露掩蔽材料20,以有效地隔离掩蔽材料20中及如此形成的半导电材料11中的相应沟槽内的相应栅极材料。在本文件的上下文中,选择性移除需要以移除一个材料相对于另一个材料为2:1或更大的比率移除(举例来说通过蚀刻或其它方法)。在所描绘的实例性实施例中,所述移除已有效地使形成于掩蔽材料20内的所描绘沟槽28、36及37内的栅极材料34及40凹入。实例性优选技术包括化学机械抛光、抗蚀剂回蚀或定时化学蚀刻中的任一者或组合。此处,举例来说,材料34及40包含多晶硅,且掩蔽材料20的外层26包含氮化硅,能够在定时蚀刻中产生图10结构的实例性蚀刻化学品包括氢氧化四甲铵,随后将其暴露于氢氟酸溶液。
参照图11,实例性较高导电层42(即,难熔金属、其它金属或金属硅化物)已经沉积及抛光或回蚀,随后沉积绝缘金属层44,随后对绝缘金属层44进行抛光或其它回蚀。在一个实例性优选实施例中,绝缘金属层44借此用绝缘材料44帽盖掩蔽材料20内的凹入栅极材料34及40。在一个优选实施例中,绝缘材料44具有与掩蔽材料20的其中由绝缘材料形成的内层24的组成相同的组成。因此,仅举例来说,材料44及24可包含氮化硅,其中材料26包含二氧化硅,或在优选实施例中相反。
参照图12,且仅在一个优选实施例中,已相对于内层24选择性地蚀刻掩蔽材料20的外层26,且帽盖接纳于凹入栅极材料34及40上方的绝缘材料44。在一个优选实施方案中,本发明的一方面包括当使用栅极介电材料时,在移除掩蔽材料的氮化硅之前,在所述沟槽内形成栅极介电材料(举例来说材料32)。
参照图13,且仅在一个优选实施例中,如图所示,已在衬底10上方沉积优选地具有与掩蔽材料20的内部绝缘材料层24的组成相同组成的绝缘材料50。
参照图14,已各向异性地蚀刻材料50及材料24,有效地在栅极材料34、40及42周围形成绝缘侧壁间隔层52。可在所述过程早期或此时移除一些或所有垫氧化物层22(当使用垫氧化物层时),或可将一些垫氧化物层22保留为最终电路构造的一部分。无论如何,在一个优选实施例中,本发明的方面包括在已沉积至少栅极材料34之后的某时刻移除至少大部分的掩蔽材料。在大多数优选实施例中,此类形成场效晶体管栅极、场效晶体管及晶体管栅极阵列及在栅极阵列外围的电路的方法优选地在已沉积栅极材料34、38及42中的任一者或其组合之后不对其进行光刻图案化。
图14描绘源极/漏极区域56的制造,其中源极/漏极区域56大部分优选地形成于衬底10的半导电材料11内。在上述处理步骤的任一者期间,可通过合适的导电性增强掺杂剂的离子植入中的一者或其组合来形成源极/漏极区域56。此外,在上述处理的任一者期间,当然可实施其它沟道、沟道填塞或其它植入(无论已存在或尚未开发出)。
本发明当然也预期替代实施例,而本发明仅由字面措辞的权利要求书来限制,而不从其它权利要求书、图式或说明书中读取限制。仅举例来说,现在将描述若干实例性替代实施例。参照图15,其描绘对应于图4中关于第一所述实施例的所描绘处理的半导体衬底10a或其替代物。已使用第一所述实施例中的相同编号,如果需要,用后缀“a”或不同的编号以示区别。图15描绘衬底片段10a,其包括在其中形成阵列电路沟槽28及30的相同掩蔽步骤中形成穿过所述阵列中的掩蔽材料20的接地栅极沟槽37a。另外,仅举例来说,在所描绘的实施例中,接地栅极沟槽37a已经形成而延伸到所述沟槽隔离区域(例如沟槽隔离区域15)中。
参照图16,已形成栅极介电材料32,且已将栅极材料34a沉积到接地栅极沟槽37a内。
参照图17,随后处理已发生到制造经各向异性地蚀刻的绝缘侧壁间隔层52及源极/漏极区域56的点。在其它方面,处理、材料等均优选按照图1-14第一所述实施例中所提供。
进一步仅举例来说,参照图18及19描述关于衬底片段10b的另一实例性实施例处理。已使用第一及第二所述实施例中的相同编号,如果需要,用后缀“b”或不同编号以示区别。图18在处理序列上对应于图4的处理,且其中已形成与阵列电路沟槽28、30的形成相当的一个或一个以上外围电路沟槽36b。可能有利地使用外围电路沟槽,其中需要外围电路及阵列电路的某些晶体管是相同的导电性类型及/或功函数及/或其它所需的性质。
图19描绘随后栅极电介质32的制造、栅极材料34b的沉积及随后对掩蔽材料20b及栅极材料34b的图案化以形成(仅举例来说)接地栅极沟槽37b及另一个外围电路沟槽36b。因此,可与阵列电路沟槽的形成相当地形成一些外围电路沟槽。可(举例来说)类似于或不同于关于图8-14的描绘及描述发生随后的处理。
图20(仅举例来说)描绘关于衬底片段10c的替代实例性处理。已使用上述实施例中的相同编号,如果需要,用后缀“c”或不同编号以示区别。图20描绘一处理,通过所述处理已使用与制造所描绘剖面上任何其它线沟槽分离的掩蔽步骤制造阵列沟槽28、30。在此之后,已在共用掩蔽步骤中制造接地栅极隔离沟槽37及一个外围电路栅极沟槽70,且在其上方沉积栅极材料40c。此后,已经由掩蔽材料20及先前所沉积的栅极材料实施另一掩蔽,以形成另一外围电路沟槽74。已形成栅极电介质71(举例来说通过关于栅极电介质材料制造的上述过程中的任一者)。随后,已沉积栅极材料76,栅极材料76可与上述实例性栅极材料中的任一者相同或不同。在其它方面,处理随后可理想地与关于(举例来说)图8-14所描绘及描述的上述实施例相同或不同地继续。
本发明的方面还包含一种形成场效晶体管栅极的方法,其包括在所述衬底的半导电材料上方形成掩蔽材料,且其中所述衬底包含沟槽隔离区域。仅举例来说,实例性实施例即为上述实施例。在共用掩蔽步骤中,形成穿过掩蔽材料且进入所述半导电材料中的第一沟槽,且形成穿过所述场隔离区域上方的所述掩蔽材料形成第二接地隔离栅极沟槽。一个优选实施方案中的掩蔽步骤包括光刻。此外,在一个实施方案中,在所述共用掩蔽步骤期间可将所述第二接地隔离栅极沟槽制造成延伸到所述场隔离区域内。
随后在共用沉积步骤中,在所述第一沟槽及所述第二沟槽内沉积栅极材料。此共用沉积步骤优选地用栅极材料至少填充且优选地过度填充所述第一沟槽及第二沟槽。在一个优选实施方案中,在沉积栅极材料之后移除至少大部分的掩蔽材料。在一个优选实施方案中,在沉积栅极材料之后,所述过程不对栅极材料进行任何光刻图案化。在一个实施方案中,所沉积的栅极材料用栅极材料覆盖所述掩蔽材料,且所述过程进一步包含相对于所述掩蔽材料选择性地移除所述栅极材料并暴露所述掩蔽材料,以有效地隔离所述第一沟槽与第二沟槽内的栅极材料。
在一个实施方案中,本发明的一方面囊括一种形成包含包括第一栅极与第二接地隔离栅极的晶体管栅极阵列的集成电路的方法。在衬底的半导电材料上方形成掩蔽材料,且所述衬底包含沟槽隔离区域。形成穿过掩蔽材料且进入第一栅极的半导电材料中的第一沟槽。针对所述第二接地隔离栅极,形成穿过场隔离区域上方的掩蔽材料的第二接地隔离栅极沟槽。在所述第一沟槽及第二沟槽内沉积栅极材料。
可同时或不同时(举例来说,一个在另一个的前面或后面)形成所述第一沟槽及第二沟槽。第二沟槽可形成于场隔离区域内部或仅接纳于场隔离区域外部。
可在相同沉积步骤或在不同沉积步骤中发生栅极材料在第一沟槽与第二沟槽内的沉积。此外,可在相同沉积步骤中发生栅极材料在第一沟槽与第二沟槽内的一些沉积,且可在不同沉积步骤中发生栅极材料在第一沟槽与第二沟槽内的另一些沉积。无论如何且优选地,栅极材料的沉积用栅极材料至少填充且更优选地过度填充所述第一沟槽与第二沟槽。在其它方面,处理优选按照上文关于其它实施例的描述。

Claims (84)

1.一种形成场效晶体管的方法,其包含:
在衬底的半导电材料上方形成掩蔽材料;
形成穿过所述掩蔽材料且进入所述半导电材料中的沟槽;
在所述半导电材料中的所述沟槽内形成栅极介电材料;
在所述掩蔽材料中的所述沟槽内及所述栅极介电材料上方的所述半导电材料中的所述沟槽内沉积栅极材料;及
形成源极/漏极区域。
2.如权利要求1所述的方法,其中所述掩蔽材料包含接纳于氮化硅上方的二氧化硅。
3.如权利要求1所述的方法,其中形成至少大部分所述栅极介电材料包含对所述沟槽内的所述半导电材料进行热氧化。
4.如权利要求1所述的方法,其中所述栅极材料的所述沉积用所述栅极材料至少填充所述掩蔽材料中的所述沟槽及所述半导电材料中的所述沟槽。
5.如权利要求1所述的方法,其中所述栅极材料的所述沉积用所述栅极材料过度填充所述掩蔽材料中的所述沟槽及所述半导电材料中的所述沟槽。
6.如权利要求1所述的方法,其中在所述衬底的所述半导电材料内形成所述源极/漏极区域。
7.如权利要求1所述的方法,其包含在沉积所述栅极材料之后至少移除大部分所述掩蔽材料。
8.如权利要求1所述的方法,在沉积所述栅极材料之后没有对所述栅极材料进行光刻图案化。
9.如权利要求1所述的方法,其中沉积所述栅极材料用所述栅极材料覆盖所述掩蔽材料,且包含相对于所述掩蔽材料有选择地移除所述栅极材料且暴露所述掩蔽材料以有效地隔离所述掩蔽材料中的所述沟槽与所述半导电材料中的所述沟槽内的所述栅极材料。
10.一种形成场效晶体管的方法,其包含:
在衬底的半导电材料上方形成掩蔽材料,所述掩蔽材料包含外部绝缘材料层及内部绝缘材料层,可相对于所述内部绝缘材料层有选择地蚀刻所述外部绝缘材料层;
形成穿过所述掩蔽材料且进入所述半导电材料中的沟槽;
在所述半导电材料中的所述沟槽内形成栅极介电材料;
在所述掩蔽材料中的所述沟槽内及所述栅极介电材料上方的所述半导电材料中的所述沟槽内沉积栅极材料;
使所述掩蔽材料中的所述沟槽内的所述栅极材料凹入;
用其组成与所述内部绝缘材料层的组成相同的绝缘材料帽盖所述掩蔽材料内的所述沟槽内的所述凹入的栅极材料;
相对于所述内部绝缘材料层及接纳于所述凹入栅极材料上方的所述帽盖绝缘材料有选择地蚀刻所述外部绝缘材料层;
在蚀刻所述外部绝缘材料层之后,沉积其组成与所述内部绝缘材料层相同的绝缘材料;
各向异性地蚀刻所述组成与所述内部绝缘材料层的组成相同的绝缘材料,以有效地围绕所述栅极材料形成绝缘侧壁间隔层;及
形成源极/漏极区域。
11.如权利要求10所述的方法,其中所述外部绝缘材料层厚于所述内部绝缘材料层。
12.如权利要求10所述的方法,其中所述外部绝缘材料层接触所述内部绝缘材料层。
13.如权利要求10所述的方法,其中所述外部绝缘材料层是所述掩蔽材料的最外部材料。
14.如权利要求10所述的方法,其进一步包含接纳于所述内部绝缘材料层内部的另一绝缘材料层。
15.如权利要求10所述的方法,其中所述外部绝缘材料层包含二氧化硅且所述内部绝缘材料层包含氮化硅。
16.如权利要求10所述的方法,其中所述外部绝缘材料层包含氮化硅且所述内部绝缘材料层包含二氧化硅。
17.如权利要求10所述的方法,其中所述栅极材料的所述沉积用所述栅极材料至少填充所述掩蔽材料中的所述沟槽及所述半导电材料中的所述沟槽。
18.如权利要求10所述的方法,其中在所述衬底的所述半导电材料内形成所述源极/漏极区域。
19.如权利要求10所述的方法,在所述栅极材料的沉积之后没有对所述栅极材料进行光刻图案化。
20.一种形成场效晶体管栅极的方法,其包含:
在衬底的半导电材料上方形成包含氮化硅的掩蔽材料;
形成穿过所述包含氮化硅的掩蔽材料且进入所述半导电材料中的沟槽;
在形成进入所述半导电材料中的所述沟槽之后移除所述掩蔽材料的氮化硅;
在移除所述掩蔽材料的氮化硅之前,在所述半导电材料中的所述沟槽内形成栅极介电材料;及
在所述栅极介电材料上方的所述半导电材料中的所述沟槽内沉积栅极材料。
21.如权利要求20所述的方法,其中形成至少大部分所述栅极介电材料包含对所述沟槽内的所述半导电材料进行热氧化。
22.如权利要求20所述的方法,其中所述包含氮化硅的掩蔽材料包含接纳于包含氮化硅层上的包含二氧化硅层。
23.如权利要求22所述的方法,其中所述包含二氧化硅层厚于所述包含氮化硅的掩蔽材料的氮化硅。
24.如权利要求20所述的方法,其中所述包含氮化硅的掩蔽材料包含第一包含二氧化硅层、所述第一包含二氧化硅层上方的包含氮化硅层及接纳于所述包含氮化硅层上方的第二包含二氧化硅层。
25.如权利要求24所述的方法,其中所述第二包含二氧化硅层厚于所述包含氮化硅层。
26.如权利要求25所述的方法,其中所述第一包含二氧化硅层薄于所述包含氮化硅层。
27.如权利要求20所述的方法,其中所述栅极材料的所述沉积用所述栅极材料至少填充所述掩蔽材料中的所述沟槽及所述半导电材料中的所述沟槽。
28.如权利要求20所述的方法,其中在所述衬底的所述半导电材料内形成所述源极/漏极区域。
29.如权利要求20所述的方法,在沉积所述栅极材料之后没有对所述栅极材料进行光刻图案化。
30.一种形成集成电路的方法,所述集成电路包含晶体管栅极阵列及在所述栅极阵列外围的电路,所述方法包含:
在衬底的半导电材料上方形成掩蔽材料;
形成穿过所述掩蔽材料且进入所述半导电材料中的阵列电路沟槽;
在所述掩蔽材料中的所述阵列电路沟槽内及所述半导电材料中的所述阵列电路沟槽内沉积阵列栅极材料;
在沉积所述阵列栅极材料之后,形成穿过所述掩蔽材料的外围电路沟槽;及
在所述掩蔽材料内的所述外围电路沟槽内沉积外围电路栅极材料。
31.如权利要求30所述的方法,其中形成所述外围电路沟槽暴露所述衬底的所述半导电材料,且进一步包含在沉积所述外围电路栅极材料之前在所述衬底的所述暴露的半导电材料上方形成栅极介电层,所述栅极介电层还形成在所述阵列栅极材料上方。
32.如权利要求31所述的方法,其中在所述阵列栅极材料上形成所述栅极介电层。
33.如权利要求32所述的方法,其中形成至少大部分所述栅极介电层包含对所述暴露的半导电材料及所述阵列栅极材料进行热氧化。
34.如权利要求30所述的方法,其中使用掩蔽步骤形成所述阵列电路沟槽,且进一步包含在其中形成所述阵列电路沟槽的所述相同掩蔽步骤中形成穿过所述阵列中的所述掩蔽材料的接地栅极沟槽。
35.如权利要求30所述的方法,其中使用掩蔽步骤形成所述外围电路沟槽,且进一步包含在其中形成所述外围电路沟槽的所述相同掩蔽步骤中形成穿过所述阵列中的所述掩蔽材料的接地栅极沟槽。
36.如权利要求30所述的方法,其中所述掩蔽材料包含接纳于氮化硅上方的二氧化硅。
37.如权利要求30所述的方法,其中所述阵列栅极材料的所述沉积用所述阵列栅极材料至少填充所述掩蔽材料中的所述阵列电路沟槽及所述半导电材料中的所述阵列电路沟槽。
38.如权利要求30所述的方法,其中所述阵列栅极材料的所述沉积用所述阵列栅极材料过度填充所述掩蔽材料中的所述阵列电路沟槽及所述半导电材料中的所述阵列电路沟槽。
39.如权利要求30所述的方法,其中所述外围电路栅极材料的所述沉积用所述外围电路栅极材料至少填充所述掩蔽材料中的所述外围电路沟槽及所述半导电材料中的所述外围电路沟槽。
40.如权利要求30所述的方法,其中所述外围电路栅极材料的所述沉积用所述外围电路栅极材料过度填充所述掩蔽材料中的所述外围电路沟槽及所述半导电材料中的所述外围电路沟槽。
41.如权利要求30所述的方法,其包含在沉积所述外围电路栅极材料之后移除至少大部分所述掩蔽材料。
42.如权利要求30所述的方法,在沉积所述阵列栅极材料之后没有对所述阵列栅极材料进行光刻图案化。
43.如权利要求30所述的方法,在沉积所述外围电路栅极材料之后没有对所述外围电路栅极材料进行光刻图案化。
44.如权利要求30所述的方法,在沉积所述阵列栅极材料之后没有对所述阵列栅极材料进行光刻图案化,且在沉积所述外围电路栅极材料之后没有对所述外围电路栅极材料进行光刻图案化。
45.如权利要求30所述的方法,其包含在所述相同掩蔽步骤中形成某些外围电路沟槽及某些阵列电路沟槽。
46.一种形成集成电路的方法,所述集成电路包含晶体管栅极阵列及在所述栅极阵列外围的电路,所述方法包含:
在衬底的半导电材料上方形成掩蔽材料;
形成穿过所述掩蔽材料且进入所述半导电材料中的阵列电路沟槽;
在所述掩蔽材料中的所述阵列电路沟槽内及所述半导电材料中的所述阵列电路沟槽内沉积阵列栅极材料;
形成穿过所述阵列栅极材料且穿过所述掩蔽材料的外围电路沟槽;及
在所述阵列栅极材料内及所述掩蔽材料内的所述外围电路沟槽内沉积外围电路栅极材料。
47.如权利要求46所述的方法,其中形成所述外围电路沟槽暴露所述衬底的所述半导电材料,且进一步包含在沉积所述外围电路栅极材料之前在所述衬底的所述暴露的半导电材料上方形成栅极介电层,所述栅极介电层还沉积于所述阵列栅极材料上方。
48.如权利要求47所述的方法,其中在所述阵列栅极材料上形成所述栅极介电层。
49.如权利要求48所述的方法,其中形成至少大部分所述栅极介电层包含对所述暴露的半导电材料及所述阵列栅极材料进行热氧化。
50.如权利要求46所述的方法,其中使用掩蔽步骤形成所述阵列电路沟槽,且进一步包含在其中形成所述阵列电路沟槽的所述相同掩蔽步骤中形成穿过所述阵列中的所述掩蔽材料的接地栅极沟槽。
51.如权利要求46所述的方法,其中使用掩蔽步骤形成所述外围电路沟槽,且进一步包含在其中形成所述外围电路沟槽的所述相同掩蔽步骤中形成穿过所述阵列中的所述掩蔽材料的接地栅极沟槽。
52.如权利要求46所述的方法,其中所述掩蔽材料包含接纳于氮化硅上方的二氧化硅。
53.如权利要求46所述的方法,其中所述阵列栅极材料的所述沉积用所述阵列栅极材料至少填充所述掩蔽材料中的所述阵列电路沟槽及所述半导电材料中的所述阵列电路沟槽。
54.如权利要求46所述的方法,其中所述阵列栅极材料的所述沉积用所述阵列栅极材料过度填充所述掩蔽材料中的所述阵列电路沟槽及所述半导电材料中的所述阵列电路沟槽。
55.如权利要求46所述的方法,其中所述外围电路栅极材料的所述沉积用所述外围电路栅极材料至少填充所述掩蔽材料中的所述外围电路沟槽及所述半导电材料中的所述外围电路沟槽。
56.如权利要求46所述的方法,其中所述外围电路栅极材料的所述沉积用所述外围电路栅极材料过度填充所述掩蔽材料中的所述外围电路沟槽及所述半导电材料中的所述外围电路沟槽。
57.如权利要求46所述的方法,其包含在沉积所述外围电路栅极材料之后移除至少大部分所述掩蔽材料。
58.如权利要求46所述的方法,在沉积所述阵列栅极材料之后没有对所述阵列栅极材料进行光刻图案化。
59.如权利要求46所述的方法,在沉积所述外围电路栅极材料之后没有对所述外围电路栅极材料进行光刻图案化。
60.如权利要求46所述的方法,在沉积所述阵列栅极材料之后没有对所述阵列栅极材料进行光刻图案化,且在沉积所述外围电路栅极材料之后没有对所述外围电路栅极材料进行光刻图案化。
61.一种形成场效晶体管栅极的方法,其包含:
在衬底的半导电材料上方形成掩蔽材料,所述衬底包含沟槽隔离区域;
在共用掩蔽步骤中,形成穿过所述掩蔽材料且进入所述半导电材料中的第一沟槽且形成穿过所述沟槽隔离区域上方的所述掩蔽材料的第二接地隔离栅极沟槽;及
在共用沉积步骤中,在所述第一沟槽及第二沟槽内沉积栅极材料。
62.如权利要求61所述的方法,其包含在所述共用掩蔽步骤期间将所述第二接地隔离栅极沟槽形成到所述沟槽隔离区域内。
63.如权利要求61所述的方法,其中所述栅极材料的所述沉积用所述栅极材料至少填充所述第一及第二沟槽。
64.如权利要求61所述的方法,其中所述栅极材料的所述沉积用所述栅极材料过度填充所述第一及第二沟槽。
65.如权利要求61所述的方法,其中所述掩蔽材料包含接纳于氮化硅上方的二氧化硅。
66.如权利要求61所述的方法,其包含在沉积所述栅极材料之后移除至少大部分所述掩蔽材料。
67.如权利要求61所述的方法,在沉积所述栅极材料之后没有对所述栅极材料进行光刻图案化。
68.如权利要求61所述的方法,其中沉积所述栅极材料用栅极材料覆盖所述掩蔽材料,且包含相对于所述掩蔽材料有选择地移除所述栅极材料且暴露所述掩蔽材料,以有效地隔离所述第一与第二沟槽内的所述栅极材料。
69.如权利要求61所述的方法,其中所述掩蔽步骤包含光刻。
70.一种形成包含晶体管栅极阵列的集成电路的方法,所述晶体管栅极阵列包括第一栅极及第二接地隔离栅极,所述方法包含:
在衬底的半导电材料上方形成掩蔽材料,所述衬底包含沟槽隔离区域;
形成穿过所述掩蔽材料且进入所述第一栅极的所述半导电材料中的第一沟槽;
形成穿过所述沟槽隔离区域上方的所述掩蔽材料的第二接地隔离栅极沟槽,所述沟槽隔离区域用于所述第二接地隔离栅极;及
在所述第一及第二沟槽内沉积栅极材料。
71.如权利要求70所述的方法,其包含同时形成所述第一及第二沟槽。
72.如权利要求70所述的方法,其包含在形成所述第一沟槽之后形成所述第二沟槽。
73.如权利要求70所述的方法,其包含将所述第二沟槽形成到所述沟槽隔离区域内。
74.如权利要求73所述的方法,其包含同时形成所述第一及第二沟槽。
75.如权利要求73所述的方法,其包含在形成所述第一沟槽之后形成所述第二沟槽。
76.如权利要求70所述的方法,其中所述第一及第二沟槽内的栅极材料的所述沉积发生在所述相同沉积步骤中。
77.如权利要求70所述的方法,其中所述第一及第二沟槽内的栅极材料的所述沉积发生在不同沉积步骤中。
78.如权利要求70所述的方法,其中所述第一及第二沟槽内的栅极材料的一些所述沉积发生在所述相同沉积步骤中,且所述第一及第二沟槽内的栅极材料的另一些所述沉积发生在不同沉积步骤中。
79.如权利要求70所述的方法,其中所述栅极材料的所述沉积用所述栅极材料至少填充所述第一及第二沟槽。
80.如权利要求70所述的方法,其中所述栅极材料的所述沉积用所述栅极材料过度填充所述第一及第二沟槽。
81.如权利要求70所述的方法,其中所述掩蔽材料包含接纳于氮化硅上方的二氧化硅。
82.如权利要求70所述的方法,其包含在沉积所述栅极材料之后移除至少大部分所述掩蔽材料。
83.如权利要求70所述的方法,在沉积所述栅极材料之后没有对所述栅极材料进行光刻图案化。
84.如权利要求70所述的方法,其中沉积所述栅极材料用栅极材料覆盖所述掩蔽材料,且包含相对于所述掩蔽材料有选择地移除所述栅极材料且暴露所述掩蔽材料,以有效地隔离所述第一与第二沟槽内的所述栅极材料。
CN2007800037288A 2006-02-02 2007-01-23 形成场效应晶体管的方法及形成包含晶体管栅极阵列及在所述栅极阵列外围的电路的集成电路的方法 Active CN101375381B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US11/346,914 2006-02-02
US11/346,914 US7700441B2 (en) 2006-02-02 2006-02-02 Methods of forming field effect transistors, methods of forming field effect transistor gates, methods of forming integrated circuitry comprising a transistor gate array and circuitry peripheral to the gate array, and methods of forming integrated circuitry comprising a transistor gate array including first gates and second grounded isolation gates
PCT/US2007/001953 WO2007111771A2 (en) 2006-02-02 2007-01-23 Method of forming field effect transistors and methods of forming integrated circuity comprising a transistor gate array and circuity peripheral to the gate array

Related Child Applications (1)

Application Number Title Priority Date Filing Date
CN201010274715.8A Division CN102013412B (zh) 2006-02-02 2007-01-23 形成场效应晶体管的方法及形成集成电路的方法

Publications (2)

Publication Number Publication Date
CN101375381A true CN101375381A (zh) 2009-02-25
CN101375381B CN101375381B (zh) 2012-09-12

Family

ID=38093430

Family Applications (2)

Application Number Title Priority Date Filing Date
CN201010274715.8A Active CN102013412B (zh) 2006-02-02 2007-01-23 形成场效应晶体管的方法及形成集成电路的方法
CN2007800037288A Active CN101375381B (zh) 2006-02-02 2007-01-23 形成场效应晶体管的方法及形成包含晶体管栅极阵列及在所述栅极阵列外围的电路的集成电路的方法

Family Applications Before (1)

Application Number Title Priority Date Filing Date
CN201010274715.8A Active CN102013412B (zh) 2006-02-02 2007-01-23 形成场效应晶体管的方法及形成集成电路的方法

Country Status (8)

Country Link
US (3) US7700441B2 (zh)
EP (1) EP1979937B1 (zh)
JP (1) JP5163959B2 (zh)
KR (1) KR101010475B1 (zh)
CN (2) CN102013412B (zh)
SG (2) SG177967A1 (zh)
TW (1) TWI385734B (zh)
WO (1) WO2007111771A2 (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN109427808A (zh) * 2017-08-30 2019-03-05 旺宏电子股份有限公司 半导体存储元件及其制造方法

Families Citing this family (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7547945B2 (en) 2004-09-01 2009-06-16 Micron Technology, Inc. Transistor devices, transistor structures and semiconductor constructions
US7384849B2 (en) 2005-03-25 2008-06-10 Micron Technology, Inc. Methods of forming recessed access devices associated with semiconductor constructions
US7282401B2 (en) 2005-07-08 2007-10-16 Micron Technology, Inc. Method and apparatus for a self-aligned recessed access device (RAD) transistor gate
US7867851B2 (en) 2005-08-30 2011-01-11 Micron Technology, Inc. Methods of forming field effect transistors on substrates
US7700441B2 (en) * 2006-02-02 2010-04-20 Micron Technology, Inc. Methods of forming field effect transistors, methods of forming field effect transistor gates, methods of forming integrated circuitry comprising a transistor gate array and circuitry peripheral to the gate array, and methods of forming integrated circuitry comprising a transistor gate array including first gates and second grounded isolation gates
US7602001B2 (en) 2006-07-17 2009-10-13 Micron Technology, Inc. Capacitorless one transistor DRAM cell, integrated circuitry comprising an array of capacitorless one transistor DRAM cells, and method of forming lines of capacitorless one transistor DRAM cells
US7772632B2 (en) 2006-08-21 2010-08-10 Micron Technology, Inc. Memory arrays and methods of fabricating memory arrays
US7589995B2 (en) * 2006-09-07 2009-09-15 Micron Technology, Inc. One-transistor memory cell with bias gate
US7923373B2 (en) 2007-06-04 2011-04-12 Micron Technology, Inc. Pitch multiplication using self-assembling materials
JP5628471B2 (ja) * 2007-12-10 2014-11-19 ピーエスフォー ルクスコ エスエイアールエルPS4 Luxco S.a.r.l. 半導体装置及び半導体装置の製造方法
US8859367B2 (en) 2010-07-09 2014-10-14 Micron Technology, Inc. Gate constructions of recessed access devices and methods of forming gate constructions of recessed access devices
KR101129922B1 (ko) * 2010-07-15 2012-03-23 주식회사 하이닉스반도체 반도체 소자 및 그 형성방법
KR101205118B1 (ko) * 2011-03-11 2012-11-26 에스케이하이닉스 주식회사 반도체 소자 및 그 제조 방법
US9673102B2 (en) 2011-04-01 2017-06-06 Micron Technology, Inc. Methods of forming vertical field-effect transistor with self-aligned contacts for memory devices with planar periphery/array and intermediate structures formed thereby
KR20130064290A (ko) * 2011-12-08 2013-06-18 에스케이하이닉스 주식회사 반도체 소자 및 그 제조 방법
JP6299102B2 (ja) * 2012-08-07 2018-03-28 株式会社デンソー 炭化珪素半導体装置およびその製造方法

Family Cites Families (297)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS5681974A (en) 1979-12-07 1981-07-04 Toshiba Corp Manufacture of mos type semiconductor device
KR920010461B1 (ko) 1983-09-28 1992-11-28 가부시끼가이샤 히다찌세이사꾸쇼 반도체 메모리와 그 제조 방법
KR940005451B1 (ko) * 1984-11-27 1994-06-18 아메리칸 텔리폰 앤드 텔레그라프 캄파니 Mos 트렌치 트랜지스터 장치 및 그 제조 방법
GB2190789B (en) 1986-04-17 1990-05-09 Plessey Co Plc System for optically coupling components of integrated circuits
US4722910A (en) 1986-05-27 1988-02-02 Analog Devices, Inc. Partially self-aligned metal contact process
US4835741A (en) 1986-06-02 1989-05-30 Texas Instruments Incorporated Frasable electrically programmable read only memory cell using a three dimensional trench floating gate
US5160491A (en) 1986-10-21 1992-11-03 Texas Instruments Incorporated Method of making a vertical MOS transistor
JPS63183691A (ja) 1987-01-26 1988-07-29 Mitsubishi Electric Corp 半導体記憶装置
FR2625044B1 (fr) * 1987-12-18 1990-08-31 Commissariat Energie Atomique Transistor mos a extremite d'interface dielectrique de grille/substrat relevee et procede de fabrication de ce transistor
US4979004A (en) 1988-01-29 1990-12-18 Texas Instruments Incorporated Floating gate memory cell and device
DE3902701A1 (de) 1988-01-30 1989-08-10 Toshiba Kawasaki Kk Verfahren zur herstellung einer halbleiteranordnung
US5014110A (en) 1988-06-03 1991-05-07 Mitsubishi Denki Kabushiki Kaisha Wiring structures for semiconductor memory device
JPH0294477A (ja) 1988-09-30 1990-04-05 Toshiba Corp 半導体装置及びその製造方法
US5108938A (en) 1989-03-21 1992-04-28 Grumman Aerospace Corporation Method of making a trench gate complimentary metal oxide semiconductor transistor
US5021355A (en) 1989-05-22 1991-06-04 International Business Machines Corporation Method of fabricating cross-point lightly-doped drain-source trench transistor
US5107459A (en) 1990-04-20 1992-04-21 International Business Machines Corporation Stacked bit-line architecture for high density cross-point memory cell array
JPH0834302B2 (ja) 1990-04-21 1996-03-29 株式会社東芝 半導体記憶装置
US5013680A (en) 1990-07-18 1991-05-07 Micron Technology, Inc. Process for fabricating a DRAM array having feature widths that transcend the resolution limit of available photolithography
US5244824A (en) 1990-09-05 1993-09-14 Motorola, Inc. Trench capacitor and transistor structure and method for making the same
US5047117A (en) 1990-09-26 1991-09-10 Micron Technology, Inc. Method of forming a narrow self-aligned, annular opening in a masking layer
KR960001611B1 (ko) 1991-03-06 1996-02-02 가부시끼가이샤 한도다이 에네르기 겐뀨쇼 절연 게이트형 전계 효과 반도체 장치 및 그 제작방법
US5122848A (en) 1991-04-08 1992-06-16 Micron Technology, Inc. Insulated-gate vertical field-effect transistor with high current drive and minimum overlap capacitance
KR940006679B1 (ko) 1991-09-26 1994-07-25 현대전자산업 주식회사 수직형 트랜지스터를 갖는 dram셀 및 그 제조방법
US5467305A (en) 1992-03-12 1995-11-14 International Business Machines Corporation Three-dimensional direct-write EEPROM arrays and fabrication methods
US5254218A (en) 1992-04-22 1993-10-19 Micron Technology, Inc. Masking layer having narrow isolated spacings and the method for forming said masking layer and the method for forming narrow isolated trenches defined by said masking layer
US5573837A (en) 1992-04-22 1996-11-12 Micron Technology, Inc. Masking layer having narrow isolated spacings and the method for forming said masking layer and the method for forming narrow isolated trenches defined by said masking layer
JP2748072B2 (ja) 1992-07-03 1998-05-06 三菱電機株式会社 半導体装置およびその製造方法
US5281548A (en) 1992-07-28 1994-01-25 Micron Technology, Inc. Plug-based floating gate memory
JP2889061B2 (ja) 1992-09-25 1999-05-10 ローム株式会社 半導体記憶装置およびその製法
JP3311070B2 (ja) 1993-03-15 2002-08-05 株式会社東芝 半導体装置
US5358879A (en) 1993-04-30 1994-10-25 Loral Federal Systems Company Method of making gate overlapped lightly doped drain for buried channel devices
WO1994027325A1 (en) 1993-05-07 1994-11-24 Vlsi Technology, Inc. Integrated circuit structure and method
JPH0778977A (ja) * 1993-09-09 1995-03-20 Toshiba Corp 半導体装置
KR0141218B1 (ko) 1993-11-24 1998-07-15 윤종용 고집적 반도체장치의 제조방법
US5514604A (en) 1993-12-08 1996-05-07 General Electric Company Vertical channel silicon carbide metal-oxide-semiconductor field effect transistor with self-aligned gate for microwave and power applications, and method of making
US5532089A (en) 1993-12-23 1996-07-02 International Business Machines Corporation Simplified fabrication methods for rim phase-shift masks
KR100362751B1 (ko) 1994-01-19 2003-02-11 소니 가부시끼 가이샤 반도체소자의콘택트홀및그형성방법
US5964750A (en) 1994-03-15 1999-10-12 Medolas Gesellschaft Fuer Medizintechnik Gmbh Laser catheter for bypass surgery
JP2658870B2 (ja) 1994-04-22 1997-09-30 日本電気株式会社 半導体記憶装置およびその製造方法
US5413949A (en) 1994-04-26 1995-05-09 United Microelectronics Corporation Method of making self-aligned MOSFET
US5446299A (en) 1994-04-29 1995-08-29 International Business Machines Corporation Semiconductor random access memory cell on silicon-on-insulator with dual control gates
US5841611A (en) 1994-05-02 1998-11-24 Matsushita Electric Industrial Co., Ltd. Magnetoresistance effect device and magnetoresistance effect type head, memory device, and amplifying device using the same
KR0151195B1 (ko) 1994-09-13 1998-10-01 문정환 박막 트랜지스터의 구조 및 제조방법
US5753947A (en) 1995-01-20 1998-05-19 Micron Technology, Inc. Very high-density DRAM cell structure and method for fabricating it
US5574621A (en) 1995-03-27 1996-11-12 Motorola, Inc. Integrated circuit capacitor having a conductive trench
US5567634A (en) * 1995-05-01 1996-10-22 National Semiconductor Corporation Method of fabricating self-aligned contact trench DMOS transistors
DE19519160C1 (de) 1995-05-24 1996-09-12 Siemens Ag DRAM-Zellenanordnung und Verfahren zu deren Herstellung
DE19524092C2 (de) 1995-07-01 1997-08-07 Hewlett Packard Gmbh Verfahren und Vorrichtung zum Komprimieren und Anzeigen digitaler Daten, insbesondere der Herzfrequenz von Kardiotokographen
JPH0982918A (ja) 1995-09-19 1997-03-28 Toshiba Corp 半導体記憶装置およびその製造方法
KR0179175B1 (ko) 1995-10-05 1999-03-20 문정환 반도체 메모리 장치 및 제조방법
US5854501A (en) 1995-11-20 1998-12-29 Micron Technology, Inc. Floating gate semiconductor device having a portion formed with a recess
US6420786B1 (en) 1996-02-02 2002-07-16 Micron Technology, Inc. Conductive spacer in a via
JP2751909B2 (ja) * 1996-02-26 1998-05-18 日本電気株式会社 半導体装置の製造方法
US6090700A (en) 1996-03-15 2000-07-18 Vanguard International Semiconductor Corporation Metallization method for forming interconnects in an integrated circuit
JP3219677B2 (ja) 1996-03-28 2001-10-15 三洋電機株式会社 ロッド選別システム
KR20000015822A (ko) 1996-05-21 2000-03-15 칼 하인쯔 호르닝어 박막 다층 콘덴서_
JPH1022476A (ja) 1996-07-02 1998-01-23 Sony Corp 容量素子
US5792687A (en) 1996-08-01 1998-08-11 Vanguard International Semiconductor Corporation Method for fabricating high density integrated circuits using oxide and polysilicon spacers
TW304290B (en) 1996-08-16 1997-05-01 United Microelectronics Corp The manufacturing method for semiconductor memory device with capacitor
TW308727B (en) 1996-08-16 1997-06-21 United Microelectronics Corp Semiconductor memory device with capacitor (4)
US5739066A (en) 1996-09-17 1998-04-14 Micron Technology, Inc. Semiconductor processing methods of forming a conductive gate and line
US5714786A (en) 1996-10-31 1998-02-03 Micron Technology, Inc. Transistors having controlled conductive spacers, uses of such transistors and methods of making such transistors
US5998256A (en) 1996-11-01 1999-12-07 Micron Technology, Inc. Semiconductor processing methods of forming devices on a substrate, forming device arrays on a substrate, forming conductive lines on a substrate, and forming capacitor arrays on a substrate, and integrated circuitry
JP4056588B2 (ja) 1996-11-06 2008-03-05 富士通株式会社 半導体装置及びその製造方法
US5714412A (en) 1996-12-02 1998-02-03 Taiwan Semiconductor Manufacturing Company, Ltd Multi-level, split-gate, flash memory cell and method of manufacture thereof
KR19980064176A (ko) 1996-12-17 1998-10-07 윌리엄비.켐플러 집적 회로 유전체
JP4053647B2 (ja) 1997-02-27 2008-02-27 株式会社東芝 半導体記憶装置及びその製造方法
US5792690A (en) 1997-05-15 1998-08-11 Vanguard International Semiconductor Corporation Method of fabricating a DRAM cell with an area equal to four times the used minimum feature
US6337497B1 (en) 1997-05-16 2002-01-08 International Business Machines Corporation Common source transistor capacitor stack
US6054355A (en) 1997-06-30 2000-04-25 Kabushiki Kaisha Toshiba Method of manufacturing a semiconductor device which includes forming a dummy gate
US6191470B1 (en) 1997-07-08 2001-02-20 Micron Technology, Inc. Semiconductor-on-insulator memory cell with buried word and body lines
US5909618A (en) 1997-07-08 1999-06-01 Micron Technology, Inc. Method of making memory cell with vertical transistor and buried word and body lines
US6072209A (en) 1997-07-08 2000-06-06 Micro Technology, Inc. Four F2 folded bit line DRAM cell structure having buried bit and word lines
US6150687A (en) 1997-07-08 2000-11-21 Micron Technology, Inc. Memory cell having a vertical transistor with buried source/drain and dual gates
US5869359A (en) 1997-08-20 1999-02-09 Prabhakar; Venkatraman Process for forming silicon on insulator devices having elevated source and drain regions
US6380026B2 (en) 1997-08-22 2002-04-30 Micron Technology, Inc. Processing methods of forming integrated circuitry memory devices, methods of forming DRAM arrays, and related semiconductor masks
JP3502531B2 (ja) * 1997-08-28 2004-03-02 株式会社ルネサステクノロジ 半導体装置の製造方法
DE19801095B4 (de) 1998-01-14 2007-12-13 Infineon Technologies Ag Leistungs-MOSFET
US5963469A (en) 1998-02-24 1999-10-05 Micron Technology, Inc. Vertical bipolar read access for low voltage memory cell
US6246083B1 (en) 1998-02-24 2001-06-12 Micron Technology, Inc. Vertical gain cell and array for a dynamic random access memory
US6097065A (en) 1998-03-30 2000-08-01 Micron Technology, Inc. Circuits and methods for dual-gated transistors
US6259142B1 (en) 1998-04-07 2001-07-10 Advanced Micro Devices, Inc. Multiple split gate semiconductor device and fabrication method
US6696746B1 (en) 1998-04-29 2004-02-24 Micron Technology, Inc. Buried conductors
US5972754A (en) 1998-06-10 1999-10-26 Mosel Vitelic, Inc. Method for fabricating MOSFET having increased effective gate length
US6767789B1 (en) 1998-06-26 2004-07-27 International Business Machines Corporation Method for interconnection between transfer devices and storage capacitors in memory cells and device formed thereby
US6458925B1 (en) 1998-08-03 2002-10-01 University Of Maryland, Baltimore Peptide antagonists of zonulin and methods for use of the same
KR100304717B1 (ko) 1998-08-18 2001-11-15 김덕중 트렌치형게이트를갖는반도체장치및그제조방법
US6362506B1 (en) 1998-08-26 2002-03-26 Texas Instruments Incorporated Minimization-feasible word line structure for DRAM cell
JP4322330B2 (ja) 1998-09-04 2009-08-26 エルピーダメモリ株式会社 半導体集積回路装置の製造方法
US6225669B1 (en) 1998-09-30 2001-05-01 Advanced Micro Devices, Inc. Non-uniform gate/dielectric field effect transistor
DE19845003C1 (de) 1998-09-30 2000-02-10 Siemens Ag Vertikaler Feldeffekttransistor mit innenliegendem ringförmigen Gate und Herstellverfahren
US6120952A (en) 1998-10-01 2000-09-19 Micron Technology, Inc. Methods of reducing proximity effects in lithographic processes
US6114205A (en) 1998-10-30 2000-09-05 Sony Corporation Epitaxial channel vertical MOS transistor
EP1003219B1 (en) 1998-11-19 2011-12-28 Qimonda AG DRAM with stacked capacitor and buried word line
US5977579A (en) 1998-12-03 1999-11-02 Micron Technology, Inc. Trench dram cell with vertical device and buried word lines
JP2000208762A (ja) * 1999-01-13 2000-07-28 Sony Corp 絶縁ゲ―ト電界効果トランジスタおよびその製造方法
US6383861B1 (en) 1999-02-18 2002-05-07 Micron Technology, Inc. Method of fabricating a dual gate dielectric
JP3973819B2 (ja) 1999-03-08 2007-09-12 株式会社東芝 半導体記憶装置およびその製造方法
US6180494B1 (en) 1999-03-11 2001-01-30 Micron Technology, Inc. Integrated circuitry, methods of fabricating integrated circuitry, methods of forming local interconnects, and methods of forming conductive lines
KR100282452B1 (ko) 1999-03-18 2001-02-15 김영환 반도체 소자 및 그의 제조 방법
JP2001024161A (ja) 1999-04-30 2001-01-26 Sony Corp 半導体メモリセル
US6297106B1 (en) 1999-05-07 2001-10-02 Chartered Semiconductor Manufacturing Ltd. Transistors with low overlap capacitance
US6306755B1 (en) 1999-05-14 2001-10-23 Koninklijke Philips Electronics N.V. (Kpenv) Method for endpoint detection during dry etch of submicron features in a semiconductor device
WO2000070622A1 (fr) 1999-05-14 2000-11-23 Hitachi, Ltd. Circuit de memorisation
DE19928781C1 (de) 1999-06-23 2000-07-06 Siemens Ag DRAM-Zellenanordnung und Verfahren zu deren Herstellung
US6392271B1 (en) 1999-06-28 2002-05-21 Intel Corporation Structure and process flow for fabrication of dual gate floating body integrated MOS transistors
US6187643B1 (en) 1999-06-29 2001-02-13 Varian Semiconductor Equipment Associates, Inc. Simplified semiconductor device manufacturing using low energy high tilt angle and high energy post-gate ion implantation (PoGI)
US6114735A (en) 1999-07-02 2000-09-05 Micron Technology, Inc. Field effect transistors and method of forming field effect transistors
US6214670B1 (en) * 1999-07-22 2001-04-10 Taiwan Semiconductor Manufacturing Company Method for manufacturing short-channel, metal-gate CMOS devices with superior hot carrier performance
US6630712B2 (en) 1999-08-11 2003-10-07 Advanced Micro Devices, Inc. Transistor with dynamic source/drain extensions
US6033963A (en) 1999-08-30 2000-03-07 Taiwan Semiconductor Manufacturing Company Method of forming a metal gate for CMOS devices using a replacement gate process
US6461915B1 (en) 1999-09-01 2002-10-08 Micron Technology, Inc. Method and structure for an improved floating gate memory cell
US6403442B1 (en) 1999-09-02 2002-06-11 Micron Technology, Inc. Methods of forming capacitors and resultant capacitor structures
DE19943760C1 (de) 1999-09-13 2001-02-01 Infineon Technologies Ag DRAM-Zellenanordnung und Verfahren zu deren Herstellung
JP3450758B2 (ja) 1999-09-29 2003-09-29 株式会社東芝 電界効果トランジスタの製造方法
US6303518B1 (en) 1999-09-30 2001-10-16 Novellus Systems, Inc. Methods to improve chemical vapor deposited fluorosilicate glass (FSG) film adhesion to metal barrier or etch stop/diffusion barrier layers
US6255165B1 (en) 1999-10-18 2001-07-03 Advanced Micro Devices, Inc. Nitride plug to reduce gate edge lifting
TW432546B (en) 1999-11-25 2001-05-01 Taiwan Semiconductor Mfg Manufacturing method of copper damascene
US6383879B1 (en) 1999-12-03 2002-05-07 Agere Systems Guardian Corp. Semiconductor device having a metal gate with a work function compatible with a semiconductor device
US6323506B1 (en) 1999-12-21 2001-11-27 Philips Electronics North America Corporation Self-aligned silicon carbide LMOSFET
JP4860022B2 (ja) 2000-01-25 2012-01-25 エルピーダメモリ株式会社 半導体集積回路装置の製造方法
JP4363736B2 (ja) 2000-03-01 2009-11-11 新電元工業株式会社 トランジスタ及びその製造方法
US6306775B1 (en) * 2000-06-21 2001-10-23 Micron Technology, Inc. Methods of selectively etching polysilicon relative to at least one of deposited oxide, thermally grown oxide and nitride, and methods of selectively etching polysilicon relative to BPSG
US6399490B1 (en) 2000-06-29 2002-06-04 International Business Machines Corporation Highly conformal titanium nitride deposition process for high aspect ratio structures
DE10036725C2 (de) 2000-07-27 2002-11-28 Infineon Technologies Ag Verfahren zur Herstellung einer porösen Isolierschicht mit niedriger Dielektrizitätskonstante auf einem Halbleitersubstrat
DE10038728A1 (de) 2000-07-31 2002-02-21 Infineon Technologies Ag Halbleiterspeicher-Zellenanordnung und Verfahren zu deren Herstellung
US6580137B2 (en) 2000-08-29 2003-06-17 Boise State University Damascene double gated transistors and related manufacturing methods
US6495474B1 (en) 2000-09-11 2002-12-17 Agere Systems Inc. Method of fabricating a dielectric layer
US6391720B1 (en) 2000-09-27 2002-05-21 Chartered Semiconductor Manufacturing Ltd. Process flow for a performance enhanced MOSFET with self-aligned, recessed channel
US6340614B1 (en) 2000-10-03 2002-01-22 Vanguard International Semiconductor Corporation Method of forming a DRAM cell
JP2002151654A (ja) 2000-11-10 2002-05-24 Sharp Corp 誘電体キャパシタ素子及びその製造方法
US6552401B1 (en) 2000-11-27 2003-04-22 Micron Technology Use of gate electrode workfunction to improve DRAM refresh
US6348385B1 (en) 2000-11-30 2002-02-19 Chartered Semiconductor Manufacturing Ltd. Method for a short channel CMOS transistor with small overlay capacitance using in-situ doped spacers with a low dielectric constant
GB0029315D0 (en) 2000-12-01 2001-01-17 Koninkl Philips Electronics Nv Method of increasing the conductivity of a transparent conductive layer
US6621112B2 (en) 2000-12-06 2003-09-16 Infineon Technologies Ag DRAM with vertical transistor and trench capacitor memory cells and methods of fabrication
JP4635333B2 (ja) 2000-12-14 2011-02-23 ソニー株式会社 半導体装置の製造方法
US6864536B2 (en) 2000-12-20 2005-03-08 Winbond Electronics Corporation Electrostatic discharge protection circuit
KR100360414B1 (ko) 2001-01-05 2002-11-13 삼성전자 주식회사 트윈 비트 결함을 방지하는 실린더형 커패시터의 하부전극형성방법
US6300177B1 (en) 2001-01-25 2001-10-09 Chartered Semiconductor Manufacturing Inc. Method to form transistors with multiple threshold voltages (VT) using a combination of different work function gate materials
JP3944367B2 (ja) 2001-02-06 2007-07-11 松下電器産業株式会社 絶縁膜の形成方法及び半導体装置の製造方法
KR100388682B1 (ko) 2001-03-03 2003-06-25 삼성전자주식회사 반도체 메모리 장치의 스토리지 전극층 및 그 형성방법
US6759707B2 (en) 2001-03-08 2004-07-06 Micron Technology, Inc. 2F2 memory device system
DE10111755C1 (de) 2001-03-12 2002-05-16 Infineon Technologies Ag Verfahren zur Herstellung einer Speicherzelle eines Halbleiterspeichers
CA2340985A1 (en) 2001-03-14 2002-09-14 Atmos Corporation Interleaved wordline architecture
US6734510B2 (en) 2001-03-15 2004-05-11 Micron Technology, Ing. Technique to mitigate short channel effects with vertical gate transistor with different gate materials
JP4895430B2 (ja) 2001-03-22 2012-03-14 ルネサスエレクトロニクス株式会社 半導体装置及び半導体装置の製造方法
JP3671854B2 (ja) 2001-04-05 2005-07-13 松下電器産業株式会社 シリコン系基板の表面処理方法
JP2002314072A (ja) 2001-04-19 2002-10-25 Nec Corp 高誘電体薄膜を備えた半導体装置及びその製造方法並びに誘電体膜の成膜装置
EP1253634A3 (en) 2001-04-26 2005-08-31 Kabushiki Kaisha Toshiba Semiconductor device
US6498062B2 (en) 2001-04-27 2002-12-24 Micron Technology, Inc. DRAM access transistor
US6509612B2 (en) 2001-05-04 2003-01-21 International Business Machines Corporation High dielectric constant materials as gate dielectrics (insulators)
US6624486B2 (en) 2001-05-23 2003-09-23 International Business Machines Corporation Method for low topography semiconductor device formation
DE10125967C1 (de) 2001-05-29 2002-07-11 Infineon Technologies Ag DRAM-Zellanordnung mit vertikalen MOS-Transistoren und Verfahren zu deren Herstellung
JP2002353445A (ja) 2001-05-30 2002-12-06 Sony Corp 溝ゲート型電界効果トランジスタの製造方法
US6888198B1 (en) 2001-06-04 2005-05-03 Advanced Micro Devices, Inc. Straddled gate FDSOI device
TWI230392B (en) 2001-06-18 2005-04-01 Innovative Silicon Sa Semiconductor device
JP4246929B2 (ja) 2001-06-29 2009-04-02 株式会社東芝 半導体記憶装置およびその製造方法
JP2003023150A (ja) 2001-07-10 2003-01-24 Sony Corp トレンチゲート型半導体装置及びその作製方法
KR100398955B1 (ko) 2001-08-02 2003-09-19 삼성전자주식회사 이이피롬 메모리 셀 및 형성 방법
DE10139827A1 (de) 2001-08-14 2003-03-13 Infineon Technologies Ag Speicherzelle mit Grabenkondensator und vertikalem Auswahltransistor und einem zwischen diesen geformten ringförmigen Kontaktierungsbereich
US6800899B2 (en) 2001-08-30 2004-10-05 Micron Technology, Inc. Vertical transistors, electrical devices containing a vertical transistor, and computer systems containing a vertical transistor
KR100431656B1 (ko) 2001-09-11 2004-05-17 삼성전자주식회사 반도체 장치의 제조 방법
JP2003092367A (ja) 2001-09-19 2003-03-28 Oki Electric Ind Co Ltd 半導体素子の製造方法
US6825093B2 (en) 2001-09-28 2004-11-30 Infineon Technologies Ag Process window enhancement for deep trench spacer conservation
KR100400323B1 (ko) * 2001-11-01 2003-10-01 주식회사 하이닉스반도체 반도체 소자의 시모스(cmos) 및 그의 제조 방법
KR100436287B1 (ko) 2001-11-17 2004-06-16 주식회사 하이닉스반도체 반도체 소자의 트랜지스터 및 그 제조 방법
US6724028B2 (en) 2001-12-10 2004-04-20 Hans Gude Gudesen Matrix-addressable array of integrated transistor/memory structures
US6630720B1 (en) 2001-12-26 2003-10-07 Advanced Micro Devices, Inc. Asymmetric semiconductor device having dual work function gate and method of fabrication
US6563183B1 (en) 2001-12-31 2003-05-13 Advanced Micro Devices, Inc. Gate array with multiple dielectric properties and method for forming same
US6858500B2 (en) 2002-01-16 2005-02-22 Fuji Electric Co., Ltd. Semiconductor device and its manufacturing method
US6656748B2 (en) 2002-01-31 2003-12-02 Texas Instruments Incorporated FeRAM capacitor post stack etch clean/repair
DE10208249B4 (de) 2002-02-26 2006-09-14 Infineon Technologies Ag Halbleiterspeicher mit vertikalem Auswahltransistor
US6515325B1 (en) 2002-03-06 2003-02-04 Micron Technology, Inc. Nanotube semiconductor devices and methods for making the same
US6661042B2 (en) 2002-03-11 2003-12-09 Monolithic System Technology, Inc. One-transistor floating-body DRAM cell in bulk CMOS process with electrically isolated charge storage region
US6586808B1 (en) 2002-06-06 2003-07-01 Advanced Micro Devices, Inc. Semiconductor device having multi-work function gate electrode and multi-segment gate dielectric
US6946371B2 (en) 2002-06-10 2005-09-20 Amberwave Systems Corporation Methods of fabricating semiconductor structures having epitaxially grown source and drain elements
DE10226583B4 (de) 2002-06-14 2010-07-08 Qimonda Ag DRAM-Speicherzelle für schnellen Schreib-/Lesezugriff und Speicherzellenfeld
US6756625B2 (en) 2002-06-21 2004-06-29 Micron Technology, Inc. Memory cell and method for forming the same
JP3934507B2 (ja) 2002-08-08 2007-06-20 株式会社東芝 半導体記憶装置および半導体記憶装置の製造方法
US7071043B2 (en) 2002-08-15 2006-07-04 Micron Technology, Inc. Methods of forming a field effect transistor having source/drain material over insulative material
US20040034587A1 (en) 2002-08-19 2004-02-19 Amberson Matthew Gilbert System and method for calculating intra-period volatility
US6838723B2 (en) 2002-08-29 2005-01-04 Micron Technology, Inc. Merged MOS-bipolar capacitor memory cell
US6818947B2 (en) 2002-09-19 2004-11-16 Fairchild Semiconductor Corporation Buried gate-field termination structure
US6645869B1 (en) 2002-09-26 2003-11-11 Vanguard International Semiconductor Corporation Etching back process to improve topographic planarization of a polysilicon layer
US7033891B2 (en) 2002-10-03 2006-04-25 Fairchild Semiconductor Corporation Trench gate laterally diffused MOSFET devices and methods for making such devices
US6753228B2 (en) 2002-10-15 2004-06-22 Semiconductor Components Industries, L.L.C. Method of forming a low resistance semiconductor device and structure therefor
TW588413B (en) * 2002-11-07 2004-05-21 Winbond Electronics Corp Manufacturing method and device of memory with different depths of isolation trench
KR100481867B1 (ko) 2002-11-11 2005-04-11 삼성전자주식회사 강유전체 커패시터 및 그 제조 방법
US6645818B1 (en) 2002-11-13 2003-11-11 Chartered Semiconductor Manufacturing Ltd. Method to fabricate dual-metal gate for N- and P-FETs
US7250650B2 (en) 2002-11-21 2007-07-31 Infineon Technologies Ag Field-effect transistor structure and associated semiconductor memory cell
US7030436B2 (en) 2002-12-04 2006-04-18 Micron Technology, Inc. Embedded DRAM gain memory cell having MOS transistor body provided with a bi-polar transistor charge injecting means
KR100521369B1 (ko) 2002-12-18 2005-10-12 삼성전자주식회사 고속도 및 저전력 소모 반도체 소자 및 그 제조 방법
TW574746B (en) 2002-12-19 2004-02-01 Taiwan Semiconductor Mfg Method for manufacturing MOSFET with recessed channel
KR20040061967A (ko) 2002-12-31 2004-07-07 동부전자 주식회사 반도체 소자의 제조방법
TW578274B (en) 2003-01-17 2004-03-01 Nanya Technology Corp Vertical flash memory cell with tip-shape floating gate and method therefor
JP4502173B2 (ja) 2003-02-03 2010-07-14 ルネサスエレクトロニクス株式会社 半導体装置及びその製造方法
JP2004247656A (ja) 2003-02-17 2004-09-02 Renesas Technology Corp 半導体装置及びその製造方法
US6956256B2 (en) 2003-03-04 2005-10-18 Micron Technology Inc. Vertical gain cell
JP2004281736A (ja) 2003-03-17 2004-10-07 Nec Electronics Corp 半導体記憶装置
TW578328B (en) 2003-03-28 2004-03-01 Gemtek Technology Co Ltd Dual-frequency inverted-F antenna
KR100554163B1 (ko) * 2003-03-29 2006-02-22 한국전자통신연구원 수신된 광신호의 소광비 특성을 고려한 버스트 모드 광수신장치
KR100480645B1 (ko) 2003-04-01 2005-03-31 삼성전자주식회사 역자기 정합 방식을 이용한 트윈―ono 형태의sonos 메모리 소자 제조 방법
FR2853319B1 (fr) * 2003-04-03 2005-05-06 Rhodia Chimie Sa Composition reticulable pour electrolyte de batterie
US6720232B1 (en) 2003-04-10 2004-04-13 Taiwan Semiconductor Manufacturing Company Method of fabricating an embedded DRAM for metal-insulator-metal (MIM) capacitor structure
US6967143B2 (en) 2003-04-30 2005-11-22 Freescale Semiconductor, Inc. Semiconductor fabrication process with asymmetrical conductive spacers
TW587338B (en) 2003-05-06 2004-05-11 Mosel Vitelic Inc Stop structure of trench type DMOS device and its formation method
JP3913709B2 (ja) 2003-05-09 2007-05-09 株式会社東芝 半導体記憶装置
JP2004335031A (ja) 2003-05-09 2004-11-25 Toshiba Corp 半導体記憶装置
KR100568854B1 (ko) * 2003-06-17 2006-04-10 삼성전자주식회사 반도체 메모리에서의 리세스 채널을 갖는 트랜지스터 형성방법
US7105406B2 (en) 2003-06-20 2006-09-12 Sandisk Corporation Self aligned non-volatile memory cell and process for fabrication
US6818515B1 (en) 2003-06-23 2004-11-16 Promos Technologies Inc. Method for fabricating semiconductor device with loop line pattern structure
KR100521381B1 (ko) 2003-06-25 2005-10-12 삼성전자주식회사 모오스 전계 효과 트랜지스터의 제조 방법
KR100511045B1 (ko) 2003-07-14 2005-08-30 삼성전자주식회사 리세스된 게이트 전극을 갖는 반도체 소자의 집적방법
US7335934B2 (en) 2003-07-22 2008-02-26 Innovative Silicon S.A. Integrated circuit device, and method of fabricating same
US7326619B2 (en) 2003-08-20 2008-02-05 Samsung Electronics Co., Ltd. Method of manufacturing integrated circuit device including recessed channel transistor
US6784069B1 (en) 2003-08-29 2004-08-31 Micron Technology, Inc. Permeable capacitor electrode
US7125781B2 (en) 2003-09-04 2006-10-24 Micron Technology, Inc. Methods of forming capacitor devices
US7067385B2 (en) 2003-09-04 2006-06-27 Micron Technology, Inc. Support for vertically oriented capacitors during the formation of a semiconductor device
KR100546378B1 (ko) 2003-09-09 2006-01-26 삼성전자주식회사 리세스 채널을 가지는 트랜지스터 제조 방법
US6844591B1 (en) 2003-09-17 2005-01-18 Micron Technology, Inc. Method of forming DRAM access transistors
JP2005093808A (ja) 2003-09-18 2005-04-07 Fujio Masuoka メモリセルユニット、それを備えてなる不揮発性半導体記憶装置及びメモリセルアレイの駆動方法
US7184298B2 (en) 2003-09-24 2007-02-27 Innovative Silicon S.A. Low power programming technique for a floating body memory transistor, memory cell, and memory array
US7468311B2 (en) 2003-09-30 2008-12-23 Tokyo Electron Limited Deposition of silicon-containing films from hexachlorodisilane
KR100587669B1 (ko) * 2003-10-29 2006-06-08 삼성전자주식회사 반도체 장치에서의 저항 소자 형성방법.
JP2005142203A (ja) * 2003-11-04 2005-06-02 Elpida Memory Inc 半導体装置およびその製造方法
US20050104156A1 (en) 2003-11-13 2005-05-19 Texas Instruments Incorporated Forming a semiconductor structure in manufacturing a semiconductor device using one or more epitaxial growth processes
KR100521383B1 (ko) 2003-11-17 2005-10-12 삼성전자주식회사 소자분리막 상에 형성된 소오스/드레인을 갖는 반도체소자 및 그 제조방법
JP2005175090A (ja) 2003-12-09 2005-06-30 Toshiba Corp 半導体メモリ装置及びその製造方法
KR100518606B1 (ko) * 2003-12-19 2005-10-04 삼성전자주식회사 실리콘 기판과 식각 선택비가 큰 마스크층을 이용한리세스 채널 어레이 트랜지스터의 제조 방법
JP4342970B2 (ja) 2004-02-02 2009-10-14 株式会社東芝 半導体メモリ装置及びその製造方法
KR100540371B1 (ko) 2004-03-02 2006-01-11 이태복 고 내압용 반도체 소자 및 그 제조방법
US7262089B2 (en) 2004-03-11 2007-08-28 Micron Technology, Inc. Methods of forming semiconductor structures
KR100614240B1 (ko) * 2004-06-10 2006-08-18 삼성전자주식회사 전계 효과 트랜지스터를 포함하는 반도체 소자 및 그 형성방법
US7042009B2 (en) 2004-06-30 2006-05-09 Intel Corporation High mobility tri-gate devices and methods of fabrication
US7160788B2 (en) 2004-08-23 2007-01-09 Micron Technology, Inc. Methods of forming integrated circuits
US7122425B2 (en) 2004-08-24 2006-10-17 Micron Technology, Inc. Methods of forming semiconductor constructions
US7202127B2 (en) 2004-08-27 2007-04-10 Micron Technology, Inc. Methods of forming a plurality of capacitors
US7151040B2 (en) 2004-08-31 2006-12-19 Micron Technology, Inc. Methods for increasing photo alignment margins
US7442976B2 (en) 2004-09-01 2008-10-28 Micron Technology, Inc. DRAM cells with vertical transistors
US7547945B2 (en) 2004-09-01 2009-06-16 Micron Technology, Inc. Transistor devices, transistor structures and semiconductor constructions
US7655387B2 (en) 2004-09-02 2010-02-02 Micron Technology, Inc. Method to align mask patterns
US7285812B2 (en) 2004-09-02 2007-10-23 Micron Technology, Inc. Vertical transistors
US7115525B2 (en) 2004-09-02 2006-10-03 Micron Technology, Inc. Method for integrated circuit fabrication using pitch multiplication
JP4083160B2 (ja) 2004-10-04 2008-04-30 株式会社東芝 半導体記憶装置およびfbcメモリセルの駆動方法
US7608503B2 (en) 2004-11-22 2009-10-27 Macronix International Co., Ltd. Side wall active pin memory and manufacturing method
US20060113588A1 (en) 2004-11-29 2006-06-01 Sillicon-Based Technology Corp. Self-aligned trench-type DMOS transistor structure and its manufacturing methods
KR100640616B1 (ko) 2004-12-21 2006-11-01 삼성전자주식회사 매몰 게이트 패턴을 포함하는 전계 효과 트랜지스터구조물 및 그것을 포함하는 반도체 소자의 제조방법
US20060167741A1 (en) 2005-01-25 2006-07-27 Cisco Technology, Inc. System and method for designing a supply chain
DE102005008478B3 (de) 2005-02-24 2006-10-26 Infineon Technologies Ag Verfahren zur Herstellung von sublithographischen Strukturen
JP2006237455A (ja) 2005-02-28 2006-09-07 Toshiba Corp 半導体装置とその製造方法
US7244659B2 (en) 2005-03-10 2007-07-17 Micron Technology, Inc. Integrated circuits and methods of forming a field effect transistor
US7253118B2 (en) 2005-03-15 2007-08-07 Micron Technology, Inc. Pitch reduced patterns relative to photolithography features
US7390746B2 (en) 2005-03-15 2008-06-24 Micron Technology, Inc. Multiple deposition for integration of spacers in pitch multiplication process
US7384849B2 (en) 2005-03-25 2008-06-10 Micron Technology, Inc. Methods of forming recessed access devices associated with semiconductor constructions
US7611944B2 (en) 2005-03-28 2009-11-03 Micron Technology, Inc. Integrated circuit fabrication
US7682401B2 (en) * 2005-04-11 2010-03-23 Boston Scientific Scimed, Inc. Ureteral stent
JP4541220B2 (ja) 2005-04-13 2010-09-08 ルネサスエレクトロニクス株式会社 半導体集積回路装置の製造方法
US7214621B2 (en) 2005-05-18 2007-05-08 Micron Technology, Inc. Methods of forming devices associated with semiconductor constructions
US7429536B2 (en) 2005-05-23 2008-09-30 Micron Technology, Inc. Methods for forming arrays of small, closely spaced features
US7560390B2 (en) 2005-06-02 2009-07-14 Micron Technology, Inc. Multiple spacer steps for pitch multiplication
US7396781B2 (en) 2005-06-09 2008-07-08 Micron Technology, Inc. Method and apparatus for adjusting feature size and position
JP2006352005A (ja) 2005-06-20 2006-12-28 Toshiba Corp 強誘電体記憶装置およびその製造方法
US7517741B2 (en) 2005-06-30 2009-04-14 Freescale Semiconductor, Inc. Single transistor memory cell with reduced recombination rates
US7282401B2 (en) 2005-07-08 2007-10-16 Micron Technology, Inc. Method and apparatus for a self-aligned recessed access device (RAD) transistor gate
US7413981B2 (en) 2005-07-29 2008-08-19 Micron Technology, Inc. Pitch doubled circuit layout
US7199005B2 (en) 2005-08-02 2007-04-03 Micron Technology, Inc. Methods of forming pluralities of capacitors
US7867851B2 (en) 2005-08-30 2011-01-11 Micron Technology, Inc. Methods of forming field effect transistors on substrates
US7696567B2 (en) 2005-08-31 2010-04-13 Micron Technology, Inc Semiconductor memory device
US7867845B2 (en) 2005-09-01 2011-01-11 Micron Technology, Inc. Transistor gate forming methods and transistor structures
US7393789B2 (en) 2005-09-01 2008-07-01 Micron Technology, Inc. Protective coating for planarization
US7687342B2 (en) 2005-09-01 2010-03-30 Micron Technology, Inc. Method of manufacturing a memory device
JP4773182B2 (ja) 2005-10-28 2011-09-14 エルピーダメモリ株式会社 半導体装置の製造方法
KR100843139B1 (ko) 2005-12-15 2008-07-02 삼성전자주식회사 오픈 비트 라인 구조를 갖는 멀티레벨 동적 메모리 장치 및그 구동 방법
US7495294B2 (en) 2005-12-21 2009-02-24 Sandisk Corporation Flash devices with shared word lines
TWI293207B (en) 2006-01-11 2008-02-01 Promos Technologies Inc Dynamic random access memory structure and method for preparing the smae
US7700441B2 (en) * 2006-02-02 2010-04-20 Micron Technology, Inc. Methods of forming field effect transistors, methods of forming field effect transistor gates, methods of forming integrated circuitry comprising a transistor gate array and circuitry peripheral to the gate array, and methods of forming integrated circuitry comprising a transistor gate array including first gates and second grounded isolation gates
US7476933B2 (en) 2006-03-02 2009-01-13 Micron Technology, Inc. Vertical gated access transistor
US7495946B2 (en) 2006-03-02 2009-02-24 Infineon Technologies Ag Phase change memory fabricated using self-aligned processing
US7349232B2 (en) 2006-03-15 2008-03-25 Micron Technology, Inc. 6F2 DRAM cell design with 3F-pitch folded digitline sense amplifier
US7351666B2 (en) 2006-03-17 2008-04-01 International Business Machines Corporation Layout and process to contact sub-lithographic structures
US7902074B2 (en) 2006-04-07 2011-03-08 Micron Technology, Inc. Simplified pitch doubling process flow
US8003310B2 (en) 2006-04-24 2011-08-23 Micron Technology, Inc. Masking techniques and templates for dense semiconductor fabrication
US7488685B2 (en) 2006-04-25 2009-02-10 Micron Technology, Inc. Process for improving critical dimension uniformity of integrated circuit arrays
JP2008004738A (ja) 2006-06-22 2008-01-10 Elpida Memory Inc 半導体装置及びその製造方法
US7602001B2 (en) 2006-07-17 2009-10-13 Micron Technology, Inc. Capacitorless one transistor DRAM cell, integrated circuitry comprising an array of capacitorless one transistor DRAM cells, and method of forming lines of capacitorless one transistor DRAM cells
US7755132B2 (en) 2006-08-16 2010-07-13 Sandisk Corporation Nonvolatile memories with shaped floating gates
US7772632B2 (en) 2006-08-21 2010-08-10 Micron Technology, Inc. Memory arrays and methods of fabricating memory arrays
US7589995B2 (en) 2006-09-07 2009-09-15 Micron Technology, Inc. One-transistor memory cell with bias gate
US7494870B2 (en) 2007-01-12 2009-02-24 Sandisk Corporation Methods of forming NAND memory with virtual channel
US7732275B2 (en) 2007-03-29 2010-06-08 Sandisk Corporation Methods of forming NAND flash memory with fixed charge
US7619311B2 (en) 2007-02-02 2009-11-17 Macronix International Co., Ltd. Memory cell device with coplanar electrode surface and method
US7923373B2 (en) 2007-06-04 2011-04-12 Micron Technology, Inc. Pitch multiplication using self-assembling materials
US8563229B2 (en) 2007-07-31 2013-10-22 Micron Technology, Inc. Process of semiconductor fabrication with mask overlay on pitch multiplied features and associated structures
US7684245B2 (en) 2007-10-30 2010-03-23 Atmel Corporation Non-volatile memory array architecture with joined word lines
KR101374323B1 (ko) 2008-01-07 2014-03-17 삼성전자주식회사 반도체 소자 및 그 제조방법
US7759193B2 (en) 2008-07-09 2010-07-20 Micron Technology, Inc. Methods of forming a plurality of capacitors
US8859367B2 (en) 2010-07-09 2014-10-14 Micron Technology, Inc. Gate constructions of recessed access devices and methods of forming gate constructions of recessed access devices

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN109427808A (zh) * 2017-08-30 2019-03-05 旺宏电子股份有限公司 半导体存储元件及其制造方法
CN109427808B (zh) * 2017-08-30 2021-04-02 旺宏电子股份有限公司 半导体存储元件及其制造方法

Also Published As

Publication number Publication date
US20110124168A1 (en) 2011-05-26
US7700441B2 (en) 2010-04-20
KR101010475B1 (ko) 2011-01-21
TWI385734B (zh) 2013-02-11
SG177967A1 (en) 2012-02-28
JP5163959B2 (ja) 2013-03-13
KR20080083202A (ko) 2008-09-16
JP2009525612A (ja) 2009-07-09
CN101375381B (zh) 2012-09-12
US8389363B2 (en) 2013-03-05
EP1979937A2 (en) 2008-10-15
EP1979937B1 (en) 2014-07-30
WO2007111771A3 (en) 2007-12-21
WO2007111771A2 (en) 2007-10-04
TW200737363A (en) 2007-10-01
US20100173456A1 (en) 2010-07-08
US20070178641A1 (en) 2007-08-02
US7902028B2 (en) 2011-03-08
CN102013412B (zh) 2014-10-01
SG169367A1 (en) 2011-03-30
CN102013412A (zh) 2011-04-13

Similar Documents

Publication Publication Date Title
CN101375381B (zh) 形成场效应晶体管的方法及形成包含晶体管栅极阵列及在所述栅极阵列外围的电路的集成电路的方法
US20060276014A1 (en) Self-aligned high-energy implantation for deep junction structure
US5612240A (en) Method for making electrical connections to self-aligned contacts that extends beyond the photo-lithographic resolution limit
JP4378743B2 (ja) 半導体素子の製造方法
US5998266A (en) Method of forming a semiconductor structure having laterally merged body layer
US7582548B2 (en) Semiconductor device and manufacturing method thereof
KR100906557B1 (ko) 반도체소자 및 그 제조방법
KR100406500B1 (ko) 반도체소자의 제조방법
EP1146556A1 (en) A process for fabricating an integrated ciruit that has embedded dram and logic devices
KR20000019080A (ko) 모스 트랜지스터 제조방법
KR100265370B1 (ko) 디램제조방법
KR20010054164A (ko) 듀얼 에스티아이(sti) 웰 형성방법
JP2006216604A (ja) 半導体装置及びその製造方法
KR20040000681A (ko) 바이-씨모스 트랜지스터 제조방법
KR100292152B1 (ko) 모스 트랜지스터 및 그 제조 방법
JP2006190986A (ja) 半導体素子とその製造方法
KR100260488B1 (ko) 전계 효과 트랜지스터 제조방법
KR100309126B1 (ko) 반도체 소자 형성 방법
KR100256824B1 (ko) 반도체소자의 제조방법
KR100213237B1 (ko) 고내압 트랜지스터 및 그 제조방법
KR100266028B1 (ko) 반도체장치 및 그 제조방법
KR100252767B1 (ko) 반도체장치 및 그제조방법
KR100503358B1 (ko) 반도체 소자의 제조 방법
KR100313505B1 (ko) 반도체 메모리 제조방법
KR100292153B1 (ko) 모스트랜지스터및그제조방법

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant