CN101383272A - 等离子体反应器室中的具有晶片边缘气体注射的阴极衬套 - Google Patents

等离子体反应器室中的具有晶片边缘气体注射的阴极衬套 Download PDF

Info

Publication number
CN101383272A
CN101383272A CNA2008102105996A CN200810210599A CN101383272A CN 101383272 A CN101383272 A CN 101383272A CN A2008102105996 A CNA2008102105996 A CN A2008102105996A CN 200810210599 A CN200810210599 A CN 200810210599A CN 101383272 A CN101383272 A CN 101383272A
Authority
CN
China
Prior art keywords
gas
processing
chamber
reactor
syringe
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CNA2008102105996A
Other languages
English (en)
Other versions
CN101383272B (zh
Inventor
丹·卡兹
大卫·帕拉加斯维勒
迈克尔·D·威尔沃斯
瓦伦顿·N·图杜罗
亚历山大·M·帕特森
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US11/899,614 external-priority patent/US7832354B2/en
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of CN101383272A publication Critical patent/CN101383272A/zh
Application granted granted Critical
Publication of CN101383272B publication Critical patent/CN101383272B/zh
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

本发明涉及用于等离子体反应器室的晶片支架,其中所述晶片支架具有与晶片边缘相邻且包围晶片边缘的晶片边缘气体注射器。

Description

等离子体反应器室中的具有晶片边缘气体注射的阴极衬套
相关申请的交叉引用
本申请要求Dan Katz等于2007年9月5日提交的名称为“CATHODE LINER WITH WAFER EDGE GAS INJECTION IN APLASMA REACTOR CHAMBER”的美国专利申请No.11/899,614以及Dan Katz等于2007年9月5日提交的名称为“A METHOD OFPROCESSING A WORKPIECE IN A PLASMA REACTOR WITHINDEPENDENT WAFER EDGE PROCESS GAS INJECTION”的美国专利申请No.11/899,613的优先权。
技术领域
本申请涉及一种用于处理例如半导体晶片的工件以制造集成电路的等离子体反应器室。本申请具体涉及,在这种反应器室中,在室顶以及晶片边缘处的独立的处理气体注射。
背景技术
在用于在半导体晶片上蚀刻硅或多晶硅薄膜的等离子体反应器室中,蚀刻速率需要在晶片上均匀分布。蚀刻速率在晶片上的非均匀分布表现为临界尺寸(CD)的非均匀性。临界尺寸可以是薄膜电路图案中一条普通的线的宽度。在蚀刻速率较高的晶片表面区域,CD较小;在蚀刻速率较高的区域,CD较大。
已发现,在处理气体从室顶注射的硅蚀刻室中,晶片边缘的CD与晶片表面的其它区域相比很小。这种小CD效应通常被局限在晶片表面外侧或周边(占晶片表面的1%)。此问题无法通过常规技术来解决。具体地,通过将气体分布分成在室顶的独立的内侧和外侧气体注射区,可以改善蚀刻均匀性,并且通过调节气体到内侧和外侧区的流率使均匀性最大化。然而,调节内侧和外侧气体注射区流率不能解决晶片表面外侧1%的小CD问题。具体而言,调节室顶处的内侧和外侧气体注射区流率,只能使晶片上除晶片边缘区域(宽度约为晶片直径的1%)以外的CD相当均匀。
因此,需要在不降低晶片其它区域获得的蚀刻速率分布均匀性的条件下,对晶片边缘外侧1%的CD进行独立控制。
发明内容
在等离子体反应器室中提供工件支架,用于在处理过程中支撑例如半导体晶片的工件。工件支架包括具有工件支撑表面的基座。基座周围覆盖处理环。该处理环与工件支撑表面的周围边界相邻。晶片边缘气体注射器由该处理环形成,并且具有气体注射开口,所述开口通常面向工件支撑表面上的工件位置。处理气体供给源与晶片边缘气体注射器耦合。
在一种实施方式中,晶片边缘气体注射器包括环隙式开口。在另一种实施方式中,衬套包围基座的一侧,并且具有位于处理环下方的顶表面。衬套内部的多条轴向通道穿过衬套延伸到衬套的顶表面。处理环与衬套之间限定了环形进料通道。多条轴向通道中的每一条都与环形进料通道耦合,并且晶片边缘气体注射器也与环形进料通道耦合。
在另一种实施方式中,衬套还包括底表面和底表面下方的基部,所述基部包括环形气室。所述多条轴向通道与该气室耦合。
附图说明
上文简要概括了本发明,通过参考图示于附图中的本发明的实施例,可以得到本发明的更具体的说明,从而获得并能够详细理解本发明的上述实施方式。但是注意,附图只图示了本发明的典型实施例,因此不应认为是对其范围的限定,因为本发明可以采用其他等效的实施方式。
图1示出了根据本发明一种实施方式的等离子体反应器;
图2示出了图1的反应器的阴极衬套的内部结构特征;
图3为沿图2的直线3-3的剖视图;
图4为沿图2的直线4-4的剖视图;
图5为一种实施方式的处理环和阴极衬套的部分详细图;
图6为与图5对应的侧视图;
图7示出了图1的反应器中的SiCl2径向分布图(在气体流过和不流过晶片边缘注射器缝隙的条件下);
图8示出了根据本发明的一种实施方式的方法;
图9示出了根据本发明的另一种实施方式的方法。
为了便于理解,在可能之处已经用相同的标号来标记各个图中共同的相同元件。附图中的图形都是示意性而不是按比例的。
具体实施方式
参见图1,等离子体反应器包括由柱形侧壁108、室顶110和室底115封闭的真空室100。晶片支架125在晶片处理过程中支撑半导体晶片130。晶片支架125包括阴极电极135(也用作静电卡盘(ESC)电极)。支架125包括将电极135与晶片130隔开的绝缘层137以及将电极135与下方的晶片支架125部件隔开的绝缘层139。上绝缘层137具有顶部晶片支撑表面137a。反应器还包括室顶110上方的感应耦合源功率施加器或线圈天线140。RF等离子体源功率发生器145通过RF阻抗匹配150与线圈天线140耦合。RF等离子体偏置功率发生器155通过RF阻抗匹配160与阴极电极135耦合。DC卡紧电压源161通过控制开关162与ESC电极135连接。绝缘电容器163将来自电压源161的DC电流与RF偏置功率发生器155阻隔。
通过室顶110上的气体分布注射器165将处理气体输送到室内部。注射器165由内区注射器170和外区注射器175组成。内区注射器170和外区注射器175可以以多个注射孔或缝隙形式实现。内区注射器170的定向可将处理气体导向室的中心区域。外区注射器175的定向可将处理气体导向室的周边区域。内区注射器170通过阀180与气体分布板185耦合。外区注射器通过阀190与气体分布板185耦合。不同的处理气体供给源101、102、103、104、105将不同的处理气体供给至气体分布板185。如图1中所示,在一种实施方式中,每个气体供给源可以通过独立的阀195分别与内阀和外阀180、190相连。在图1的实施方式中,气体供给源101包含氟化烃气体,例如CH2F2或CHF3,气体供给源102包含溴化氢气体,气体供给源103包含氯气,气体供给源104包含氩气,气体供给源105包含氧气。这里的气体仅为示例。可以使用任何合适的处理气体。
晶片支架125被环形阴极衬套200包围。阴极衬套200可由工艺相容材料(例如,石英)形成。处理环205覆盖阴极衬套200的顶部,并且覆盖晶片支撑表面137a的周边部分。处理环205由工艺相容材料(例如石英)形成。晶片支架125可包含与等离子体处理不相容的材料,例如金属,并且衬套200和环205将晶片支架125与等离子体隔离。处理环205的径向内侧边缘205a与晶片130的边缘相邻。在一种实施方式中,处理环可提供改善的RF电场分布。
硅或多晶硅蚀刻工艺采用例如HBr和Cl2的蚀刻气体来蚀刻硅材料,并且使用例如CH2F2或CHF3的聚合物质来改善蚀刻形态。在与蚀刻反应竞争的聚合物沉积反应中,聚合物沉积在具有大深宽比的开口的侧壁上。
图1的反应器存在对晶片边缘的临界尺寸(CD)控制较差的问题。通常,CD为电路图案中的选定线的宽度。晶片边缘的CD往往比晶片130的其它位置的CD小。小CD的问题往往发生在晶片130的边缘的环形区,该环形区的宽度(从晶片边缘向内延伸)约为晶片直径的1%(此窄区在下文中被称为晶片边缘区130a,如图5所示,将在下文中讨论)。在晶片130的其余区域,通过调节阀180和190以使流向内侧和外侧气体室顶注射器170、175的处理气体流率之比达到最优,可使上述问题最小化或避免上述问题。然而,这种优化调节不能解决晶片边缘区130a处的CD控制差的问题。晶片边缘区130a处的小CD表明蚀刻速率在晶片边缘区比其它区域高。
已发现,与晶片的其它部分上的气流速度相比,晶片边缘区130a上的气流速度极低。例如,在某些应用中,尽管晶片表面的主要部分上的气流速度为约10-20m/s,但晶片边缘区上的气流速度接近于0。如果晶片边缘区上的气流停滞,则气体在晶片边缘区上的停留时间极长,相应地导致处理气体物质高度解离。这种高度解离会增加晶片边缘区的高反应性物质的浓度。这种高反应性物质可包括自由基或中性基,它们或者(a)蚀刻极快或者(b)抑制聚合物沉积。通过上述解离生成的高反应性蚀刻物质可例如包括原子化HBr和/或原子化Cl2。结果得到更高的蚀刻速率和相应的更小的CD。
在一种实施方式中,在晶片边缘注射新的气体以解决晶片边缘处的蚀刻速率的非均匀性。这种新的气体可以是惰性气体,例如氩气。在一种实施方式中,新气体的注射增大了晶片边缘区上的气流速度,并减少了晶片边缘区上的处理气体停留时间。停留时间的减少降低了晶片边缘区上的高反应性物质(例如自由基或中性基)的浓度。在晶片边缘区注射新气体的速度或流率可以足够低,从而避免影响窄晶片边缘区以外的蚀刻速率。通常,晶片边缘区的宽度约为3mm。
在一种实施方式中,在晶片边缘注射聚合气体以解决晶片边缘处的蚀刻速率的非均匀性。聚合气体可例如是CH2F2或CHF3。聚合物质的添加增大了晶片边缘区的聚合物沉积速率,而降低了蚀刻速率。在晶片边缘区注射聚合气体的速度或流率可以足够低,从而避免影响窄晶片边缘区以外的蚀刻速率。通常,晶片边缘区的宽度约为3mm。
在一种实施方式中,处理环205分为上处理环210和下处理环212,其间形成面向晶片130边缘(几乎与其接触)的狭窄环形缝隙220。环形缝隙220与晶片边缘间隔0.6-3mm的极小距离,例如约晶片直径的1%。供给期望气体(例如惰性气体或聚合气体),以使其从环形缝隙220沿径向向内射出且直接喷射在晶片边缘。这种新气体或聚合气体可由气体分布板185供给。
在一种实施方式中,在阴极衬套200底部提供环形气室225。阴极气流控制阀227控制从气体分布板185通过管道229到达气室225的气流。气体从气室225通过阴极衬套200内部的垂直通道240导入环形缝隙220。
图2示出了阴极衬套200的示例性内部结构。图1所述的阴极衬套200由例如石英的绝缘体形成。在图2的实施方式中,阴极衬套200由金属形成,如图5所示,石英衬套126将金属阴极衬套200与晶片支架125分隔。阴极衬套200包括具有环形顶表面201a的柱形侧壁201。环状基部215支撑柱形侧壁201。肩部235从基部215沿径向向外延伸,并容纳气体供给进口230。图1所示的气室225形成在图2的阴极环状基部215内部,如图3的剖视图所示。内部通道232径向延伸通过肩部235,并且一端与气体供给进口230耦合,另一端与气室225耦合,如图4的剖视图所示。如图2所示,垂直通道240轴向延伸通过柱形侧壁201,并且在柱形侧壁201上以等方位角分布。每个垂直通道240的底端与气室225耦合,并且每个垂直通道240的顶端在柱形侧壁201的环形顶表面201a上开口。在一种实施方式中,柱形侧壁201的厚度约为0.25英寸,并且每个垂直通道240为柱形侧壁201内部沿轴向的0.05英寸的孔。
在图1的实施方式中,柱形侧壁201支撑下处理环212,上处理环210支撑在下处理环215上。
如图5所示,内部石英衬套126包围工件支架125并被阴极衬套柱形侧壁201包围。如图5所示,内部衬套126支撑下处理环212,而阴极衬套柱形侧壁201支撑上处理环210。柱形侧壁顶表面201a、上处理环210和下处理环212限定了环形气体进料室260。上处理环210与下处理环212的间隙形成环形进料通道262。上处理环210的底表面上的外侧环形凸部210a与下处理环212的顶表面上的外侧环形凹部212a相对。内侧环形凹部210b设置在上处理环210的底表面上。内侧环形凹部210b与下处理环212的凸出肩部212b相对,形成气体注射缝隙220。凸部210a、凹部212a、凹部210b和肩部212b使进料通道262具有曲折路径,如图5所示。通过图1的阀227供给的气体流至阴极或晶片支架125并进入图4所示的进口230,然后通过内部通道232流至气室225。从气室225,气体向上流过垂直通道240进入图5的进料室260,然后流过进料通道262进入注射缝隙220。
如图6的侧视图所示,注射缝隙220的端部或出口与晶片130的边缘间的距离D很小,其中D的量级为0.6-3mm。在如此小的距离下,可以将来自注射缝隙220的气流高度定域化,从而不影响3mm宽的晶片边缘区130a以外的处理。这种定域性可以通过在注射缝隙220内建立极低的气体流率来实现。例如,通过阀227(到晶片边缘注射缝隙220)的气体流率可为通过阀180和190的气体流率的1-10%。以此方式,流出注射缝隙220的气体只影响窄晶片边缘区130a中的处理(例如,蚀刻速率),而不影响晶片130的其余部分的处理。
图7示出了一种工艺中的晶片表面上的SiCl2密度与径向位置的关系,在该工艺中,通过图1-6的晶片边缘注射缝隙220引入例如CH2F2或CHF3的聚合气体,而通过室顶注射器170、175引入例如HBr和Cl2的蚀刻处理气体。SiCl2的密度指示了这种工艺中的聚合度。图7表明,当不存在任何来自注射缝隙220的气流时,晶片边缘处的聚合相对得到抑制(曲线A)。随着通过注射缝隙220供给聚合气体,晶片边缘处的聚合度显著增大(曲线B)。通过晶片边缘注射缝隙220的聚合气流被限定为低流率。这种对注射缝隙流率的限定使聚合度的增大局限于晶片直径外侧1%(晶片边缘区)。在一个实施例中,通过室顶注射器喷嘴170、175的蚀刻处理气体流率约为150sccm,而通过晶片边缘注射器缝隙的聚合气体流率约为5sccm。
图8示出了操作图1-6的等离子体反应器以增大晶片边缘区的CD的示例性方法。通过内区室顶注射器170以第一气体流率(图8的方框400),并通过外区室顶注射器175以第二气体流率(图8的方框405),注射例如HBr和Cl2的硅蚀刻剂气体。通过内区和外区室顶注射器170、175的气流足以在整个晶片表面上获得期望的平均蚀刻速率。独立地调节通过内、外区室顶注射器170、175的气体流率,由此调节除晶片表面周边1%以外的蚀刻速率分布,直到蚀刻速率分布均匀性最优化(图8的方框410)。这通常导致晶片边缘区或晶片表面外侧1%中的蚀刻速率过高(或CD过低)。通过以下方法来调低晶片边缘区的蚀刻速率(或调高CD):专门减少气体在晶片边缘区上的停留时间,从而减少晶片边缘区上的解离。在一种实施方式中,减少气体在晶片边缘区上的停留时间如下实现:将合适的气体(例如惰性气体或氧气)流过晶片边缘注射缝隙220,以促进晶片边缘上的气体流动(图8的方框415)。限定通过晶片边缘注射器缝隙的气体流率为小流率,可使气流的增大或气体停留时间的减少局限于晶片边缘区。选择这种小流率,以获得最均匀的CD分布,所述流率可能受选择的处理气体物质影响,并可例如为1-20sccm。
图9示出了操作图1-6的等离子体反应器以增大晶片边缘区的CD的另一种示例性方法。通过内区室顶注射器170以第一气体流率(图9的方框420),并通过外区室顶注射器175以第二气体流率(图9的方框425),注射例如HBr和Cl2的硅蚀刻剂气体。通过内区和外区室顶注射器170、175的气流足以在整个晶片表面上获得期望的平均蚀刻速率。独立地调节通过内、外区室顶注射器170、175的气体流率,由此调节除晶片表面周边1%以外的蚀刻速率分布,直到蚀刻速率分布均匀性最优化(图9的方框430)。这通常导致晶片边缘区或晶片表面外侧1%中的蚀刻速率过高(或CD过低)。通过以下方法来调低晶片边缘区的蚀刻速率(或调高CD):专门提高晶片边缘区上的聚合,从而减少晶片边缘区上的蚀刻速率。在一种实施方式中,提高晶片边缘区上的聚合如下实现:将聚合气体(例如CH2F2或CHF3)流过晶片边缘注射缝隙220(图9的方框435)。聚合物沉积速率得到提高,而这增大了CD。限定通过晶片边缘注射器缝隙的气体流率为小流率,可使CD的增大局限于晶片边缘区。选择这种小流率,以获得最均匀的CD分布,所述流率可能受选择的处理气体物质影响,并可例如为1-20sccm
在图8或图9的任一种方法中,调节通过室顶注射器170和175的气体流率,和/或调节通过晶片边缘缝隙220的气体流率,可以实现进一步优化。例如,可以减少通过室顶注射器170、175的蚀刻剂气流,同时增加通过晶片边缘缝隙220的惰性或聚合气流,从而进一步增大晶片边缘区的CD。然而,通过晶片边缘缝隙的流率可以足够低,以使效果局限于晶片边缘区。但是,通过室顶注射器170、175的蚀刻剂气体流率可以根据需要降低(例如,减至0)。相反地,为了减小晶片边缘区的CD,在减少通过晶片边缘缝隙220的惰性或聚合气流的同时,可以增大通过室顶注射器170、175的蚀刻剂气流。
尽管本发明的上述实施方式通过连续缝隙注射器来将选定气体注射到晶片边缘,但晶片边缘的注射器也可以具有其它形式,例如围绕晶片边缘的多个气体注射孔的阵列或序列。
尽管上文针对的是本发明的具体实施例,但是在不脱离本发明的基本范围的情况下可以想到其更多实施例,本发明的范围由权利要求来限定。

Claims (15)

1.一种用于处理工件的等离子体反应器,包括:
室壳体,包括侧壁和室顶;
所述室中的工件支架,具有面向所述室顶的工件支撑表面;
包围所述工件支架的阴极衬套,具有顶表面和基部,并具有多条从所述基部延伸到所述顶表面的内部气流通道;
位于所述基部的气体供给室,与每条所述内部气流通道耦合;
覆盖在所述阴极衬套的所述顶表面上的处理环,具有与所述晶片支撑表面的周围边缘相邻的内侧边缘;
所述处理环中的气体注射器,具有通过所述内侧边缘并面向所述工件支撑表面的气体注射路径,所述气体注射器与所述多条气流通道耦合;和
与所述气体供给室耦合的气体供给系统。
2.如权利要求1的反应器,其中通过所述内侧边缘的所述气体注射路径包括面向所述工件支撑表面的连续缝隙开口。
3.如权利要求1的反应器,其中通过所述内侧边缘的所述气体注射路径包括多个气体注射孔。
4.如权利要求1的反应器,其中所述气体注射器包括在所述处理环中的间隙,所述间隙将所述处理环分为上处理环和下处理环。
5.如权利要求4的反应器,还包括由所述处理环和所述阴极衬套限定的内部进料通道,所述多条内部气流通道在所述顶表面与所述进料通道耦合,所述进料通道与所述处理环中的所述间隙耦合。
6.如权利要求1的反应器,其中所述内侧边缘与所述工件支撑表面的周边以小于所述工件支撑表面的直径的约1%的距离间隔。
7.如权利要求1的反应器,还包括在所述室顶的与所述气体供给系统耦合的处理气体分布器,所述处理气体分布器包括内侧和外侧气体注射区及其各自独立的分别通向内侧和外侧气体注射区的气流通道。
8.如权利要求7的反应器,其中到(a)所述处理环中的所述气体注射器、(b)所述气体分布器的所述内侧气体注射区和(c)所述气体分布器的所述外侧气体注射区的气体流率可被独立地控制。
9.如权利要求8的反应器,其中所述气体供给系统包括与所述气体分布器耦合的第一处理气体的源和与所述处理环中的所述气体注射器耦合的第二处理气体的源。
10.如权利要求1的反应器,所述晶片支架为具有对称轴的柱形,并且所述衬套包括与所述晶片支架共轴的柱形侧壁,并且其中所述气体注射器包括环形缝隙。
11.如权利要求10的反应器,其中所述晶片支撑表面具有与被支撑在所述晶片支撑表面上的晶片的周围边缘相对应的周围边缘,所述环状缝隙与所述周围边缘以小于所述工件支撑表面的直径的约1%的距离间隔。
12.一种在等离子体反应器中处理工件的方法,包括:
将所述工件放置在等离子体反应器室中的工件支架上;
通过与所述工件相邻并包围所述工件的周围边缘的工件支架处理气体注射器引入第一处理气体;
将等离子体RF源功率耦合到等离子体反应器中,以在所述等离子体反应器室中产生等离子体;
通过位于工件支架上方的室顶位置的室顶处理气体分布器引入第二处理气体;和
独立于通过所述室顶处理气体分布器的气体流率来控制通过所述工件支架处理气体注射器的气体流率。
13.如权利要求12的方法,其中所述室顶处理气体分布器包括外侧气体分布器和内侧处理气体分布器,所述方法还包括:
调节通过所述内侧和外侧处理气体分布器的气体流率,以优化所述工件的主要部分上的工艺均匀性;和
调节通过所述工件支架处理气体注射器的处理气体流率,以优化所述工件的边缘区的处理。
14.如权利要求12的方法,其中通过工件支架处理气体注射器引入第一处理气体的步骤包括:
通过在所述工件支架的一部分的内部的气流通道供给所述第一处理气体;和
通过包围所述工件的处理环传导得自所述气流通道的处理气体。
15.如权利要求13的方法,还包括通过所述工件支架气体注射器来限定气体流率,以使第一处理气体的作用局限于所述工件的所述边缘区。
CN2008102105996A 2007-09-05 2008-09-04 等离子体反应器室中的具有晶片边缘气体注射的阴极衬套 Expired - Fee Related CN101383272B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US11/899,613 2007-09-05
US11/899,614 2007-09-05
US11/899,614 US7832354B2 (en) 2007-09-05 2007-09-05 Cathode liner with wafer edge gas injection in a plasma reactor chamber
US11/899,613 US7879250B2 (en) 2007-09-05 2007-09-05 Method of processing a workpiece in a plasma reactor with independent wafer edge process gas injection

Publications (2)

Publication Number Publication Date
CN101383272A true CN101383272A (zh) 2009-03-11
CN101383272B CN101383272B (zh) 2012-02-01

Family

ID=40405758

Family Applications (1)

Application Number Title Priority Date Filing Date
CN2008102105996A Expired - Fee Related CN101383272B (zh) 2007-09-05 2008-09-04 等离子体反应器室中的具有晶片边缘气体注射的阴极衬套

Country Status (2)

Country Link
US (2) US7879250B2 (zh)
CN (1) CN101383272B (zh)

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102004500A (zh) * 2009-08-28 2011-04-06 显示器生产服务株式会社 蚀刻气体的控制系统
CN103219260A (zh) * 2012-01-19 2013-07-24 中芯国际集成电路制造(上海)有限公司 使用极端边缘气体管道的刻蚀装置
CN104051212A (zh) * 2013-03-12 2014-09-17 北京北方微电子基地设备工艺研究中心有限责任公司 等离子体处理装置
CN104299878A (zh) * 2013-07-16 2015-01-21 株式会社迪思科 等离子蚀刻装置
CN105470125A (zh) * 2014-09-30 2016-04-06 细美事有限公司 处理基板的系统和方法
CN110004487A (zh) * 2017-12-25 2019-07-12 胜高股份有限公司 外延生长装置和使用其的半导体外延晶片的制造方法
CN111074236A (zh) * 2019-12-27 2020-04-28 重庆康佳光电技术研究院有限公司 一种化学气相沉积装置

Families Citing this family (299)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8377207B2 (en) * 2007-05-09 2013-02-19 Ulvac, Inc. Purge gas assembly
US7879250B2 (en) 2007-09-05 2011-02-01 Applied Materials, Inc. Method of processing a workpiece in a plasma reactor with independent wafer edge process gas injection
US8721836B2 (en) * 2008-04-22 2014-05-13 Micron Technology, Inc. Plasma processing with preionized and predissociated tuning gases and associated systems and methods
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
KR101092122B1 (ko) * 2010-02-23 2011-12-12 주식회사 디엠에스 에칭 프로파일 제어를 위한 가스 인젝션 시스템
CN103477721B (zh) * 2011-04-04 2016-05-18 佳能安内华股份有限公司 处理装置
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
KR102130061B1 (ko) * 2013-03-15 2020-07-03 어플라이드 머티어리얼스, 인코포레이티드 매우 대칭적인 4-폴드 가스 주입부를 갖는 플라즈마 반응기
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US11414759B2 (en) * 2013-11-29 2022-08-16 Taiwan Semiconductor Manufacturing Co., Ltd Mechanisms for supplying process gas into wafer process apparatus
US10683571B2 (en) * 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
KR20160021958A (ko) 2014-08-18 2016-02-29 삼성전자주식회사 플라즈마 처리 장치 및 기판 처리 방법
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US9966270B2 (en) * 2015-03-31 2018-05-08 Lam Research Corporation Gas reaction trajectory control through tunable plasma dissociation for wafer by-product distribution and etch feature profile uniformity
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
JP6837911B2 (ja) * 2017-05-17 2021-03-03 株式会社Screenホールディングス 熱処理装置
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
TWI791689B (zh) 2017-11-27 2023-02-11 荷蘭商Asm智慧財產控股私人有限公司 包括潔淨迷你環境之裝置
JP7214724B2 (ja) 2017-11-27 2023-01-30 エーエスエム アイピー ホールディング ビー.ブイ. バッチ炉で利用されるウェハカセットを収納するための収納装置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
JP7124098B2 (ja) 2018-02-14 2022-08-23 エーエスエム・アイピー・ホールディング・ベー・フェー 周期的堆積プロセスにより基材上にルテニウム含有膜を堆積させる方法
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
KR102487930B1 (ko) 2018-07-23 2023-01-12 삼성전자주식회사 기판 지지 장치 및 이를 포함하는 플라즈마 처리 장치
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
CN113555279A (zh) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 形成含氮化钒的层的方法及包含其的结构
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6165311A (en) * 1991-06-27 2000-12-26 Applied Materials, Inc. Inductively coupled RF plasma reactor having an overhead solenoidal antenna
US6042687A (en) * 1997-06-30 2000-03-28 Lam Research Corporation Method and apparatus for improving etch and deposition uniformity in plasma semiconductor processing
US6269765B1 (en) 1998-02-11 2001-08-07 Silicon Genesis Corporation Collection devices for plasma immersion ion implantation
US6179924B1 (en) 1998-04-28 2001-01-30 Applied Materials, Inc. Heater for use in substrate processing apparatus to deposit tungsten
US6263829B1 (en) 1999-01-22 2001-07-24 Applied Materials, Inc. Process chamber having improved gas distributor and method of manufacture
US6589352B1 (en) 1999-12-10 2003-07-08 Applied Materials, Inc. Self aligning non contact shadow ring process kit
US6653237B2 (en) * 2001-06-27 2003-11-25 Applied Materials, Inc. High resist-selectivity etch for silicon trench etch applications
US20040025791A1 (en) 2002-08-09 2004-02-12 Applied Materials, Inc. Etch chamber with dual frequency biasing sources and a single frequency plasma generating source
JP4336124B2 (ja) * 2003-03-10 2009-09-30 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
WO2004095502A2 (en) 2003-03-31 2004-11-04 Tokyo Electron Limited Plasma processing system and method
JP4527431B2 (ja) * 2004-04-08 2010-08-18 東京エレクトロン株式会社 プラズマ処理装置
US8097120B2 (en) * 2006-02-21 2012-01-17 Lam Research Corporation Process tuning gas injection from the substrate edge
US7832354B2 (en) 2007-09-05 2010-11-16 Applied Materials, Inc. Cathode liner with wafer edge gas injection in a plasma reactor chamber
US7879250B2 (en) 2007-09-05 2011-02-01 Applied Materials, Inc. Method of processing a workpiece in a plasma reactor with independent wafer edge process gas injection

Cited By (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102004500A (zh) * 2009-08-28 2011-04-06 显示器生产服务株式会社 蚀刻气体的控制系统
CN102004500B (zh) * 2009-08-28 2013-06-26 显示器生产服务株式会社 蚀刻气体的控制系统
CN103219260A (zh) * 2012-01-19 2013-07-24 中芯国际集成电路制造(上海)有限公司 使用极端边缘气体管道的刻蚀装置
CN104051212B (zh) * 2013-03-12 2016-08-31 北京北方微电子基地设备工艺研究中心有限责任公司 等离子体处理装置
CN104051212A (zh) * 2013-03-12 2014-09-17 北京北方微电子基地设备工艺研究中心有限责任公司 等离子体处理装置
CN104299878A (zh) * 2013-07-16 2015-01-21 株式会社迪思科 等离子蚀刻装置
CN104299878B (zh) * 2013-07-16 2018-09-11 株式会社迪思科 等离子蚀刻装置
CN105470125A (zh) * 2014-09-30 2016-04-06 细美事有限公司 处理基板的系统和方法
US10304664B2 (en) 2014-09-30 2019-05-28 Semes Co., Ltd. Systems and methods of treating a substrate
CN105470125B (zh) * 2014-09-30 2020-04-14 细美事有限公司 处理基板的系统和方法
CN110004487A (zh) * 2017-12-25 2019-07-12 胜高股份有限公司 外延生长装置和使用其的半导体外延晶片的制造方法
CN110004487B (zh) * 2017-12-25 2021-03-02 胜高股份有限公司 外延生长装置和使用其的半导体外延晶片的制造方法
CN111074236A (zh) * 2019-12-27 2020-04-28 重庆康佳光电技术研究院有限公司 一种化学气相沉积装置

Also Published As

Publication number Publication date
CN101383272B (zh) 2012-02-01
US7879250B2 (en) 2011-02-01
US8383002B2 (en) 2013-02-26
US20110068082A1 (en) 2011-03-24
US20090057269A1 (en) 2009-03-05

Similar Documents

Publication Publication Date Title
CN101383272B (zh) 等离子体反应器室中的具有晶片边缘气体注射的阴极衬套
US7832354B2 (en) Cathode liner with wafer edge gas injection in a plasma reactor chamber
KR101384279B1 (ko) 플라즈마 반응기 챔버에서 웨이퍼 에지 가스 주입부를 갖는 캐소드 라이너
KR102542551B1 (ko) 반도체 기판 프로세싱 장치 내에서 튜닝가능한 대류-확산성 가스 플로우를 위한 중앙 가스 주입기를 포함하는 세라믹 샤워헤드
KR100345022B1 (ko) 가스첨가,감소된챔버직경및감소된rf웨이퍼페데스탈직경에의해개선된플라즈마균일성을가진플라즈마반응기
US6245192B1 (en) Gas distribution apparatus for semiconductor processing
US8097120B2 (en) Process tuning gas injection from the substrate edge
CN103681304B (zh) 电容耦合等离子体处理装置中的喷头电极组件
CN110730999B (zh) 具有多气体注入区的等离子体剥离工具
US20090159002A1 (en) Gas distribution plate with annular plenum having a sloped ceiling for uniform distribution
US20070235412A1 (en) Segmented radio frequency electrode apparatus and method for uniformity control
US20120164845A1 (en) Dual zone gas injection nozzle
US20060196420A1 (en) High density plasma chemical vapor deposition apparatus
KR101092122B1 (ko) 에칭 프로파일 제어를 위한 가스 인젝션 시스템
KR100716690B1 (ko) 반도체 시료의 처리 장치 및 처리 방법
US20080194112A1 (en) Method and system for plasma etching having improved across-wafer etch uniformity

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20120201

Termination date: 20160904

CF01 Termination of patent right due to non-payment of annual fee