CN101501824A - 用于形成高纵横比特征和相关联结构的选择性蚀刻化学 - Google Patents

用于形成高纵横比特征和相关联结构的选择性蚀刻化学 Download PDF

Info

Publication number
CN101501824A
CN101501824A CNA2007800288924A CN200780028892A CN101501824A CN 101501824 A CN101501824 A CN 101501824A CN A2007800288924 A CNA2007800288924 A CN A2007800288924A CN 200780028892 A CN200780028892 A CN 200780028892A CN 101501824 A CN101501824 A CN 101501824A
Authority
CN
China
Prior art keywords
layer
etching
plasma
silicon
opening
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CNA2007800288924A
Other languages
English (en)
Other versions
CN101501824B (zh
Inventor
马克·基尔鲍赫
特德·泰勒
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Micron Technology Inc
Original Assignee
Micron Technology Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Micron Technology Inc filed Critical Micron Technology Inc
Publication of CN101501824A publication Critical patent/CN101501824A/zh
Application granted granted Critical
Publication of CN101501824B publication Critical patent/CN101501824B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching

Abstract

本发明涉及使用包括硅物质和卤化物物质且还优选包括碳物质和氧物质的等离子蚀刻化学来选择性蚀刻层间介电层(130),例如氧化硅层。所述硅物质可由硅化合物(例如SixMyHz)产生,其中“Si”为硅,“M”为一个或多个卤素,“H”为氢且x≥1,y≥0且z≥0。所述碳物质可由碳化合物(例如CαMβHγ)产生,其中“C”为碳,“M”为一个或多个卤素,“H”为氢,且α≥1,β≥0且γ≥0。所述氧物质可由例如O2的可与碳反应以形成挥发性化合物的氧化合物产生。

Description

用于形成高纵横比特征和相关联结构的选择性蚀刻化学
技术领域
本发明在各个实施例中大体涉及集成电路制造,明确地说涉及在集成电路制造期间蚀刻材料的方法和所得结构。
背景技术
集成电路制造常规上包括在软式或硬式掩模中形成开口图案和经由图案化掩模蚀刻材料以在材料中形成开口。在某些应用中,经蚀刻的材料可又用作用以随后将图案转印到下伏材料的硬式掩模(或第二硬式掩模)。在镶嵌应用中,蚀刻开口可采用绝缘层中的例如通道和/或沟槽的形式,所述通道和/或沟槽可用以形成集成电路的各个部分,包括导电接点、互连线和例如电容器和晶体管的电设备。
蚀刻材料可涉及执行将材料暴露于定向等离子的干式蚀刻,其中以紧密角度分布将受激物质导引到材料。原则上,干式蚀刻形成具有相对笔直侧壁的均匀开口。受激物质通过与材料形成挥发性物质和/或通过归因于被受激物质轰击而物理溅镀掉材料来蚀刻材料。
一般蚀刻材料包括电介质,例如层间电介质。电介质中的开口可用以固持集成电路中的各种导电或半导电特征,电介质在所述特征之间提供电绝缘。
氧化硅是通用介电材料,其可以多种方式形成并可包括各种其它组份。用于基于氧化硅的材料的典型干式蚀刻化学包括氢氟碳、氧气(O2)和惰性气体。参看图1,可将蚀刻化学作为等离子受激物质导引通过遮蔽层20中的开口10以蚀刻氧化硅层30。参看图2,尽管等离子受激物质的流动主要是垂直的,但某些等离子受激物质的路径具有可引起侧壁的蚀刻的水平分量。此蚀刻导致弓形侧壁的形成,且因此一般被称为“弯曲”。通道或沟槽之间的绝缘材料因而变薄可导致(尤其)破裂,从而在填充通道/沟槽或寄生电容的导电元件之间形成短路。
继续参看图2,来自蚀刻化学的氢氟碳的碳可在蚀刻期间在开口50中沉积并聚合,借此形成聚合物膜60。一般来说,沉积主要发生在开口50的上部部分附近相邻于遮蔽层20的侧壁处。聚合物膜60在聚合物膜60的最厚部分处形成所谓的颈部。在某些情况下,聚合物膜60可生长得如此厚以使得其阻断或堵塞开口50。然而,通常,开口50保持开放且这些颈部可能是有益的,因为其可在侧壁40上形成“遮蔽”,借此保护侧壁40不被蚀刻,借此减少弯曲。
尽管是保护侧壁40所需的,但具有较厚颈部区的聚合物膜60还可能阻碍某些蚀刻剂流进开口50;与外围部分相比,更多蚀刻剂到达开口50的底部的中间部分,从而使中间部分处的材料被优先移除。因此,开口50随着其进一步进入层30可能变尖。如果聚合物膜60在开口50中非对称地沉积或在不同开口50之间以不同量沉积,那么变尖可能是不均匀的,从而导致不均匀开口50的形成。将了解,在集成电路制造中通常需要笔直侧壁40和均匀开口50的形成以实现(例如)最终产物的性质的可预测性、可靠性和均一性。然而,通过在颈部区中形成较薄聚合物膜60而使变尖最小化可能提供对侧壁40的不充分保护,从而引起过度弯曲。
因此,需要允许有效控制蚀刻开口的轮廓的方法和结构。
发明内容
本发明的实施例与高轮廓控制和高轮廓均一性组合提供使介电材料中所蚀刻的开口的壁的钝化成为可能的蚀刻化学。所述蚀刻化学包括硅物质和卤化物物质,且还优选包括碳物质和氧物质。这些物质中的至少一者且优选每一者可以是等离子受激物质。
在某些实施例中,等离子受激物质由硅、碳和/或氧化合物衍生或产生。在某些实施例中,可将硅化合物表示为SixMyHz,其中“Si”为硅,“M”为一个或多个卤素(例如,氟、溴和/或氯),“H”为氢,且x≥1,y≥0且z≥0(优选地,y≥1)。如上所述,蚀刻化学还可包括碳化合物,可将其表示为CαMβHγ,其中“C”为碳,“M”为一个或多个卤素,“H”为氢,且α≥1,β≥0且γ≥0(优选地,β≥1)。另外,蚀刻化学可包括氧化合物(例如,分子氧(O2)、过氧化氢(H2O2)或水(H2O))。将了解,在某些情况下,可能可利用借此可产生硅、卤素、碳和氧等离子受激物质中的两者或两者以上的化合物。举例来说,涵盖由硅、碳和卤素原子形成的化合物。
蚀刻化学有利地使介电材料(例如层间电介质或含硅的介电材料)的等离子蚀刻能够形成高纵横比开口。硅化合物中的硅可钝化在侧壁上形成硅层的开口的侧壁。硅层有利地比(例如)可使用常规氟碳化合物化学形成的无硅聚合物层更抗蚀刻剂。此对蚀刻剂的抗性相对于单独使用氟碳化合物减少侧壁的弯曲。此外,如以下进一步讨论,硅化合物通过为硅化合物和/或碳化合物(当蚀刻化学中包括碳化合物时)适当选择卤素组份而有利地使钝化和蚀刻的程度被调整。
另外,已有利地发现根据所揭示实施例的蚀刻化学(例如包括SiF4的蚀刻化学)比许多常规蚀刻化学提供更高选择性。此外,硅钝化层可耗散可在等离子蚀刻期间累积且可从界定开口的表面排斥等离子受激物质的电荷。通过最小化电荷累积,可增加蚀刻速率,因为更多等离子受激物质被允许到达介电材料中的开口(例如,通道或沟槽)的表面。
附图说明
图1是根据现有技术具有覆盖待蚀刻材料的图案化软式或硬式掩模的经部分制造的集成电路的横截面侧视图;
图2是根据现有技术在执行定向等离子蚀刻后的图1的经部分制造的集成电路的横截面侧视图;
图3是根据本发明的实施例具有覆盖介电材料的图案化掩模的经部分制造的集成电路的横截面侧视图;
图4是根据本发明的实施例在蚀刻介电材料后的图3的经部分制造的集成电路的横截面侧视图;
图5是根据本发明的实施例在移除掩模并清洁蚀刻开口后的图4的经部分制造的集成电路的横截面侧视图;
图6是根据本发明的其它实施例在蚀刻通过介电材料,移除掩模并清洁蚀刻开口后的图3的经部分制造的集成电路的横截面侧视图;
图7是根据本发明的实施例所形成的沟槽的扫描电子显微图;以及
图8A到8E是展示根据本发明的实施例且根据现有技术的蚀刻的性质的图表。
具体实施方式
现将参看各图,其中相同标号始终指代相同部分。将了解,图式和其中的部分未必按比例绘制。
参看图3,说明经部分制造的集成电路100。如以下所讨论,图案化遮蔽层120覆盖将被蚀刻的介电或绝缘材料层130。遮蔽层120包括开口110的图案。在所说明的实施例中,图案化遮蔽层120是含碳硬式掩模层,优选为非晶碳层,例如,高透光的透明非晶碳层。可在A.荷姆伯德(A.Helmbold)、D.梅斯诺(D.Meissner)的固体薄膜(ThinSolid Films),283(1996)196-203中找到用以形成高透明碳的沉积技术,所述文献的全部揭示内容以引用的方式并入本文中。
可在从一个或多个上覆层(例如光致抗蚀剂层和一个或多个介入硬式掩模层)的图案转印之后形成开口110的图案。光致抗蚀剂可经由主光罩而暴露于辐射且接着经显影以形成被转印到遮蔽层120的所需图案。
用于遮蔽层120的另一碳材料的一实例是光致抗蚀剂本身。在其它材料的实例中,遮蔽层120可由可相对于层130的介电材料被选择性蚀刻且被选择的含硅材料(例如,硅或氮化硅)形成。
层130包含可含硅的介电材料。举例来说,层130可为氧化硅的形式且优选为层间介电(ILD)层。在所说明的实施例中,层130由氧化硅(例如,比如二氧化硅的未掺杂氧化硅、氟化氧化硅(FSG)、比如硼磷硅玻璃(BPSG)和磷硅玻璃(PSG)的硅玻璃、掺杂或未掺杂的热生长氧化硅、掺杂或未掺杂的TEOS沉积氧化硅等)形成。
参看图4,氧化硅层130已经受干式蚀刻。在蚀刻期间,定向等离子受激物质在行进通过遮蔽层120中的开口110(图3)之后与层130接触,借此蚀刻层130并形成开口150。将了解,“等离子受激物质”指代经由将能量施加于气体所产生的自由基、离子或其它受激物质。可使用含有衬底的反应腔室内部的直接等离子产生器(即,“原位”或“直接”等离子产生)或使用远程等离子产生器(即,“外部”或“远程”等离子产生)来产生等离子受激物质。可原位产生等离子受激物质。可经由例如电感耦合、紫外辐射、微波、电容耦合、RF功率的施加等多种方法将能量施加(耦合)到气体。在缺乏耦合能量时,等离子产生终止。等离子受激物质可包括(但不限于)卤化物自由基和离子。在蚀刻期间,优选经由施加电场将等离子受激物质(例如,F+)优选地导引到待蚀刻的材料的表面,以提供定向或各向异性蚀刻。
等离子物质由包括硅化合物且更优选硅与卤素化合物的蚀刻化学产生。在实施例中,硅化合物一般可由SixMyHz表示,其中“Si”为硅;“M”为一个或多个卤素,例如氟、溴、氯或碘;“H”为氢且x≥1,y≥0且z≥0。更优选地,硅化合物包括卤素以辅助层130的蚀刻,使得y≥1。举例来说,在某些实施例中,硅化合物为SiF4,其为相对侵蚀性蚀刻化合物。如以下所讨论的具有较小侵蚀性的其它硅化合物的实例为SiBr2F2、SiBr2H2、SiBr4、SiBr3H和SiH4。另外,蚀刻化学还可包括不同硅化合物的组合。优选借助于惰性运载气体(例如,氦(He)、氩(Ar)和氖(Ne)),将蚀刻化学提供到含有经部分制造的集成电路100的反应腔室。
优选地,蚀刻化学还包括碳化合物。可将碳化合物表示为CαMβHγ,其中“C”为碳,“M”为一个或多个卤素,“H”为氢,且α≥1,β≥0且γ≥0。更优选地,包括至少一个卤素以辅助层130的蚀刻,使得β≥1。碳化合物的实例包括CF4和C2Br6。还涵盖不同含碳化合物的组合。
在不受理论限制的情况下,据信通过用受激物质物理轰击的层130的材料的溅镀连同归因于卤化物物质(来自碳化合物或硅化合物)与(例如)介电层130的硅的反应的挥发性化合物的形成引起材料从层130的移除,借此形成开口150。为了辅助材料的移除,蚀刻化学优选还包括碳化合物。碳原子有利地与(例如)来自氧化硅的氧原子反应,以形成辅助氧原子的移除的挥发性碳和氧化合物(例如,CO和/或CO2)。
在某些实施例中,蚀刻化学优选还包括优选能够燃烧碳的氧化合物。氧化合物的一实例为分子氧(O2)。
在不受理论限制的情况下,氧化合物可用以通过与碳形成挥发性化合物(例如,经由“燃烧”反应)从开口150移除碳而增加工艺宽容度。举例来说,尽管来自碳化合物的碳可用以从开口150移除介电层130的氧,但在某些应用中,可能需要将过量碳化合物递送到开口150,以(例如)增加蚀刻的侵蚀性。氧化合物的使用在递送到开口150的碳化合物的量下有利地允许较大工艺宽容度,因为氧化合物可移除原本将累积于开口150中的碳。
将了解,本文所揭示的各种化合物的各种下标(例如,x、y、z、α、β、γ和δ)的数值受可由形成化合物的各种构成原子形成的键的数目限制。举例来说,熟练的技术人员将了解,硅原子和碳原子形成到其它原子的四个键,而卤素和氢将与单一其它原子形成键。
继续参看图4,蚀刻化学有利地将钝化膜160沉积于开口150的侧壁140上,包括沉积于遮蔽层120的表面上。在不受理论限制的情况下,据信硅化合物的硅通过沉积和聚合而钝化侧壁140以形成钝化膜160。另外,来自碳化合物的碳还可沉积并聚合以辅助钝化膜160的形成,尤其是遮蔽层130的表面上的形成。在某些情况下,据信朝向开口150的顶部,在遮蔽层120的表面上,钝化膜160可由含碳聚合物(主要由碳形成)形成,而下降到开口150中,在介电层130的表面上,钝化膜160可为含硅聚合物(主要由硅形成),例如硅酮。
有利地,钝化膜160中的硅使膜对通过蚀刻剂的蚀刻呈高抗性。因此,钝化膜160保护侧壁140不受蚀刻,借此最小化弯曲。将了解,钝化膜160的某蚀刻确实发生,但与在无优选实施例的硅化合物的情况下使用氟碳的常规蚀刻相比,这被认为是最小限度的。并非允许钝化膜160保持完全未蚀刻,优选选择蚀刻化学以在足以防止钝化膜160生长而阻塞开口150的速率下蚀刻钝化膜160,同时仍允许钝化膜160保护侧壁140并最小化弯曲。
此外,钝化膜160的相对较高蚀刻抗性允许形成较薄钝化层,借此增加颈部区162处的开口的大小。此相对较窄钝化层160和相对较宽颈部开口162有利地有助于改进轮廓控制。有利地,可减少颈部对蚀刻剂的阻塞,借此减少开口150的变尖。因此,可形成更直、更垂直侧壁140且开口150的宽度贯穿开口的高度更为均匀。另外,开口150的经降低的锥度可通过有效减小特征的纵横比而有利地增加蚀刻速率。
将了解,钝化膜160可有利地增加所需垂直蚀刻速率。归因于蚀刻期间等离子受激物质的产生和使用,电荷可累积于侧壁140上。这些电荷可通过排斥带电蚀刻剂物质并不合需要地减少碰撞于开口150的底部上的此类带电受激物质的数目而降低蚀刻速率。有利地,含硅层为半导电的,从而允许电荷的耗散并最小化电荷累积。因此,更多带电蚀刻剂物质可到达开口150的底部,以蚀刻所述底部处的材料,借此增加蚀刻速率。举例来说,开口150的底部可带正电,从而引起蚀刻化学的阳离子的推斥。经由沉积导电或半导电膜(例如含硅膜160)而减少开口150的底部处的正电荷可通过降低此推斥而增加蚀刻速率。
可通过(尤其)适当选择卤素(一或多个)而调整蚀刻化学的相对钝化程度和蚀刻强度。将了解,可将各种卤素的相对蚀刻强度一般化为F>Cl>Br>I,从最多侵蚀性(F)到最少侵蚀性(I)而变化。可利用卤素与氢的组合(例如,SiFaClbBrcHd)来进一步调整相对钝化程度和蚀刻强度。当侧壁140的钝化程度和钝化膜160的蚀刻速率优选经平衡以最小化弯曲时,调整蚀刻化学(包括硅化合物)的蚀刻强度的能力有利地有助于此平衡。在结合更多侵蚀性蚀刻剂使用硅化合物的某些实施例中,硅化合物可以是(例如)SiH4,从而允许其主要起钝化剂作用,同时提供卤化物蚀刻剂(例如)作为氟碳。
如以上所讨论,在某些实施例中,将了解,可通过适当选择工艺参数(例如,等离子能量、等离子脉冲持续时间、衬底温度、反应器压力和流速)且通过选择递送到反应腔室的蚀刻化学中的硅、碳和氧化合物的组成和相对比率来实现对开口150的轮廓控制。举例来说,可通过选择具有具更大或更小蚀刻强度的卤素的硅和/或碳化合物来修改蚀刻化学的侵蚀性。在某些实施例中,蚀刻化学中仅包括硅化合物(在所述情况下,其含有卤素,但不含有碳物质),但优选地,还包括碳化合物,且更优选地,还包括碳化合物和氧化合物。
还将了解,蚀刻化学的各种化合物可分离地或间歇地流入反应腔室,在某些实施例中,碳化合物和氧化合物可连续流入腔室,而硅化合物间歇流入腔室。碳化合物可在掩模开口的侧壁上形成钝化膜。然而,随着蚀刻进行,上覆遮蔽层120和遮蔽层120的表面上的任何钝化膜也被蚀刻。因此,遮蔽层120可经磨损而变薄且由蚀刻形成的颈部和任何弓形可穿透到介电层130中。举例来说,随着遮蔽层120变得越来越薄,碳钝化膜也可被蚀刻,使得由碳钝化膜形成的颈部在开口150中越来越低地形成。在某些情况下,颈部可从掩模开口的侧壁移动到介电层130的侧壁。此经降低的颈部可使介电层130的在颈部以上的部分得不到对蚀刻剂的防护。在此情况发生之前,为了增加钝化膜对于蚀刻的阻抗性,借此减少颈部的向下移动并减少遮蔽层120的变薄,可将硅化合物添加到蚀刻化学。除了提供蚀刻阻抗性外,硅化合物可沉积于遮蔽层120上,以增加掩模高度并抵抗遮蔽层120的变薄。为了防止钝化膜的过度沉积或生长,可暂时停止硅化合物的流动。可随后在遮蔽层120的变薄和钝化膜的蚀刻再次进行到不合需要的程度之前再次添加硅化合物。因此,可使含硅钝化剂在短暂分离的脉冲中循环流动,而使含碳蚀刻剂连续流动或与含硅钝化剂交替流动。
参看图5,在蚀刻后,可移除遮蔽层120且开口150可经受蚀刻后清洁工艺以形成经清洁开口150a。将了解,遮蔽层120可经受适合于移除形成所述层的材料的蚀刻或其它工艺。举例来说,可使用灰化工艺来移除光致抗蚀剂。可通过各种工艺(包括与O2和N2组合使用含氟气体(例如,CF4)的灰化工艺)来移除钝化膜160。在使用高量硅的某些情况下,来自蚀刻化学的硅可形成侧壁140(图4)上的难以移除的硅化合物。在此类情况下,可应用偏置剥离器(bias stripper)(更确切地是常规上用于剥离工艺的微波剥离器)来移除硅化合物。
尽管展示仅部分延伸通过层130,但在某些实施例中,将了解,蚀刻可经执行以使得形成完全延伸通过层130的开口。参看图6,下伏层170充当蚀刻终止部;蚀刻对相对于形成层130的材料下伏的层170为选择性的。因此,开口150b经形成而完全延伸通过层130,借此暴露下伏层170。
可接着进一步处理经部分制造的集成电路100以形成完整集成电路。举例来说,继续参看图6,可用材料填充开口150b以形成各种特征,例如在当层170包括导电特征(例如互连)时的情况下的导电接点。在其它应用中,蚀刻层130可用作用以将由开口150b界定的图案转印到下伏层170的掩模。在另一实例中,参看图6,还可用材料填充开口150b以形成各种电装置,例如晶体管或电容器。举例来说,开口150b可用以形成用于与也可形成于开口150b中的容器形电容器接触的多晶硅插塞。
有利地,根据优选实施例的处理允许形成均匀、高纵横比特征或开口。举例来说,开口150a(图5)或150b(图6)可具有约15:1或更高、约20:1或更优选地约30:1或更高,或约40:1或更高的纵横比(在开口的顶部处的深度对宽度)。开口150a或150b也可有利地较窄,宽度为100nm或更小,且小到约80nm或更小,或约65nm。开口150a或150b可贯穿其深度为非常均匀的,宽度的变化小于约10nm RMS(3δ内)。
此外,所揭示的实施例有利地允许在蚀刻速率方面,在蚀刻选择性方面,在所形成特征的均一性方面且在可形成的开口的纵横比方面对常规蚀刻进行改进。在这些类别中,可能存在15%且更优选25%的改进。举例来说,这些实施例在形成孔150a、150b(图5和图6)的过程中允许约50-60/分钟或更高的蚀刻速率和约4:1或更高的选择性(用于(例如)氧化硅层的蚀刻速率与非晶碳层的蚀刻速率的比)。
另外,所形成的开口具有更均匀、几乎垂直的侧壁。将了解,开口150a、150b具有开口之间的材料或间隔物132且可参考间隔物132来表征侧壁140的弯曲量。顶部宽度134(开口150a的顶部处的间隔物132的宽度)与弓形宽度136(间隔物132在其最窄点处的宽度)的比可小于或等于约1.4:1,小于或等于约1.3:1或甚至小于或等于约1.2:1。在某些实施例中,顶部宽度134与弓形宽度136的比为约1.15:1。因此,弯曲的程度有利地较低。将了解,间隔物132可起待形成于开口150a、150b中的导体之间的绝缘分离的作用。举例来说,对于具有相同纵横比的开口,执行使用相同蚀刻速率并具有相同选择性的常规蚀刻可产生比由本发明的某些实施例所产生的比大约25-30%的顶部宽度134与弓形宽度136的比。
实例
穿过非晶碳遮蔽层蚀刻氧化硅介电层以形成沟槽。在可从日本东京电子有限公司(Tokyo Electron Limited of Tokyo,Japan)购得的双频电容耦合反应器中执行蚀刻。蚀刻化学包括用氩运载气体提供到反应腔室的SiF4、C4F8和O2。以每分钟约18标准立方厘米(sccm)的流动速率将SiF4提供到反应腔室,C4F8在约35sccm下流动,且O2在13sccm下流动。衬底温度为约50℃且反应腔室压力为约35毫托。将60MHz下的1500W的功率耦合到顶部电极且将2MHz下的2750W的功率耦合到底部电极。所得的沟槽具有约25:1的纵横比和其顶部处的约90nm的宽度。
参看图7,其展示所得的沟槽的扫描电子显微图。有利地,沟槽具有特别均匀且笔直的侧壁。
参看图8A到图8E,发现蚀刻通过Ar运载气体利用由C4F8和O2组成的蚀刻化学来提供对基线蚀刻的各种改进。值得注意的是,氧化硅相对于非晶碳硬式掩模的蚀刻选择性得到增加(图8A),同时仍实现高蚀刻速率(图8B)。另外,弓形CD(沟槽之间的未蚀刻介电材料的宽度)或弓形宽度得到增加,从而表明弯曲已减少(图8C)。并且,颈部尺寸与弓形尺寸之间的差(颈部尺寸减去弓形尺寸)有利地较低,从而表明沟槽的壁特别笔直(图8D)。
并且,任何弯曲出现的深度低于基线化学的弯曲出现的深度(图8E)。有利地,这有助于对弯曲的程度和蚀刻开口的轮廓的控制。举例来说,在某些实施例中,可在蚀刻过程期间改变蚀刻化学,使得任何弯曲更均匀地分布于开口的高度上。举例来说,蚀刻化学可从提供相对较浅弓形深度的化学(例如,基线化学)到提供相对较深弓形深度的化学(SiF4)而变化。因此,任何弯曲可分布于开口的高度上,使得给定高度处的弯曲量减少。
将从本文中的描述了解,本发明包括各种实施例。举例来说,根据本发明的一个实施例,提供一种用于形成集成电路的方法。所述方法包含在反应腔室中提供具有上覆遮蔽层的层间介电(ILD)层。遮蔽层具有暴露ILD层的部分的开口。由包含硅化合物的气体产生等离子受激物质。通过使ILD层的暴露部分与等离子受激物质接触而蚀刻ILD层。
根据本发明的另一实施例,提供一种用于半导体处理的方法。所述方法包含通过使含硅介电层的暴露部分与包含卤素化合物和硅化合物的化学接触而蚀刻含硅介电层。所述化合物中的至少一者处于等离子受激状态。
根据本发明的又一实施例,提供一种用于在半导体衬底上的层间介电(ILD)层中形成高纵横比特征的方法。所述方法包含在ILD层上提供遮蔽层。遮蔽层具有部分暴露介电层的一个或多个开口。使用蚀刻化学相对于遮蔽层选择性地蚀刻ILD层的暴露部分。蚀刻化学包含硅物质、卤化物物质、碳物质和氧物质。
根据本发明的另一实施例,提供一种具有层间介电(ILD)层的经部分制造的集成电路。所述经部分制造的集成电路包含形成于ILD层中的多个特征。所述特征具有由ILD层中的开口界定的侧壁。所述特征中的每一者的顶部处的宽度界定顶部宽度且所述特征的最小宽度界定弓形宽度。顶部宽度与弓形宽度的弓形比小于或等于约1.4:1。经部分制造的集成电路还包含侧壁的至少一部分上的硅聚合物膜。
除上述揭示内容外,所属领域的技术人员还将了解,可在不脱离本发明的范围的情况下对上述方法和结构进行添加和修改。所有这些修改和改变希望落在如由所附权利要求书界定的本发明的范围内。

Claims (56)

1.一种用于形成集成电路的方法,其包含:
在反应腔室中提供具有上覆遮蔽层的层间介电(ILD)层,所述遮蔽层具有暴露所述ILD层的部分的开口;
由包含硅化合物的气体产生等离子受激物质;以及
通过使所述ILD层的所述暴露部分与所述等离子受激物质接触而蚀刻所述ILD层。
2.根据权利要求1所述的方法,其中由所述气体产生等离子受激物质包含由SixMyHz产生等离子受激物质,其中Si为硅,H为氢且M为选自由氟(F)、溴(Br)、氯(Cl)和碘(I)组成的群组的一个或多个卤素,且其中x≥1,y≥0且z≥0。
3.根据权利要求2所述的方法,其中由所述气体产生等离子受激物质包含由SixMyHz产生等离子受激物质,其中x≥1,y≥1且z≥0。
4.根据权利要求2所述的方法,其进一步包含使所述ILD层的所述暴露部分与由碳化合物产生的等离子受激物质接触。
5.根据权利要求4所述的方法,其中使所述ILD层的所述暴露部分与由所述碳化合物产生的等离子受激物质接触包含由CαMβHγ产生等离子受激物质,其中C为碳,H为氢且M为选自由氟(F)、溴(Br)、氯(Cl)和碘(I)组成的群组的一个或多个卤素,且其中α≥1,β≥0且γ≥0。
6.根据权利要求5所述的方法,其中由CαMβHγ产生等离子受激物质包含由其中α≥1,β≥0且γ≥0的CαMβHγ产生等离子受激物质。
7.根据权利要求4所述的方法,其进一步包含使所述ILD层的所述暴露部分与由氧化合物产生的等离子受激物质接触。
8.根据权利要求7所述的方法,其中使所述ILD层的所述暴露部分与由所述氧化合物产生的等离子受激物质接触包含由分子氧(O2)产生等离子受激物质。
9.根据权利要求7所述的方法,其中产生等离子受激物质包含使所述硅化合物、所述碳化合物和所述氧化合物在选自由氦(He)、氩(Ar)和氖(Ne)组成的群组的惰性运载气体中流动。
10.根据权利要求7所述的方法,其中在短暂分离的脉冲中执行使所述ILD层的所述暴露部分与由所述硅化合物产生的所述等离子受激物质接触。
11.根据权利要求7所述的方法,其中在由所述硅化合物产生的所述等离子受激物质的脉冲期间及之间连续地执行使所述ILD层的所述暴露部分与由所述碳化合物产生的所述等离子受激物质接触以及使所述ILD层的所述暴露部分与由氧化合物产生的等离子受激物质接触。
12.根据权利要求1所述的方法,其中使所述ILD层的所述暴露部分接触在所述开口的侧壁的至少若干部分上形成钝化层。
13.根据权利要求1所述的方法,其中所述ILD层由氧化硅形成。
14.根据权利要求13所述的方法,其中所述遮蔽层由碳材料形成。
15.根据权利要求14所述的方法,其中所述碳层由光致抗蚀剂形成。
16.根据权利要求14所述的方法,其中所述碳层由非晶碳形成。
17.根据权利要求1所述的方法,其中在所述反应腔室内部执行产生所述等离子。
18.一种用于半导体处理的方法,其包含:
通过使一含硅电介质的层的暴露部分与包含卤素化合物和硅化合物的化学接触而蚀刻所述含硅介电层,
其中所述化合物中的至少一者处于等离子受激状态。
19.根据权利要求18所述的方法,其进一步包含提供具有所述化学的氧化合物。
20.根据权利要求18所述的方法,其中蚀刻所述电介质的所述层包含将所述暴露部分间歇地暴露于由所述硅化合物产生的等离子受激物质。
21.根据权利要求18所述的方法,其中蚀刻所述介电层包含增加所述介电层中的开口的深度且同时钝化界定所述开口的侧壁。
22.根据权利要求21所述的方法,其进一步包含在蚀刻所述介电层后从所述开口的侧壁移除钝化层。
23.根据权利要求18所述的方法,其中蚀刻所述含硅电介质的所述层包含蚀刻氧化硅。
24.根据权利要求18所述的方法,其中通过覆盖所述介电层的掩模层中的开口来暴露所述介电层的暴露部分。
25.根据权利要求24所述的方法,其中所述掩模层由选自由光致抗蚀剂、非晶碳、氮化硅和硅组成的群组的材料形成。
26.根据权利要求18所述的方法,其中蚀刻所述硅介电层包含形成深度与宽度比大于或等于约20:1的介电层开口。
27.根据权利要求26所述的方法,其中蚀刻所述硅介电层包含形成深度与宽度比大于或等于约30:1的介电层开口。
28.根据权利要求27所述的方法,其中蚀刻所述硅介电层包含形成深度与宽度比大于或等于约40:1的介电层开口。
29.根据权利要求18所述的方法,其中蚀刻所述介电层包含形成具有小于或等于约100纳米(nm)的宽度的介电层开口。
30.根据权利要求29所述的方法,其中蚀刻所述介电层包含形成具有小于或等于约80nm的宽度的介电层开口。
31.根据权利要求30所述的方法,其中蚀刻所述介电层包含形成具有约65nm的宽度的介电层开口。
32.根据权利要求18所述的方法,其中蚀刻所述介电层包含形成沟槽。
33.根据权利要求18所述的方法,其中通过汽相化学来执行蚀刻所述介电层。
34.根据权利要求18所述的方法,其中使用氟碳化合物来执行蚀刻所述含硅电介质的所述层。
35.一种用于在半导体衬底上的层间介电(ILD)层中形成高纵横比特征的方法,其包含:
在所述ILD层上提供遮蔽层,所述遮蔽层具有部分暴露所述介电层的至少一个;以及
使用蚀刻化学相对于所述遮蔽层而选择性地蚀刻所述ILD层的暴露部分,所述蚀刻化学包含:
硅物质;
卤化物物质;
碳物质;以及
氧物质。
36.根据权利要求35所述的方法,其中所述硅物质由硅化合物衍生。
37.根据权利要求36所述的方法,其中所述硅物质由SixMyHz衍生,其中M包含选自由氟(F)、溴(Br)、氯(Cl)和碘(I)组成的群组的一个或多个卤素,且其中x≥1、y≥1且z≥0。
38.根据权利要求35所述的方法,其中所述碳物质由碳化合物衍生。
39.根据权利要求38所述的方法,其中所述碳物质由CαFβHγ衍生,其中α≥1,β≥1且γ≥0。
40.根据权利要求35所述的方法,其中所述氧物质由分子氧(O2)衍生。
41.根据权利要求35所述的方法,其中选择性地蚀刻包含产生等离子受激硅物质、等离子受激卤化物物质、等离子受激碳物质和等离子受激氧物质。
42.根据权利要求35所述的方法,其中为所述ILD层提供氧化硅层。
43.根据权利要求35所述的方法,其中以约50-60
Figure A200780028892C0005092719QIETU
/分钟或更大的蚀刻速率执行选择性地蚀刻所述ILD层的暴露部分。
44.根据权利要求43所述的方法,其中选择性蚀刻期间的所述ILD层的所述蚀刻速率与所述遮蔽层的蚀刻速率的比大于或等于约4∶1。
45.根据权利要求44所述的方法,其中由所述蚀刻形成的开口的宽度的变化在3δ内小于约10nm RMS。
46.根据权利要求45所述的方法,其中由所述蚀刻形成的所述开口的纵横比大于或等于约15:1。
47.根据权利要求35所述的方法,其进一步包含通过改变所述蚀刻化学而改变通过选择性蚀刻所形成的弓形的深度。
48.根据权利要求47所述的方法,其进一步包含在首先将所述ILD层暴露于具有碳等离子受激物质且不具有硅等离子受激物质的蚀刻化学后将所述ILD层暴露于所述蚀刻化学。
49.一种具有层间介电(ILD)层的经部分制造的集成电路,其包含:
形成于所述ILD层中的多个特征,所述特征具有由所述ILD层中的开口界定的侧壁,其中所述特征中的每一者的顶部处的宽度界定顶部宽度且所述特征的最小宽度界定弓形宽度,其中所述顶部宽度与所述弓形宽度的弓形比小于或等于约1.4∶1;以及
所述侧壁的至少一部分上的硅聚合物膜。
50.根据权利要求49所述的集成电路,其中所述硅聚合物膜在所述开口中横向向内倾斜以形成颈部区。
51.根据权利要求49所述的集成电路,其中所述弓形比小于或等于约1.3:1。
52.根据权利要求51所述的集成电路,其中所述弓形比小于或等于约1.2:1。
53.根据权利要求49所述的集成电路,其中所述开口具有大于或等于约20:1的深度与宽度比以及小于或等于约100nm的宽度。
54.根据权利要求53所述的集成电路,其中所述深度与宽度比大于或等于约30:1。
55.根据权利要求54所述的集成电路,其中所述深度与宽度比大于或等于约40:1。
56.根据权利要求53所述的集成电路,其中所述宽度为约80nm或更小。
CN2007800288924A 2006-08-31 2007-08-20 用于形成高纵横比特征和相关联结构的选择性蚀刻化学 Active CN101501824B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US11/515,435 US7517804B2 (en) 2006-08-31 2006-08-31 Selective etch chemistries for forming high aspect ratio features and associated structures
US11/515,435 2006-08-31
PCT/US2007/018398 WO2008027240A2 (en) 2006-08-31 2007-08-20 Selective etch chemistries for forming high aspect ratio features and associated structures

Publications (2)

Publication Number Publication Date
CN101501824A true CN101501824A (zh) 2009-08-05
CN101501824B CN101501824B (zh) 2012-02-01

Family

ID=39092870

Family Applications (1)

Application Number Title Priority Date Filing Date
CN2007800288924A Active CN101501824B (zh) 2006-08-31 2007-08-20 用于形成高纵横比特征和相关联结构的选择性蚀刻化学

Country Status (7)

Country Link
US (3) US7517804B2 (zh)
EP (1) EP2057669A2 (zh)
JP (1) JP5273482B2 (zh)
KR (1) KR101377866B1 (zh)
CN (1) CN101501824B (zh)
TW (1) TWI380362B (zh)
WO (1) WO2008027240A2 (zh)

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101431023B (zh) * 2007-11-02 2011-04-13 应用材料股份有限公司 在基板上形成高纵横比特征的方法
CN102931194A (zh) * 2011-08-10 2013-02-13 海力士半导体有限公司 半导体器件及其制造方法
CN104380440A (zh) * 2012-07-11 2015-02-25 东京毅力科创株式会社 图案形成方法和基板处理系统
CN109960104A (zh) * 2017-12-22 2019-07-02 台湾积体电路制造股份有限公司 具有黑色边界区域的光刻掩模及其制造方法
CN109997212A (zh) * 2016-11-29 2019-07-09 朗姆研究公司 在有机层蚀刻中生成竖直轮廓的方法
CN112204706A (zh) * 2018-06-19 2021-01-08 应用材料公司 脉冲等离子体沉积蚀刻阶梯覆盖率的改良

Families Citing this family (41)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4507120B2 (ja) * 2005-11-11 2010-07-21 エルピーダメモリ株式会社 半導体集積回路装置の製造方法
US7608195B2 (en) * 2006-02-21 2009-10-27 Micron Technology, Inc. High aspect ratio contacts
US7517804B2 (en) * 2006-08-31 2009-04-14 Micron Technologies, Inc. Selective etch chemistries for forming high aspect ratio features and associated structures
KR100849190B1 (ko) * 2007-03-19 2008-07-30 주식회사 하이닉스반도체 반도체 소자의 미세 패턴 형성 방법
US20090117745A1 (en) * 2007-11-02 2009-05-07 Li Siyi Methods for selectively etching a barrier layer in dual damascene applications
JP4972594B2 (ja) * 2008-03-26 2012-07-11 東京エレクトロン株式会社 エッチング方法及び半導体デバイスの製造方法
US8226840B2 (en) 2008-05-02 2012-07-24 Micron Technology, Inc. Methods of removing silicon dioxide
US7863180B2 (en) * 2008-05-06 2011-01-04 International Business Machines Corporation Through substrate via including variable sidewall profile
JP2010272758A (ja) * 2009-05-22 2010-12-02 Hitachi High-Technologies Corp 被エッチング材のプラズマエッチング方法
WO2011031860A1 (en) * 2009-09-10 2011-03-17 Matheson Tri-Gas, Inc. Nf3 chamber clean additive
TW201216354A (en) * 2010-10-05 2012-04-16 Univ Nat Taiwan Science Tech Method for etching high-aspect-ratio features
JP5599355B2 (ja) * 2011-03-31 2014-10-01 富士フイルム株式会社 モールドの製造方法
US9653327B2 (en) * 2011-05-12 2017-05-16 Applied Materials, Inc. Methods of removing a material layer from a substrate using water vapor treatment
US8598040B2 (en) * 2011-09-06 2013-12-03 Lam Research Corporation ETCH process for 3D flash structures
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US9165785B2 (en) * 2013-03-29 2015-10-20 Tokyo Electron Limited Reducing bowing bias in etching an oxide layer
JP2014225501A (ja) * 2013-05-15 2014-12-04 東京エレクトロン株式会社 プラズマエッチング方法及びプラズマエッチング装置
JP2015185770A (ja) * 2014-03-25 2015-10-22 株式会社東芝 半導体装置の製造方法
US9640385B2 (en) 2015-02-16 2017-05-02 Applied Materials, Inc. Gate electrode material residual removal process
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US9934984B2 (en) * 2015-09-09 2018-04-03 International Business Machines Corporation Hydrofluorocarbon gas-assisted plasma etch for interconnect fabrication
WO2017106089A1 (en) * 2015-12-18 2017-06-22 Applied Materials, Inc. Cleaning method
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10658194B2 (en) * 2016-08-23 2020-05-19 Lam Research Corporation Silicon-based deposition for semiconductor processing
US10037890B2 (en) * 2016-10-11 2018-07-31 Lam Research Corporation Method for selectively etching with reduced aspect ratio dependence
US10607850B2 (en) 2016-12-30 2020-03-31 American Air Liquide, Inc. Iodine-containing compounds for etching semiconductor structures
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10276439B2 (en) 2017-06-02 2019-04-30 International Business Machines Corporation Rapid oxide etch for manufacturing through dielectric via structures
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US11437242B2 (en) * 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11107695B2 (en) 2018-12-21 2021-08-31 Beijing E-town Semiconductor Technology Co., Ltd. Surface smoothing of workpieces
US11232954B2 (en) 2020-03-16 2022-01-25 Tokyo Electron Limited Sidewall protection layer formation for substrate processing
KR20220166316A (ko) * 2020-04-08 2022-12-16 램 리써치 코포레이션 준금속 (metalloid) 또는 금속 함유 하드마스크의 증착을 사용한 선택적인 에칭
TW202213517A (zh) * 2020-08-28 2022-04-01 日商東京威力科創股份有限公司 基板處理方法及電漿處理裝置
US11195723B1 (en) * 2020-12-11 2021-12-07 Tokyo Electron Limited Non-atomic layer deposition (ALD) method of forming sidewall passivation layer during high aspect ratio carbon layer etch
WO2023101915A1 (en) * 2021-12-01 2023-06-08 Lam Research Corporation Selective etch using fluorocarbon-based deposition of a metalloid or metal
WO2023137266A1 (en) * 2022-01-11 2023-07-20 Lam Research Corporation Carbon mask deposition
WO2023215385A1 (en) * 2022-05-05 2023-11-09 Lam Research Corporation Organochloride etch with passivation and profile control
US20240105499A1 (en) * 2022-09-28 2024-03-28 Applied Materials, Inc. Molecular layer deposition carbon masks for direct selective deposition of silicon-containing materials

Family Cites Families (129)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US35826A (en) * 1862-07-08 Improvement in steam-engines
US259355A (en) * 1882-06-13 Caleb b
US99078A (en) * 1870-01-25 Improvement in cartridges
US44722A (en) * 1864-10-18 Improvement in pneumatic drills
US209520A (en) * 1878-10-29 Improvement in wire-holders for making fence-cables
US207207A (en) * 1878-08-20 Improvement in carving-machines
US106257A (en) * 1870-08-09 Improvement in machines for soldering metallic vessels
US94641A (en) * 1869-09-07 Improvement in wind-wheels
US9215A (en) * 1852-08-24 Bed for invalids
US31540A (en) * 1861-02-26 Improvement in binding attachments to harvesters
US127416A (en) * 1872-06-04 Improvement in wringing-mops
US534A (en) * 1837-12-26 Improved steam-generator
US148144A (en) * 1874-03-03 Improvement in lamp-chimney holders
US232504A (en) * 1880-09-21 Geefoed
US43623A (en) * 1864-07-19 Improved ox-shoe
US26431A (en) * 1859-12-13 John s
US53475A (en) * 1866-03-27 Improvement in bee-hives
US198015A (en) * 1877-12-11 Improvement in railway air-brakes
US164478A (en) * 1875-06-15 Improvement in permanent flour of camphor
US42198A (en) * 1864-04-05 Improved window blind and curtain fixture
US23475A (en) * 1859-04-05 Improvement in metallic cotton-bands
US5631A (en) * 1848-06-13 Pianoeobte
US4234362A (en) 1978-11-03 1980-11-18 International Business Machines Corporation Method for forming an insulator between layers of conductive material
US4508579A (en) 1981-03-30 1985-04-02 International Business Machines Corporation Lateral device structures using self-aligned fabrication techniques
US4432132A (en) 1981-12-07 1984-02-21 Bell Telephone Laboratories, Incorporated Formation of sidewall oxide layers by reactive oxygen ion etching to define submicron features
US4419809A (en) 1981-12-30 1983-12-13 International Business Machines Corporation Fabrication process of sub-micrometer channel length MOSFETs
DE3242113A1 (de) 1982-11-13 1984-05-24 Ibm Deutschland Gmbh, 7000 Stuttgart Verfahren zur herstellung einer duennen dielektrischen isolation in einem siliciumhalbleiterkoerper
US4570325A (en) 1983-12-16 1986-02-18 Kabushiki Kaisha Toshiba Manufacturing a field oxide region for a semiconductor device
US4615764A (en) 1984-11-05 1986-10-07 Allied Corporation SF6/nitriding gas/oxidizer plasma etch system
US4855017A (en) * 1985-05-03 1989-08-08 Texas Instruments Incorporated Trench etch process for a single-wafer RIE dry etch reactor
US4648937A (en) 1985-10-30 1987-03-10 International Business Machines Corporation Method of preventing asymmetric etching of lines in sub-micrometer range sidewall images transfer
GB8528967D0 (en) 1985-11-25 1986-01-02 Plessey Co Plc Semiconductor device manufacture
US4687543A (en) 1986-02-21 1987-08-18 Tegal Corporation Selective plasma etching during formation of integrated circuitry
US5514885A (en) 1986-10-09 1996-05-07 Myrick; James J. SOI methods and apparatus
US4838991A (en) 1987-10-30 1989-06-13 International Business Machines Corporation Process for defining organic sidewall structures
US4776922A (en) 1987-10-30 1988-10-11 International Business Machines Corporation Formation of variable-width sidewall structures
DD280851A1 (de) 1989-03-27 1990-07-18 Dresden Forschzentr Mikroelek Verfahren zur herstellung von graben-speicherzellen
US5017403A (en) * 1989-04-13 1991-05-21 Massachusetts Institute Of Technology Process for forming planarized films
US5013400A (en) 1990-01-30 1991-05-07 General Signal Corporation Dry etch process for forming champagne profiles, and dry etch apparatus
US5021121A (en) 1990-02-16 1991-06-04 Applied Materials, Inc. Process for RIE etching silicon dioxide
US5328810A (en) 1990-05-07 1994-07-12 Micron Technology, Inc. Method for reducing, by a factor or 2-N, the minimum masking pitch of a photolithographic process
US5013398A (en) 1990-05-29 1991-05-07 Micron Technology, Inc. Anisotropic etch method for a sandwich structure
US5022958A (en) 1990-06-27 1991-06-11 At&T Bell Laboratories Method of etching for integrated circuits with planarized dielectric
IT1243919B (it) 1990-11-20 1994-06-28 Cons Ric Microelettronica Procedimento per l'ottenimento di solchi submicrometrici planarizzati in circuiti integrati realizzati con tecnologia ulsi
JP3038950B2 (ja) * 1991-02-12 2000-05-08 ソニー株式会社 ドライエッチング方法
US6171974B1 (en) * 1991-06-27 2001-01-09 Applied Materials, Inc. High selectivity oxide etch process for integrated circuit structures
US5269879A (en) 1991-10-16 1993-12-14 Lam Research Corporation Method of etching vias without sputtering of underlying electrically conductive layer
US5445712A (en) 1992-03-25 1995-08-29 Sony Corporation Dry etching method
JPH05343370A (ja) 1992-06-10 1993-12-24 Toshiba Corp 微細パタ−ンの形成方法
KR100276093B1 (ko) * 1992-10-19 2000-12-15 히가시 데쓰로 플라스마 에칭방법
US5426070A (en) * 1993-05-26 1995-06-20 Cornell Research Foundation, Inc. Microstructures and high temperature isolation process for fabrication thereof
US5798830A (en) * 1993-06-17 1998-08-25 Ultrapointe Corporation Method of establishing thresholds for image comparison
US6042998A (en) 1993-09-30 2000-03-28 The University Of New Mexico Method and apparatus for extending spatial frequencies in photolithography images
US5529657A (en) 1993-10-04 1996-06-25 Tokyo Electron Limited Plasma processing apparatus
JPH0855920A (ja) 1994-08-15 1996-02-27 Toshiba Corp 半導体装置の製造方法
JPH0855908A (ja) 1994-08-17 1996-02-27 Toshiba Corp 半導体装置
JP3778299B2 (ja) 1995-02-07 2006-05-24 東京エレクトロン株式会社 プラズマエッチング方法
US5795830A (en) 1995-06-06 1998-08-18 International Business Machines Corporation Reducing pitch with continuously adjustable line and space dimensions
US5820261A (en) 1995-07-26 1998-10-13 Applied Materials, Inc. Method and apparatus for infrared pyrometer calibration in a rapid thermal processing system
US5626716A (en) 1995-09-29 1997-05-06 Lam Research Corporation Plasma etching of semiconductors
US5882535A (en) 1997-02-04 1999-03-16 Micron Technology, Inc. Method for forming a hole in a semiconductor device
JP3257587B2 (ja) * 1997-05-23 2002-02-18 日本電気株式会社 誘電体膜を用いた半導体装置の製造方法
US6090304A (en) 1997-08-28 2000-07-18 Lam Research Corporation Methods for selective plasma etch
JPH1197414A (ja) * 1997-09-25 1999-04-09 Sony Corp 酸化シリコン系絶縁膜のプラズマエッチング方法
US6063688A (en) 1997-09-29 2000-05-16 Intel Corporation Fabrication of deep submicron structures and quantum wire transistors using hard-mask transistor width definition
US6291334B1 (en) 1997-12-19 2001-09-18 Applied Materials, Inc. Etch stop layer for dual damascene process
US6159862A (en) 1997-12-27 2000-12-12 Tokyo Electron Ltd. Semiconductor processing method and system using C5 F8
US6635185B2 (en) * 1997-12-31 2003-10-21 Alliedsignal Inc. Method of etching and cleaning using fluorinated carbonyl compounds
US6004862A (en) 1998-01-20 1999-12-21 Advanced Micro Devices, Inc. Core array and periphery isolation technique
US6403488B1 (en) 1998-03-19 2002-06-11 Cypress Semiconductor Corp. Selective SAC etch process
JP3744680B2 (ja) * 1998-03-31 2006-02-15 富士通株式会社 電源装置、および電源回路の制御方法
US6117786A (en) 1998-05-05 2000-09-12 Lam Research Corporation Method for etching silicon dioxide using fluorocarbon gas chemistry
US6245662B1 (en) 1998-07-23 2001-06-12 Applied Materials, Inc. Method of producing an interconnect structure for an integrated circuit
US6114250A (en) 1998-08-17 2000-09-05 Lam Research Corporation Techniques for etching a low capacitance dielectric layer on a substrate
US6242165B1 (en) 1998-08-28 2001-06-05 Micron Technology, Inc. Supercritical compositions for removal of organic material and methods of using same
US6284149B1 (en) * 1998-09-18 2001-09-04 Applied Materials, Inc. High-density plasma etching of carbon-based low-k materials in a integrated circuit
US6071789A (en) 1998-11-10 2000-06-06 Vanguard International Semiconductor Corporation Method for simultaneously fabricating a DRAM capacitor and metal interconnections
US6191043B1 (en) 1999-04-20 2001-02-20 Lam Research Corporation Mechanism for etching a silicon layer in a plasma processing chamber to form deep openings
US20050099078A1 (en) 1999-05-03 2005-05-12 Serge Vanhaelemeersch Method for removal of SiC
EP1055967B1 (en) * 1999-05-25 2004-09-29 FERRANIA S.p.A. Silver halide color photographic light-sensitive elements having improved image quality
US6297126B1 (en) * 1999-07-12 2001-10-02 Chartered Semiconductor Manufacturing Ltd. Silicon nitride capped shallow trench isolation method for fabricating sub-micron devices with borderless contacts
US6716758B1 (en) 1999-08-25 2004-04-06 Micron Technology, Inc. Aspect ratio controlled etch selectivity using time modulated DC bias voltage
US6291331B1 (en) * 1999-10-04 2001-09-18 Taiwan Semiconductor Manufacturing Company Re-deposition high compressive stress PECVD oxide film after IMD CMP process to solve more than 5 metal stack via process IMD crack issue
US20050022839A1 (en) * 1999-10-20 2005-02-03 Savas Stephen E. Systems and methods for photoresist strip and residue treatment in integrated circuit manufacturing
US6582891B1 (en) 1999-12-02 2003-06-24 Axcelis Technologies, Inc. Process for reducing edge roughness in patterned photoresist
KR100311050B1 (ko) 1999-12-14 2001-11-05 윤종용 커패시터의 전극 제조 방법
US6573030B1 (en) 2000-02-17 2003-06-03 Applied Materials, Inc. Method for depositing an amorphous carbon layer
US6297554B1 (en) 2000-03-10 2001-10-02 United Microelectronics Corp. Dual damascene interconnect structure with reduced parasitic capacitance
US6784108B1 (en) * 2000-08-31 2004-08-31 Micron Technology, Inc. Gas pulsing for etch profile control
SE517275C2 (sv) 2000-09-20 2002-05-21 Obducat Ab Sätt vid våtetsning av ett substrat
JP2002110647A (ja) * 2000-09-29 2002-04-12 Hitachi Ltd 半導体集積回路装置の製造方法
EP1328332B9 (de) 2000-10-03 2004-12-29 Scheuch GmbH Anlage zur reinigung von abgasen
WO2002050885A1 (fr) 2000-12-21 2002-06-27 Tokyo Electron Limited Procede de gravage pour film isolant
KR100439025B1 (ko) 2001-01-18 2004-07-03 삼성전자주식회사 플래쉬 메모리의 부유 전극의 형성 방법
US7132363B2 (en) * 2001-03-27 2006-11-07 Advanced Micro Devices, Inc. Stabilizing fluorine etching of low-k materials
US6475867B1 (en) 2001-04-02 2002-11-05 Advanced Micro Devices, Inc. Method of forming integrated circuit features by oxidation of titanium hard mask
US6740594B2 (en) 2001-05-31 2004-05-25 Infineon Technologies Ag Method for removing carbon-containing polysilane from a semiconductor without stripping
US6746961B2 (en) 2001-06-19 2004-06-08 Lam Research Corporation Plasma etching of dielectric layer with etch profile control
DE60106011T2 (de) * 2001-07-23 2006-03-02 Infineon Technologies Ag Verfahren zur Bildung einer Isolierschicht und Verfahren zur Herstellung eines Grabenkondensators
TW497138B (en) 2001-08-28 2002-08-01 Winbond Electronics Corp Method for improving consistency of critical dimension
KR100415088B1 (ko) 2001-10-15 2004-01-13 주식회사 하이닉스반도체 반도체장치의 제조방법
US6759180B2 (en) 2002-04-23 2004-07-06 Hewlett-Packard Development Company, L.P. Method of fabricating sub-lithographic sized line and space patterns for nano-imprinting lithography
US6951709B2 (en) 2002-05-03 2005-10-04 Micron Technology, Inc. Method of fabricating a semiconductor multilevel interconnect structure
US6649532B1 (en) 2002-05-09 2003-11-18 Applied Materials Inc. Methods for etching an organic anti-reflective coating
US20040072081A1 (en) 2002-05-14 2004-04-15 Coleman Thomas P. Methods for etching photolithographic reticles
US6734107B2 (en) 2002-06-12 2004-05-11 Macronix International Co., Ltd. Pitch reduction in semiconductor fabrication
US7547635B2 (en) * 2002-06-14 2009-06-16 Lam Research Corporation Process for etching dielectric films with improved resist and/or etch profile characteristics
US6924191B2 (en) 2002-06-20 2005-08-02 Applied Materials, Inc. Method for fabricating a gate structure of a field effect transistor
US6835663B2 (en) 2002-06-28 2004-12-28 Infineon Technologies Ag Hardmask of amorphous carbon-hydrogen (a-C:H) layers with tunable etch resistivity
US6673684B1 (en) 2002-07-31 2004-01-06 Advanced Micro Devices, Inc. Use of diamond as a hard mask material
US6764949B2 (en) 2002-07-31 2004-07-20 Advanced Micro Devices, Inc. Method for reducing pattern deformation and photoresist poisoning in semiconductor device fabrication
JP2004087738A (ja) * 2002-08-26 2004-03-18 Tokyo Electron Ltd Siエッチング方法
US6756284B2 (en) * 2002-09-18 2004-06-29 Silicon Storage Technology, Inc. Method for forming a sublithographic opening in a semiconductor process
US7119020B2 (en) 2002-12-04 2006-10-10 Matsushita Electric Industrial Co., Ltd. Method for fabricating semiconductor device
US6916746B1 (en) * 2003-04-09 2005-07-12 Lam Research Corporation Method for plasma etching using periodic modulation of gas chemistry
US6773998B1 (en) 2003-05-20 2004-08-10 Advanced Micro Devices, Inc. Modified film stack and patterning strategy for stress compensation and prevention of pattern distortion in amorphous carbon gate patterning
US6939794B2 (en) 2003-06-17 2005-09-06 Micron Technology, Inc. Boron-doped amorphous carbon film for use as a hard etch mask during the formation of a semiconductor device
JP4455936B2 (ja) 2003-07-09 2010-04-21 富士通マイクロエレクトロニクス株式会社 半導体装置の製造方法とエッチングシステム
DE10332725A1 (de) 2003-07-18 2005-02-24 Forschungszentrum Jülich GmbH Verfahren zur selbstjustierenden Verkleinerung von Strukturen
JP3866694B2 (ja) 2003-07-30 2007-01-10 株式会社日立ハイテクノロジーズ Lsiデバイスのエッチング方法および装置
US6995065B2 (en) * 2003-12-10 2006-02-07 International Business Machines Corporation Selective post-doping of gate structures by means of selective oxide growth
US6969895B2 (en) 2003-12-10 2005-11-29 Headway Technologies, Inc. MRAM cell with flat topography and controlled bit line to free layer distance and method of manufacture
US7354847B2 (en) 2004-01-26 2008-04-08 Taiwan Semiconductor Manufacturing Company Method of trimming technology
US7115993B2 (en) * 2004-01-30 2006-10-03 Tokyo Electron Limited Structure comprising amorphous carbon film and method of forming thereof
JP2005229052A (ja) * 2004-02-16 2005-08-25 Seiko Epson Corp 半導体装置の製造方法
US20060043066A1 (en) * 2004-08-26 2006-03-02 Kamp Thomas A Processes for pre-tapering silicon or silicon-germanium prior to etching shallow trenches
DE102005020060B4 (de) * 2005-04-29 2012-02-23 Advanced Micro Devices, Inc. Verfahren zum Strukturieren eines Dielektrikums mit kleinem ε unter Anwendung einer Hartmaske
KR100780944B1 (ko) * 2005-10-12 2007-12-03 삼성전자주식회사 탄소함유막 식각 방법 및 이를 이용한 반도체 소자의 제조방법
US7517804B2 (en) * 2006-08-31 2009-04-14 Micron Technologies, Inc. Selective etch chemistries for forming high aspect ratio features and associated structures

Cited By (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101431023B (zh) * 2007-11-02 2011-04-13 应用材料股份有限公司 在基板上形成高纵横比特征的方法
CN102931194A (zh) * 2011-08-10 2013-02-13 海力士半导体有限公司 半导体器件及其制造方法
CN104380440A (zh) * 2012-07-11 2015-02-25 东京毅力科创株式会社 图案形成方法和基板处理系统
CN104380440B (zh) * 2012-07-11 2016-12-07 东京毅力科创株式会社 图案形成方法和基板处理系统
CN109997212A (zh) * 2016-11-29 2019-07-09 朗姆研究公司 在有机层蚀刻中生成竖直轮廓的方法
CN109997212B (zh) * 2016-11-29 2023-06-13 朗姆研究公司 在有机层蚀刻中生成竖直轮廓的方法
CN109960104A (zh) * 2017-12-22 2019-07-02 台湾积体电路制造股份有限公司 具有黑色边界区域的光刻掩模及其制造方法
US11852966B2 (en) 2017-12-22 2023-12-26 Taiwan Semiconductor Manufacturing Co., Ltd. Lithography mask with a black border regions and method of fabricating the same
CN112204706A (zh) * 2018-06-19 2021-01-08 应用材料公司 脉冲等离子体沉积蚀刻阶梯覆盖率的改良
CN112204706B (zh) * 2018-06-19 2022-02-25 应用材料公司 脉冲等离子体沉积蚀刻阶梯覆盖率的改良

Also Published As

Publication number Publication date
WO2008027240A3 (en) 2008-05-15
US20080057724A1 (en) 2008-03-06
KR101377866B1 (ko) 2014-03-24
US20120068366A1 (en) 2012-03-22
US8088691B2 (en) 2012-01-03
CN101501824B (zh) 2012-02-01
WO2008027240A2 (en) 2008-03-06
KR20090058005A (ko) 2009-06-08
JP5273482B2 (ja) 2013-08-28
US7517804B2 (en) 2009-04-14
US20090159560A1 (en) 2009-06-25
JP2010503207A (ja) 2010-01-28
TWI380362B (en) 2012-12-21
EP2057669A2 (en) 2009-05-13
TW200823993A (en) 2008-06-01

Similar Documents

Publication Publication Date Title
CN101501824B (zh) 用于形成高纵横比特征和相关联结构的选择性蚀刻化学
US10943834B2 (en) Replacement contact process
JP2010503207A5 (zh)
US6627535B2 (en) Methods and apparatus for forming a film on a substrate
US7202172B2 (en) Microelectronic device having disposable spacer
US6361705B1 (en) Plasma process for selectively etching oxide using fluoropropane or fluoropropylene
US6583065B1 (en) Sidewall polymer forming gas additives for etching processes
US6939808B2 (en) Undoped and fluorinated amorphous carbon film as pattern mask for metal etch
US7273566B2 (en) Gas compositions
US20030024902A1 (en) Method of plasma etching low-k dielectric materials
US20020142610A1 (en) Plasma etching of dielectric layer with selectivity to stop layer
US5863839A (en) Silicon and polycide plasma etch appplications by use of silicon-containing compounds
US5451435A (en) Method for forming dielectric
KR101027176B1 (ko) 게이트에 근접한 콘택 홀을 갖는 반도체 트랜지스터
US7094681B2 (en) Semiconductor device fabrication method
US20050095783A1 (en) Formation of a double gate structure
KR100492898B1 (ko) 반도체 소자 제조 방법
KR101276043B1 (ko) 아산화질소를 사용하는 에치백 프로세스
CN1221017C (zh) 改进的氟掺杂二氧化硅薄膜
KR100521506B1 (ko) 고밀도 플라즈마 에처를 사용하여 비도포된 이산화규소에선택적으로 도포된 이산화규소를 에칭하는 방법
KR19980055952A (ko) 반도체 소자의 콘택홀 세정방법

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant