CN101536161A - 温控多气体分配组件 - Google Patents

温控多气体分配组件 Download PDF

Info

Publication number
CN101536161A
CN101536161A CNA2007800416212A CN200780041621A CN101536161A CN 101536161 A CN101536161 A CN 101536161A CN A2007800416212 A CNA2007800416212 A CN A2007800416212A CN 200780041621 A CN200780041621 A CN 200780041621A CN 101536161 A CN101536161 A CN 101536161A
Authority
CN
China
Prior art keywords
fluid
manifold
gas
passage
cap assemblies
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CNA2007800416212A
Other languages
English (en)
Other versions
CN101536161B (zh
Inventor
尼欧·谬
斯蒂文·波普
乔治·马汀格
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of CN101536161A publication Critical patent/CN101536161A/zh
Application granted granted Critical
Publication of CN101536161B publication Critical patent/CN101536161B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45572Cooled nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45574Nozzles for more than one gas

Abstract

本发明提供一种气体分配板的设备及方法。气体分配板具有一第一歧管,第一歧管包括用以提供至少两种不同气体至衬底上方的处理区域的多个同心通道。多个通道的一部分执行热控制的功能,并与其它通道分隔开,藉以在气体分配板中提供分隔的气流通道。气流通道与第二歧管为流体连通,且第二歧管包括多个同心环。形成于环中的孔洞与气流通道及处理区域为流体连通。气体提供至处理区域的衬底上方,而不在气体分配板内进行混合。

Description

温控多气体分配组件
发明背景
发明领域
本发明涉及一种用于处理衬底(例如半导体晶片)的设备,特别是一种用于将处理流体分配至衬底上方的设备。
相关技术描述
半导体处理系统通常包括一处理室,该处理室具有一用以将衬底(例如半导体晶片)支撑于处理室中而使衬底邻近处理区域的台座。处理室形成一真空密闭室,以部分地界定上述处理区域。气体分配组件或是喷气头提供一或多种处理气体至处理区域,这些气体接着被加热及/或激发以形成电浆,而电浆用以在衬底上执行部分工艺。这些工艺包括:沉积工艺,例如化学气相沉积(CVD),以在衬底上沉积薄膜;或是一蚀刻反应,以自衬底上移除材料,等其他工艺。
在需要多种气体的工艺中,这些气体可以在混合室中进行混合,且接着混合室透过导管而耦接至气体分配组件。举例来说,在传统的热CVD工艺中,两种处理气体伴随着其各自的载气而供应至混合室中,且这些气体在混合室中结合形成一气体混合物。气体混合物可以直接导入处理室中,或是可以通过处理室的上方部分的导管而至分配组件。分配组件一般包括具有多个孔的板,藉此,气体混合物可以均匀地分配进入衬底上方的处理区域。在另一实例中,两种气体分别地通过分配组件,并在到达处理区域及/或衬底之前允许该些气体结合。当气体混合物进入处理区域并注入热能时,化学反应在处理气体之间发生,因而造成在衬底上的化学气相沉积反应。
虽然在气体进入处理区域之前将其混合一般是有利的,例如,可确保组成气体可均匀地分配至处理区域中,但是这些气体会倾向在混合室或分配板中开始产生还原或反应。结果,在气体混合物到达处理区域之前,会发生在混合室、导管、分配板及其它室组件上的沉积或蚀刻现象。另外,反应副产物可能会累积在气体分配组件中或是分配板的内部表面上,因而,产生及/或增加不欲其产生的微粒的出现。
当气体释放至处理区域时,控制气体的温度对于控制这些气体的反应性是有利的。举例来说,在将这些气体释放至处理区域之前,将气体进行冷却对于控制不期望的反应发生能够是有利的。抑制气体反应直到其与加热的衬底接触为止。在其它情况下,加热衬底是必须的。举例来说,热气体清除或清洗可协助自处理室中移除污染物。因此,将温度控制方案整合至气体分配板是有利的。
虽然部分气体分配装置已发展而可减少在气体进入处理区域之前的混合动作,但该装置会倾向在处理的过程中过早发生劣化现象。举例来说,传统分配装置可能是由会在处理过程中产生膨胀及缩小的材料制成,因而导致装置或处理室的其它部件的劣化。传统装置亦可能需要以大型弹性密封件进行密封,例如大直径的O型圈,其可能会随着时间劣化,因而导致装置内部的泄漏。再者,传统装置输送两者或更多种气体至处理区域,而其可能无法在处理区域中混合均匀,因而导致衬底上的非均一沉积现象。
因此,对于可传送至少两种气体进入处理区域,而在到达处理区域之前不会进行气体混合且亦可同时控制气体温度的气体分配装置具有持续的需求。另外,亦需要一种气体分配装置,其可不使用大型O型圈而进行密封。
发明内容
本发明的实施例关于用以将处理流体传送至处理室以在衬底上沉积薄膜、蚀刻衬底及进行其它工艺的设备及方法。
在一实施例中,描述一种用于将处理流体传送至处理室的设备。该设备包括:一第一歧管,其具有至少部分地形成在其中的多个分离流体通道;以及一第二歧管,耦接至第一歧管,多个分离流体通道的一部分包括与第二歧管为连通的第一及第二气体通道。
在另一实施例中,描述一种用于处理室的盖组件。该盖组件包括:一上方歧管,具有界定而穿设于其中的流体分离的第一及第二流动路径;以及一下方歧管,具有一顶侧及一底侧,顶侧耦接至上方歧管,底侧则具有流体耦接至第一流动路径的多个第一出口,以及流体耦接至第二流动路径的多个第二出口,其中,下方歧管包括多个同心环,其具有一内表面,且该内表面与一邻接环的一外表面密接,而适以在其之间形成一材料与材料之间的密封(material tomaterial seal)。
在另一实施例中,描述一种用于将处理流体传送至处理室的设备。该设备包括:一歧管组件,具有一顶侧及一底侧,该顶侧具有部分地形成于其上的多个流体分离的圆形通道,该底侧具有形成于其中的多个环状出口;以及一盖板,具有一顶部、一底部及一边缘,其中盖板的底部耦接至歧管组件的顶侧,且盖板具有穿设于其中的至少两个气体通道以及多个热控制流体通道,该至少两个气体通道及该多个热控制流体通道与该多个圆形通道为流体连通。
在另一实施例中,描述一种用于处理室的盖组件。该盖组件包括:一上方歧管,具有部分地形成于其中的多个流体分离的通道;以及一下方歧管,耦接至上方歧管,其中流体分离的该多个通道的一第一部分包括与下方歧管为流体连通的第一及第二气体通道,流体分离的该多个通道的一第二部分包括多个热控制通道。
在另一实施例中,描述一种用于将处理流体传送至处理室的设备。该设备包括:一歧管组件,具有一顶侧及一底侧,该顶侧具有部分地形成于其上的多个流体分离的圆形通道,该底侧具有形成于其中的多个环状出口;以及一盖板,具有一顶部、一底部及一边缘,其中盖板的底部耦接至歧管组件的顶侧,且盖板具有穿设于其中的至少两个气体通道以及多个热控制流体通道,该至少两个气体通道及该多个热控制流体通道与该多个圆形通道为流体连通。
在另一实施例中,描述一种制造气体分配板的方法。该方法包括:提供一盖板,该盖板具有形成于其中的多个径向气体通道;提供一第一歧管,该第一歧管具有形成于其中的多个圆形通道,其中多个圆形通道的一部分界定第一及第二气体通道;提供第二歧管,该第二歧管具有形成于其中的多个环状沟槽,其中第一及第二气体通道与该多个环状沟槽为流体连通;以及耦接第一及第二歧管以形成气体分配板。
附图简要说明
为让本发明的上述特征更明显易懂,可配合参考实施例说明,其部分乃如附图中绘示。须注意的是,虽然附图仅揭露本发明特定实施例,但其并非用以限定本发明的精神与范围,任何熟知本领域的技术人员,当可作各种的更动与润饰而得等效实施例。
图1,绘示处理室的一实施例的概要剖面视图;
图2,绘示图1所示的处理室的剖面视图,其已沿着纵轴而旋转;
图3A,绘示上方歧管的一实施例的概要俯视图;
图3B,绘示图3A所示的上方歧管的概要俯视图;
图3C,绘示图3A所示之上方歧管的另一概要俯视图;
图4A,绘示盖组件之一实施例的分解等角视图;
图4B,绘示盖组件之一部件的详细剖面概要视图;以及
图5,绘示可用于实施本发明盖组件的一部分的详细视图。
为便于了解,图式中相同的组件符号表示相同的组件。某一实施例采用的组件当不需特别详述而可应用到其它实施例。
具体描述
图1绘示处理室100的一实施例的剖面视图。处理室100包括一设置于内部空间101内的衬底支撑件102。衬底104(例如半导体晶片)可藉由设置于处理室100的壁中的开口103而进出内部空间101。处理室100亦包括盖组件105,盖组件105耦接至处理室100的上表面,而形成内部空间101的至少一部分的边界。在本实施例中,盖组件105包括盖板112、与盖板112为流体连通的上方歧管113、与上方歧管113为流体连通的下方歧管114以及一盖环115。
在一实施例中,盖组件105的下表面与衬底104的上表面界定一处理区域106。盖组件105的下方歧管114与处理区域106为流体连通。在一特定实施例中,处理室100包括一环状元件,例如遮蔽环109,其环绕衬底支撑件102的一部分而与衬底104相邻。当衬底支撑件102升高至处理位置时,遮蔽环109适以接触衬底支撑件102。当衬底支撑件102升高,遮蔽环109的周围部分实质将衬底支撑件102的下方部分与处理区域106隔绝开。此隔绝预防或减少处理气体导入部分的内部空间101中。内部空间101的体积(如藉由处理区域106所界定)的减少,会降低提供至处理室100的处理气体的体积。
在一实施例中,处理区域106的体积由衬底104的上表面与盖105的下表面之间的距离所界定。在进行处理以使衬底104进出之前及之后,衬底支撑件102可以升高及降低。透过耦接至真空泵(图中未示)的环状真空通道124及真空口111而可维持处理室101中的真空,并将任何未沉积的气体自处理室100排出。
衬底支撑件102可以由传导或非传导材料形成,例如金属(如:铝、钢、不锈钢、镍、铬、其合金或其组合物)或陶瓷材料。取决于特定的实施例,衬底104可以在预处理步骤、沉积步骤、后处理步骤或其它用于制造工艺期间的工艺步骤之前及/或其过程中,加热至期望温度。
在一实例中,可以利用嵌设的加热元件(图中未示)来加热衬底支撑件102,例如:电阻加热器或是形成在衬底支撑件102中以供应流体的导管。在另一实例中,衬底支撑件102可以利用辐射加热器而加热,例如灯(图中未示)。
例如一或多个热电偶(图中未示)的温度传感器亦可嵌设于衬底支撑件102中以监控衬底支撑件102的温度。所量测的温度可用于反馈回路中以控制针对加热元件的电源供应,藉此,衬底104的温度可以维持或控制在期望的温度下,而适于特定的处理应用。衬底升举销(图中未示)亦可设置于衬底支撑件102中,并用以将衬底104自支撑表面升高及降低,以促进衬底104传输进出处理室100。
于一实施例中,流体(例如为气体)通过控制阀(例如阀107A)而导入处理室100中,而阀107A耦接至盖组件105之入口116。阀107A适以耦接至处理流体源F1。阀107A可以为任何用以控制流体或气流的控制阀,例如气动阀、磁性阀、或电致动阀。控制阀可经偏压而开启或关闭,并经致动而在短时间内开启或关闭,以提供气体或连续气流的脉冲。适当的阀可购自日本大阪的Fujikin公司以及Richmond,California(加州里奇蒙)的Veriflo公司。
原子层沉积(ALD)工艺利用控制阀(例如阀107A)以产生气体脉冲至处理区域106。举例来说,阀107A可配置以提供介于10毫秒~5秒的开启/关闭循环。在一实例中,阀107A可以快速地产生脉冲约小于1秒,例如介于约10毫秒~约1秒,例如介于约50毫秒~700毫秒,或是介于约100毫秒~约500毫秒。在另一实例中,阀107A可较慢速地脉冲,例如大于约1秒,例如介于约1秒~约5秒,例如介于约1.5秒~约4秒,或是介于约2秒~约3秒。
图2是图1的处理室100的另一实施例的剖面视图,其沿着纵轴而旋转。除了入口106(图1)之外,盖组件更包括入口200。入口200适以耦接阀107B,阀107B接着与流体源F2耦接,而流体源F2不同于与阀107A耦接的流体源F1(图1)。在一实施例中,阀107A、107B分隔开,但两者为类似,其各自可如上述般提供流体或气体的脉冲或连续流。此种系统可用于将两种气体同时流经两个分离的流体通道。由图1及图2所示的实施例可延伸至具有两个以上之气体通道的实施例。
图1及图2所示的实施例可配置以在原子层沉积(ALD)工艺、化学气相沉积(CVD)工艺、或是金属-有机或有机-金属CVD(MOCVD或OMCVD)工艺而在衬底上沉积材料。一般来说,此处所述的实施例可用于:高或低压工艺、高或低温以及连续或脉冲的气流、或是同步或交替的气流。耦接至阀107A、107B的流体源F1、F2可提供:金属卤化物,例如:四氯化铪(HfCl4)、六氟化钨(WF6)、或六氯化钨(WCl6);金属羰基化合物,例如:羰化钨(W(CO)6);还原性化合物,例如:氨(NH3)、氢(即,H2或原子H)、肼(N2H4)、硅烷(SiH4)、二硅烷(Si2H6)、三硅烷(Si3H8)、四硅烷(Si4H10)、二甲基硅烷(SiC2H8)、甲基硅烷(SiCH6)、乙基硅烷(SiC2H8)、氯硅烷(ClSiH3)、二氯硅烷(Cl2SiH2)、六氯二硅烷(Si2Cl2)、硼烷(BH3)、二硼烷(B2H6)、三硼烷、四硼烷、五硼烷、三乙基硼烷(Et3B)、其衍生物、其等离子体或其组合;氧化性化合物,例如:氧(O2)、臭氧(O3)、水(H2O)、氧化亚氮(N2O)、氧化氮(NO)、二氧化氮(NO2)、其衍生物及其组合;以及载气,例如:氦气、氩气、氖气、氮气、氢气及其它化学前驱物,例如:金属-有机或有机-金属前驱物,例如:烷基金属、酰胺基金属、亚胺基金属、芳族烃金属、芳香基金属或其衍生物或其组合。本发明的实施例中可使用的衬底包括但不限于为半导体晶片,例如结晶硅(如:Si<100>或Si<111>)、氧化硅、应变硅、氮化硅、硅锗、锗、砷化镓、玻璃、蓝宝石、金属、金属合金、金属氮化物、掺杂或未掺杂多晶硅、掺杂或未掺杂硅晶片以及图案化或未图案化晶片。衬底可暴露于预处理工艺以研磨、蚀刻、还原、氧化、羟化、退火及/或烘烤衬底表面。
参照图1及图2,盖组件105的一实施例包括一盖板112、一第一或上方歧管113、一第二或下方歧管114以及一盖环115。盖板112包括二个横向导管,例如通道117、202,其通过上方歧管113与下方歧管114而与处理区域106为流体连通。通道117、202可以径向设置于盖板112的不同平面内。盖板112可以由任何适当的方法形成,例如:机械加工、浇铸、模塑、铜焊、或其组合。通道117、202可藉由任何传统方法而形成在盖板112里面,包括钻孔以及辗压,且在一实施例中,通道117、202偏移45度,且彼此上下间隔设置。在一实施例中,通道117、202利用深孔加工(gun-drill)形成。
盖板112可以由例如铝、不锈钢、镍、其合金或其混合物,或陶瓷材料形成。在一实施例中,当钻孔形成通道117、202时,通道117、202的开启端由柱塞(plug)118、203来密封之。柱塞118、203可由金属(如上列举的那些金属)、陶瓷、或是有机或无机聚合材料形成。柱塞118、203一般由与盖板112的材料具有相似的膨胀系数的材料制成。其它在盖板112中形成入口及歧管的方法例如为浇铸、焊接或铜焊,而这些方法可以不需柱塞来预防气体的泄漏。
在一实施例中,盖板112包括两个气体入口116、200,且入口116、200流体耦接至阀107A、107B,二流体(可为气相或蒸气相)通过阀107A、107B而导入处理室100中。入口116、200分别连接至通道117、202,而通道117、202与处理区域106为流体连通。因此,来自流体源F1的气体通过与入口116耦接的阀107A而进入通道117中。来自流体源F1的气体接着通过通道117而进入开口220A,并流入形成于上方歧管113中的通道119。来自流体源F2的气体通过与入口200耦接的阀107B而进入通道202中。来自流体源F2的气体接着通过通道202而进入开口220B,并流入形成于上方歧管113中的通道204,且当来自流体源F1及F2的气体到达上方歧管113时,气体仍然在两个不同的流动路径保持隔离。
在一实施例中,上方气体通道119、204在上方歧管113中排置成圆形通道图案。圆形通道119、204通过孔洞205A、205B而耦接至下方歧管114,其将于下方详细描述之。
图3A为上方歧管113的一实施例的俯视图。上方歧管113包括多个流体通道301,其设置于上方气体通道119、204之间并与气体通道119、204分隔开。上方歧管113亦包括多个外部流体通道302。各个流体通道301、302提供有一导管,以供热控制流体流动于其中,因而对上方歧管113提供较佳的热控制。热控制流体可以为液体或气体。可使用的液体包括水(例如去离子水)、油、乙醇、乙二醇、乙二醇醚、其它有机溶剂、超临界流体(例如,CO2)、其衍生物或其混合物。气体可包括氮气、氩气、空气、氢氟碳化物(HFCs)、或是其混合物。热控制流体通过形成在盖板112的孔洞401、402(图3B、3C及4A)而进入及离开上方歧管113。上方歧管113由具工艺抗性及/或化学兼容性的材料制成,例如:铝、不锈钢、陶瓷材料及其组合。上方歧管113可以为模塑、浇铸、机械加工或其组合而制成。在一实施例中,盖板112及上方歧管113可以铜焊在一起以形成单一板,其具有气体输送及热控制特征结构整合于其中。在一实施例中,上方歧管113及盖板112的紧配表面形成一剪力密封(shear seal)。表面可以藉由抛光(lapping)或其它适合技术而完成。
上方气体通道119、204及流体通道301、302各个界定出导管,导管具有一侧适以由盖板112的下表面所密封(当盖板112耦接至导管时)。上方气体通道119、204及流体通道301、302可具有的剖面形状包括:具有圆角的U型、具有实质方角的U型及其组合。在上方歧管113的中央部分中,各个上方气体通道119、204由流体通道301分隔开。上方气体通道119、204与流体通道301之间的环状壁提供各个气体及流体的分别流动路径。当盖板112耦接至上方歧管113以预防热控制流体与气体之间的污染时,上方气体通道119、204与流体通道301、302为分隔开且密封的。
流体通道301、302与气体通道119、204的配置并不限于图中所示的数量及配置。亦可使用较多或较少数量的流体通道301、302与气体通道119、204,且流体通道301、302与气体通道119、204可以在上方歧管113中形成任何期望的形状。举例来说,可以使用较多的内部通道301及较少的外部通道302,反之亦然。其它实施例亦可包括具有不同剖面形状的通道,例如完整圆形。其它实施例可包括更为垂直的配置,例如形成在盖板112及/或上方歧管113其中之一中的通道层。
图3B为上方歧管113的概要俯视图,其显示通道117与气体通道119之间的位置关系。在此实施例中,为了清楚表示,流体通道301、302以虚线显示,且气体通道204并未示出。如上所述,通道117的开口220A与气体通道119为流体连通。孔洞205A与下方歧管114为流体连通,且设置于偏移开口220A约45度角之处,藉此,来自流体源F1的气体可导引通过阀107A、流经气体通道119、并传送至下方歧管114,而不与来自流体源F2的气体以及热控制流体混合。实施例并不限制孔洞205A的数量及定位,更多或更少的孔洞205A可增设至气体通道119中的不同的径向位置及/或不同偏移角度。
图3C为上方歧管113的概要俯视图,其显示通道202与气体通道204之间的位置关系。在此实施例中,为了清楚表示,流体通道301、302以虚线显示,且气体通道119并未示出。如上所述,通道202的开口220B与气体通道204为流体连通。孔洞205B与下方歧管114为流体连通,且设置于偏移开口220B约45度角之处,藉此,来自流体源F2的气体可导引通过阀107B、流经气体通道204、并传送至下方歧管114,而不与来自流体源F1的气体以及热控制流体混合。实施例并不限制孔洞205B的数量及定位,更多或更少的孔洞205B可增设至气体通道204中的不同的径向位置及/或不同偏移角度。
图4A为盖组件105的等角分解视图。所显示的下方歧管114分解为其构成的套叠环121、206,当组合套叠环121、206时会形成下方歧管114。在一实施例中,环121、206经过精准制造,以使其不需使用O型圈、衬垫或相似物而能密封。在一实施例中,环121、206在其相接触的内径及外径部分之间会形成剪力密封。环121、206藉由重叠(lapping)来形成,且各个环121、206的内、外径保持在公差内,其中,在环121、206的接触点形成材料与材料之间的密封。材料与材料之间的密封提供实质的气密密封,其用于使界定于环121、206之间的气体通道之间的泄漏最小化及/或预防泄漏。
环121、206中的孔洞123、207分别通过孔洞205A、205B而与通道119、204为流体连通。因此,来自盖板112的入口116、200的气体流经上方歧管113而进入下方歧管114。来自流体源F1及F2的气体分别流经盖板112的入口116、200。热冷却流体系流经盖板112的孔洞401、402。在各种部件中的开口及通道的配置可以藉由各种方式改变以提供不同实施例。举例来说,可提供呈现任何几何最佳图案的多个开口。同样地,开口可以如图所示而对齐,或是其可以为交错设置的。开口亦可按一定尺寸制作而使得在设备中的流动及压力分布最佳化。
图4B为环206的部分剖面视图,其类似于环121的构造。在此实施例中,环206沿着内径及外径而精确磨平、重叠(lapped)、或抛光,以制造出与紧配环的剪力密封,并使得通过下方歧管114的气体泄漏情形最小化及/或预防泄漏。环206具有一延伸顶部408,延伸顶部408在环206的周围形成一突出部。孔洞207穿设于顶部408。顶部408由第一外半径403及第一内半径405(参照环206的中央线411所量测)所界定。环206亦包括由第二外半径407及第二内半径406所界定的底部。各个环206亦包括由第三外半径404所界定的间隙208。第一内半径405与第二内半径406的差别造成一肩部410界定在环206的内部。上方关于环206的半径亦可改变以形成环121、206的不同实施例。
环121、206可以由硬质材料形成,其可耐受超过1000℃的高温,并具有低热膨胀系数。此材料可以为硬质材料,例如碳化硅、硅石墨、蓝宝石、石英、陶瓷材料或其它硬质材料。
将图4B的实施例做一延伸,各个环包括第一外直径及第二外直径,且在两者之间包括一第三外直径,其中第三直径为间隙208。各个环亦包括第一内直径及第二内直径,以形成一肩部410。如下将会详细描述者,一环的第一外直径适以与另一环的第一内直径压接或滑接。
环121、206适以安装在一起以形成下方歧管114,其中一环的延伸顶部408与另一环的肩部410邻接。在一实施例中,一环的第一外半径403(由中央线411量测)些微小于另一环的第一内半径405,其中构成环的直径允许压接。第一内直径与第二内直径的差别,以及环的表面抛光允许材料与材料之间的密封在相邻环之间形成实质气密密封。
当环121、206接续地安装在一起,一环的第二外半径407以及相邻环的第二内半径406形成一环状沟槽501(图5)。环状沟槽501的宽度通常介于约0.010密尔(mil)~约0.060密尔之间,例如约0.030密尔。环状沟槽501与间隙208为流体连通,而间隙208通过孔洞207与上方歧管113为连通。
图5显示盖组件105的剖面视图的细节。环121、206的形状形成以包括环状间隙122、208,如下将描述。环状间隙122、208与环状沟槽501为流体连通,而环状沟槽501与处理区域106为流体连通。在此实施例中,下方歧管114与盖板115耦接,且盖板115包括额外的水导管505以及一包围环506。盖组件105利用O型圈507而在所示出的位置中在其周围及多个内部部分形成密封。
在一实施例中,环状沟槽501的尾端位于环状喷嘴502。在部分实施例中,环状喷嘴502的几何形状经设计以在处理区域106中形成特定的气体分布模式。此分布模式的剖面实质为三角形或梯形,并产生分隔区503及混合区504,其中来自流体源F1、F2的区别气体G1、G2在未到达混合区504之前不会产生混合。这增进对于在处理区域106中的反应物种的控制,而可消除或减少在除了衬底104以外的表面上的不期望的沉积。喷嘴502的侧壁可以呈约15度~约90度的角度,例如约50度~约70度,如:60度。在一实施例中,喷嘴502的表面可以经过修饰以改变分布模式的流动特性及/或几何形状,并增进流动性质。在一实施方案中,表面可经粗糙化而促进更多的层流(laminar flow)。在另一实施方案中,表面可经平滑化(而非粗糙化)以提供更快、更多的气体紊流(turbulent flow)。举例来说,喷嘴502可包括一经珠击(bead blasted)、冰击或砂击的表面。
在操作中,来自流体源F1的气体通过与入口116耦接的阀107A而进入通道117。来自流体源F1的气体接着通过通道117而进入开口220A,以导引进入上方歧管113。来自流体源F2的气体通过与入口200耦接的阀107B而进入通道202。来自流体源F2的气体接着通过通道202而进入开口220B,以导引进入上方歧管113。且当来自流体源F1及F2的气体到达上方歧管113时,气体仍然在两个分隔流动路径保持分离。来自流体源F1及F2的气体分别通过上方气体通道119、204而进入上方歧管113。孔洞205A、205B与下方歧管114为流体连通,以允许来自流体源F1及F2的气体分别流入形成在下方歧管114中的孔洞123、207(207在此视图中并未示出)。孔洞123、207(图中未示)分别与环状间隙122、208为流体连通,且环状间隙122、208与环状沟槽501为流体连通。来自流体源F1及F2的气体流经环状沟槽501并通过环状喷嘴502而输送至处理区域106。藉此,来自流体源F1及F2的气体在未到达混合区504之前不会进行混合。
本发明所述的实施例使得两种不同气体传送至处理区域时,在尚未正好位于衬底表面上方时,这些气体不会混合。本发明所提供的热控制实施方案亦使得提供至处理区域的各种气体的温度控制变为可能。这提供对于处理室中的工艺(例如沉积、蚀刻工艺等)更佳的控制。举例来说,可控制气体的混合,藉此,则可增进处理区域中的反应。亦可使处理室部件上的不期望的沉积及微粒的产生最小化。因此,藉由减少微粒并使得处理室清洁的停机时间最小化可使产量增加。
本发明虽以较佳实施例说明如上,然其并非用以限定本发明,任何熟知本领域的技术人员,在不脱离本发明的精神和范围内所作的更动与润饰,仍应属本发明的技术范畴。

Claims (20)

1.一种用于一处理室的盖组件,包括:
一上方歧管,具有界定且穿设于其中的流体分离的一第一流动路径及一第二流动路径;以及
一下方歧管,具有一顶侧及一底侧,该顶侧耦接至该上方歧管,该底侧具有流体耦接至该第一流动路径的多个第一出口,以及流体耦接至该第二流动路径的多个第二出口,其中,该下方歧管包括多个同心环,该同心环具有一内表面,且该内表面与一邻接环的一外表面密接,而适以在其之间形成一材料与材料之间的密封。
2.根据权利要求1所述的盖组件,其中该上方歧管具有形成于其中的多个圆形通道,其中该多个圆形通道的一第一部分与形成在该多个同心环之间的各个间隙流体连通。
3.根据权利要求1所述的盖组件,其中该上方歧管具有多个流体通道。
4.根据权利要求1所述的盖组件,其更包括:
一盖板,具有多个形成于其中的开口,用以将两种或更多种气体流体耦接至该多个同心环。
5.根据权利要求4所述的盖组件,其中该盖板铜焊至该上方歧管。
6.根据权利要求1所述的盖组件,其中该多个出口包括环状通道。
7.根据权利要求1所述的盖组件,其中该多个出口具有呈一角度设置的边缘。
8.一种用于一处理室的盖组件,包括:
一上方歧管,具有部分地形成于其中的多个流体分离的通道;以及
一下方歧管,耦接至该上方歧管,其中该多个流体分离的通道的一第一部分包括与该下方歧管为流体连通的一第一气体通道及一第二气体通道,该多个流体分离的通道的一第二部分包括多个热控制通道。
9.根据权利要求8所述的盖组件,其中该上方歧管耦接至一盖板,该盖板具有形成于其中的多个径向通道,且该多个径向通道与该多个流体分离的通道的该第一部分为连通。
10.根据权利要求8所述的盖组件,其中该第一气体通道及该第二气体通道的各个藉由该多个热控制通道其中之一而分隔开。
11.根据权利要求8所述的盖组件,其中该下方歧管更具有多个第一环状沟槽以及多个第二环状沟槽,该多个第一环状沟槽与该第一气体通道为流体连通,该多个第二环状沟槽与该第二气体通道为流体连通,其中该多个第一环状沟槽与该多个第二环状沟槽彼此为流体分离。
12.根据权利要求11所述的盖组件,其中该多个第一环状沟槽与该多个第二环状沟槽的各个包括一喷嘴,该喷嘴呈一角度以导引一气流至邻近该下方歧管的一下表面的一处理区域中。
13.根据权利要求8所述的盖组件,其中该第一气体通道及该第二气体通道的各个具有多个开口,该开口均匀地间隔设置在该通道中,且该开口流体耦接至该下方歧管。
14.根据权利要求8所述的盖组件,其中该第一气体通道及该第二气体通道的各个具有多个开口,该开口以90度间隔设置在该通道中,且该开口流体耦接至该下方歧管。
15.一种用于将一处理流体传送至一处理室的设备,包括:
一歧管组件,具有一顶侧及一底侧,该顶侧具有部分地形成于其上的流体分离的多个圆形通道,该底侧具有形成于其中的多个环状出口;以及
一盖板,具有一顶部、一底部及一边缘,其中该盖板的该底部耦接至该歧管组件的该顶侧,且该盖板具有穿设于其中的至少两个气体通道以及多个热控制流体通道,该至少两个气体通道及该多个热控制流体通道与该多个圆形通道为流体连通。
16.根据权利要求15所述的设备,其中该至少两个气体通道为径向定位。
17.根据权利要求15所述的设备,其中该至少两个气体通道的各个具有多个开口,该开口与流体分离的该多个圆形通道的一第一部分为流体连通。
18.根据权利要求15所述的设备,其中该多个热控制流体通道与流体分离的该多个圆形通道的一第二部分其中之一为流体连通。
19.根据权利要求15所述的设备,其中该盖板具有多个孔洞,该孔洞用以附接气体及热控制流体管路。
20.根据权利要求15所述的设备,其中该多个热控制流体通道的一第一部分形成于该盖板的该顶部中,该多个热控制流体通道的一第二部分形成在该盖板的该边缘中。
CN2007800416212A 2006-10-26 2007-10-10 温控多气体分配组件 Active CN101536161B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US11/553,340 US20080099147A1 (en) 2006-10-26 2006-10-26 Temperature controlled multi-gas distribution assembly
US11/553,340 2006-10-26
PCT/US2007/080966 WO2008051717A1 (en) 2006-10-26 2007-10-10 Temperature controlled multi-gas distribution assembly

Publications (2)

Publication Number Publication Date
CN101536161A true CN101536161A (zh) 2009-09-16
CN101536161B CN101536161B (zh) 2011-04-27

Family

ID=39324919

Family Applications (1)

Application Number Title Priority Date Filing Date
CN2007800416212A Active CN101536161B (zh) 2006-10-26 2007-10-10 温控多气体分配组件

Country Status (7)

Country Link
US (1) US20080099147A1 (zh)
EP (1) EP2084735B1 (zh)
JP (1) JP2010508660A (zh)
KR (1) KR20090080533A (zh)
CN (1) CN101536161B (zh)
TW (1) TWI391997B (zh)
WO (1) WO2008051717A1 (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN107366014A (zh) * 2016-04-19 2017-11-21 纽富来科技股份有限公司 喷头、气相生长装置以及气相生长方法

Families Citing this family (398)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8702866B2 (en) 2006-12-18 2014-04-22 Lam Research Corporation Showerhead electrode assembly with gas flow modification for extended electrode life
CN102174693B (zh) * 2007-01-12 2014-10-29 威科仪器有限公司 气体处理系统
US8075728B2 (en) * 2008-02-28 2011-12-13 Applied Materials, Inc. Gas flow equalizer plate suitable for use in a substrate process chamber
EP3471130A1 (en) 2008-12-04 2019-04-17 Veeco Instruments Inc. Chemical vapor deposition flow inlet elements and methods
WO2010101369A2 (ko) * 2009-03-03 2010-09-10 주성엔지니어링㈜ 가스 분배 장치 및 이를 구비하는 기판 처리 장치
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
JP5777615B2 (ja) * 2009-07-15 2015-09-09 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated Cvdチャンバの流れ制御機構
WO2011017222A2 (en) * 2009-08-04 2011-02-10 Applied Materials, Inc. Method and apparatus for dry cleaning a cooled showerhead
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
KR100996210B1 (ko) * 2010-04-12 2010-11-24 세메스 주식회사 가스 분사 유닛 및 이를 이용한 박막 증착 장치 및 방법
US20110256692A1 (en) * 2010-04-14 2011-10-20 Applied Materials, Inc. Multiple precursor concentric delivery showerhead
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US8771536B2 (en) 2011-08-01 2014-07-08 Applied Materials, Inc. Dry-etch for silicon-and-carbon-containing films
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
US9109754B2 (en) 2011-10-19 2015-08-18 Applied Materials, Inc. Apparatus and method for providing uniform flow of gas
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
JP6157061B2 (ja) * 2012-05-11 2017-07-05 東京エレクトロン株式会社 ガス供給装置及び基板処理装置
CN103388132B (zh) * 2012-05-11 2015-11-25 中微半导体设备(上海)有限公司 气体喷淋头、其制造方法及薄膜生长反应器
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) * 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
WO2014191623A1 (en) * 2013-05-29 2014-12-04 Beneq Oy Substrate holder, arrangement and substrate carrier for supporting substrates
WO2014191624A1 (en) * 2013-05-29 2014-12-04 Beneq Oy Substrate holder and arrangement for holding substrates
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US8956980B1 (en) 2013-09-16 2015-02-17 Applied Materials, Inc. Selective etch of silicon nitride
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9117855B2 (en) 2013-12-04 2015-08-25 Applied Materials, Inc. Polarity control for remote plasma
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9263278B2 (en) 2013-12-17 2016-02-16 Applied Materials, Inc. Dopant etch selectivity control
US9190293B2 (en) 2013-12-18 2015-11-17 Applied Materials, Inc. Even tungsten etch for high aspect ratio trenches
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US10683571B2 (en) * 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9136273B1 (en) 2014-03-21 2015-09-15 Applied Materials, Inc. Flash gate air gap
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9847289B2 (en) 2014-05-30 2017-12-19 Applied Materials, Inc. Protective via cap for improved interconnect performance
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9159606B1 (en) 2014-07-31 2015-10-13 Applied Materials, Inc. Metal air gap
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9478434B2 (en) 2014-09-24 2016-10-25 Applied Materials, Inc. Chlorine-based hardmask removal
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) * 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
CN106676499B (zh) * 2015-11-06 2020-07-03 中微半导体设备(上海)股份有限公司 一种mocvd气体喷淋头预处理方法
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10256075B2 (en) * 2016-01-22 2019-04-09 Applied Materials, Inc. Gas splitting by time average injection into different zones by fast gas valves
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) * 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
DE102017200588A1 (de) * 2017-01-16 2018-07-19 Ers Electronic Gmbh Vorrichtung zum Temperieren eines Substrats und entsprechendes Herstellungsverfahren
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR20180111305A (ko) * 2017-03-31 2018-10-11 에스케이하이닉스 주식회사 다층 배선 구조를 가지는 반도체 소자 및 이의 제조 방법
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
CN107564792B (zh) * 2017-08-17 2019-12-13 沈阳拓荆科技有限公司 一种用于等离子体处理设备的rf讯号传递装置
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
JP7214724B2 (ja) 2017-11-27 2023-01-30 エーエスエム アイピー ホールディング ビー.ブイ. バッチ炉で利用されるウェハカセットを収納するための収納装置
TWI791689B (zh) 2017-11-27 2023-02-11 荷蘭商Asm智慧財產控股私人有限公司 包括潔淨迷你環境之裝置
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
JP7124098B2 (ja) 2018-02-14 2022-08-23 エーエスエム・アイピー・ホールディング・ベー・フェー 周期的堆積プロセスにより基材上にルテニウム含有膜を堆積させる方法
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
JP2019145589A (ja) * 2018-02-16 2019-08-29 東芝メモリ株式会社 半導体装置の製造方法
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
TWI766433B (zh) 2018-02-28 2022-06-01 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
JP7012563B2 (ja) * 2018-03-05 2022-01-28 東京エレクトロン株式会社 成膜方法および成膜装置
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US10790466B2 (en) * 2018-12-11 2020-09-29 Feng-wen Yen In-line system for mass production of organic optoelectronic device and manufacturing method using the same system
CN109600898B (zh) * 2018-12-13 2020-04-17 大连理工大学 一种喷淋式电极及放电系统
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD949319S1 (en) * 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
CN113555279A (zh) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 形成含氮化钒的层的方法及包含其的结构
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
US11932941B1 (en) * 2021-12-29 2024-03-19 Rolls-Royce High Temperature Composites, Inc. Load assemblies for loading parts in a furnace

Family Cites Families (32)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4437831A (en) * 1980-10-27 1984-03-20 Aero Environmental Limited Burner head
US4612077A (en) * 1985-07-29 1986-09-16 The Perkin-Elmer Corporation Electrode for plasma etching system
US5000113A (en) * 1986-12-19 1991-03-19 Applied Materials, Inc. Thermal CVD/PECVD reactor and use for thermal chemical vapor deposition of silicon dioxide and in-situ multi-step planarized process
US6379466B1 (en) * 1992-01-17 2002-04-30 Applied Materials, Inc. Temperature controlled gas distribution plate
US5453124A (en) * 1992-12-30 1995-09-26 Texas Instruments Incorporated Programmable multizone gas injector for single-wafer semiconductor processing equipment
US5628829A (en) * 1994-06-03 1997-05-13 Materials Research Corporation Method and apparatus for low temperature deposition of CVD and PECVD films
US5665640A (en) * 1994-06-03 1997-09-09 Sony Corporation Method for producing titanium-containing thin films by low temperature plasma-enhanced chemical vapor deposition using a rotating susceptor reactor
JP3360098B2 (ja) * 1995-04-20 2002-12-24 東京エレクトロン株式会社 処理装置のシャワーヘッド構造
US6108189A (en) * 1996-04-26 2000-08-22 Applied Materials, Inc. Electrostatic chuck having improved gas conduits
US5976261A (en) * 1996-07-11 1999-11-02 Cvc Products, Inc. Multi-zone gas injection apparatus and method for microelectronics manufacturing equipment
US5950925A (en) * 1996-10-11 1999-09-14 Ebara Corporation Reactant gas ejector head
US6286451B1 (en) * 1997-05-29 2001-09-11 Applied Materials, Inc. Dome: shape and temperature controlled surfaces
US6302964B1 (en) * 1998-06-16 2001-10-16 Applied Materials, Inc. One-piece dual gas faceplate for a showerhead in a semiconductor wafer processing system
US6086677A (en) * 1998-06-16 2000-07-11 Applied Materials, Inc. Dual gas faceplate for a showerhead in a semiconductor wafer processing system
US6148761A (en) * 1998-06-16 2000-11-21 Applied Materials, Inc. Dual channel gas distribution plate
US6245192B1 (en) * 1999-06-30 2001-06-12 Lam Research Corporation Gas distribution apparatus for semiconductor processing
US6548112B1 (en) * 1999-11-18 2003-04-15 Tokyo Electron Limited Apparatus and method for delivery of precursor vapor from low vapor pressure liquid sources to a CVD chamber
US6444039B1 (en) * 2000-03-07 2002-09-03 Simplus Systems Corporation Three-dimensional showerhead apparatus
JP2003529926A (ja) * 2000-03-30 2003-10-07 東京エレクトロン株式会社 プラズマ処理システム内への調整可能なガス注入のための方法及び装置
JP3578398B2 (ja) * 2000-06-22 2004-10-20 古河スカイ株式会社 成膜用ガス分散プレート及びその製造方法
US6299692B1 (en) * 2000-07-21 2001-10-09 Applied Materials, Inc. Head for vaporizing and flowing various precursor materials onto semiconductor wafers during chemical vapor deposition
US6302965B1 (en) * 2000-08-15 2001-10-16 Applied Materials, Inc. Dispersion plate for flowing vaporizes compounds used in chemical vapor deposition of films onto semiconductor surfaces
KR100434487B1 (ko) * 2001-01-17 2004-06-05 삼성전자주식회사 샤워 헤드 및 이를 포함하는 박막 형성 장비
US6367687B1 (en) * 2001-04-17 2002-04-09 General Electric Company Method for preparing a plate rim for brazing
JP4236882B2 (ja) * 2001-08-01 2009-03-11 東京エレクトロン株式会社 ガス処理装置およびガス処理方法
US20030124842A1 (en) * 2001-12-27 2003-07-03 Applied Materials, Inc. Dual-gas delivery system for chemical vapor deposition processes
US20040060514A1 (en) * 2002-01-25 2004-04-01 Applied Materials, Inc. A Delaware Corporation Gas distribution showerhead
US7018940B2 (en) * 2002-12-30 2006-03-28 Genus, Inc. Method and apparatus for providing uniform gas delivery to substrates in CVD and PECVD processes
CN100466162C (zh) * 2003-12-15 2009-03-04 应用材料有限公司 用于改进cvd膜性能的边流面板
KR100550342B1 (ko) * 2004-02-24 2006-02-08 삼성전자주식회사 가스 산포 방법, 및 샤워 헤드, 및 샤워 헤드를 구비하는반도체 기판 가공 장치
US7067422B2 (en) * 2004-03-31 2006-06-27 Tokyo Electron Limited Method of forming a tantalum-containing gate electrode structure
US20060021703A1 (en) * 2004-07-29 2006-02-02 Applied Materials, Inc. Dual gas faceplate for a showerhead in a semiconductor wafer processing system

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN107366014A (zh) * 2016-04-19 2017-11-21 纽富来科技股份有限公司 喷头、气相生长装置以及气相生长方法
CN107366014B (zh) * 2016-04-19 2022-05-27 纽富来科技股份有限公司 喷头、气相生长装置以及气相生长方法

Also Published As

Publication number Publication date
CN101536161B (zh) 2011-04-27
KR20090080533A (ko) 2009-07-24
US20080099147A1 (en) 2008-05-01
EP2084735A4 (en) 2012-10-31
TW200828419A (en) 2008-07-01
EP2084735B1 (en) 2014-06-18
WO2008051717A1 (en) 2008-05-02
TWI391997B (zh) 2013-04-01
JP2010508660A (ja) 2010-03-18
EP2084735A1 (en) 2009-08-05

Similar Documents

Publication Publication Date Title
CN101536161B (zh) 温控多气体分配组件
CN101437981B (zh) 用于消除来自化学蒸汽刻蚀腔的副产品沉积的原位腔清洁工艺
CN112251734B (zh) 衬底基座
CN104838476B (zh) 用于提供等离子体至处理腔室的装置
US6645884B1 (en) Method of forming a silicon nitride layer on a substrate
US6544340B2 (en) Heater with detachable ceramic top plate
KR102045583B1 (ko) 반도체 반응 챔버 샤워헤드
CN101065513B (zh) 用于改良瞬时相沉积的气体分配系统
US6586343B1 (en) Method and apparatus for directing constituents through a processing chamber
US7175713B2 (en) Apparatus for cyclical deposition of thin films
US20120097330A1 (en) Dual delivery chamber design
US20150004798A1 (en) Chemical deposition chamber having gas seal
KR20140100435A (ko) 프로세싱 챔버 내에서의 퍼징 및 플라스마 억제를 위한 방법 및 장치
TW201619430A (zh) 具有熱蓋的原子層沉積腔室
CN106688078A (zh) 用于电介质膜的基于自由基的沉积的装置
KR20030042614A (ko) Cvd 장치의 멀티섹터 평판형 샤워헤드
CN102160155A (zh) 适合蚀刻高深宽比特征结构的蚀刻反应器
JP2019537837A5 (zh)
KR20200041778A (ko) 매니폴드를 갖는 기판 처리 장치
US11732358B2 (en) High temperature chemical vapor deposition lid
CN106356285A (zh) 经化学品受控分离和输送实现低缺陷处理的系统和方法
EP1167572A2 (en) Lid assembly for a semiconductor processing chamber

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
C56 Change in the name or address of the patentee
CP01 Change in the name or title of a patent holder

Address after: American California

Patentee after: Applied Materials Inc.

Address before: American California

Patentee before: Applied Materials Inc.