CN101631897A - 用于蚀刻半导体结构的具有脉冲样品偏压的脉冲等离子体系统 - Google Patents

用于蚀刻半导体结构的具有脉冲样品偏压的脉冲等离子体系统 Download PDF

Info

Publication number
CN101631897A
CN101631897A CN200880008115A CN200880008115A CN101631897A CN 101631897 A CN101631897 A CN 101631897A CN 200880008115 A CN200880008115 A CN 200880008115A CN 200880008115 A CN200880008115 A CN 200880008115A CN 101631897 A CN101631897 A CN 101631897A
Authority
CN
China
Prior art keywords
sample
etch
bias
pulsed
plasma body
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN200880008115A
Other languages
English (en)
Other versions
CN101631897B (zh
Inventor
金泰元
李庆泰
亚历山大·帕特森
瓦伦丁·N·托多罗夫
沙尚克·C·德斯穆克
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of CN101631897A publication Critical patent/CN101631897A/zh
Application granted granted Critical
Publication of CN101631897B publication Critical patent/CN101631897B/zh
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23FNON-MECHANICAL REMOVAL OF METALLIC MATERIAL FROM SURFACE; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL; MULTI-STEP PROCESSES FOR SURFACE TREATMENT OF METALLIC MATERIAL INVOLVING AT LEAST ONE PROCESS PROVIDED FOR IN CLASS C23 AND AT LEAST ONE PROCESS COVERED BY SUBCLASS C21D OR C22F OR CLASS C25
    • C23F4/00Processes for removing metallic material from surfaces, not provided for in group C23F1/00 or C23F3/00
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • H01L21/32137Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas of silicon-containing layers

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Manufacturing & Machinery (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Plasma & Fusion (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Computer Hardware Design (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Analytical Chemistry (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

本发明描述一种用于蚀刻半导体结构的具有脉冲样品偏压的脉冲等离子体系统。在一实施例中,利用一脉冲等离子体处理而移除一部分的样品,其中该脉冲等离子体处理包括多个工作周期。在各个工作周期的开启状态过程中,施加负偏压至样品,而在各个工作周期的关闭状态过程中,施加零偏压至样品。在另一实施例中,通过应用连续等离子体处理以移除样品的第一部分,接着连续等离子体处理停止,并通过应用一脉冲等离子体处理以移除样品的第二部分。

Description

用于蚀刻半导体结构的具有脉冲样品偏压的脉冲等离子体系统
技术领域
本发明涉及半导体结构及半导体设备。
背景技术
过去几年来,通过将半导体结构的特征结构(feature)经微缩化(scaling)为极小的尺寸而大幅增进集成电路(ICs)(例如:用于计算的逻辑电路,及用于信息储存的存储器电路)的效能及性能。然而,用于制造集成电路微缩化的设备及处理几乎都存在有问题。半导体处理技术以及用于进行此种处理的设备的持续进步已确保半导体产业对于微缩化的持续追求的幸存。
为了使半导体堆叠图案化成有意义的结构,通常是使用光刻/蚀刻处理。目前的蚀刻处理包括:以一包括离子化气体(例如:等离子体)的系统来蚀刻半导体堆叠。等离子体蚀刻处理对于具有细微特征结构的多个相邻结构的蚀刻是特别有用的。然而,随着对特征结构尺寸及间隔的更严苛的要求,等离子体蚀刻处理自身的限制也显现出。
等离子体蚀刻的一可能限制是有关于在单一样品中的多个半导体结构之间存在有多种间隔的IC的制造。举例来说,蚀刻速率根据图案密度而定,这现象称为「微负载(micro-loading)」。在非常小的尺寸中,特别是在高深宽比(aspect ratio)体系中,经图案化而具有高密度(即,特征结构之间的间隔较小)的材料的蚀刻速率会较经图案化而具有低密度(即,特征结构之间的间隔较大)的相同材料的蚀刻速率还来的低。因此,可能需要「过蚀刻(over-etch)」才能完全将单一样品中的各种结构蚀刻掉,也就是说,当未完全蚀刻的区域继续进行蚀刻处理时,首先完成蚀刻的区域也会继续暴露于蚀刻处理。在此种实例中,过蚀刻对于最终的半导体结构具有不利的影响。
参照「第1图」,为特定半导体结构的蚀刻速率与单一样品(其中发生有微负载现象)中的各种半导体结构的密度(即,特征结构之间的间隔)两者之间的关联性的图表。如图所示,随着关联线的斜率降低,则蚀刻速率随着密度的增加而降低。参照「第2A图」,半导体堆叠200包括一基板202、一半导体层204及一掩模206。参照「第2B图」,利用等离子体蚀刻处理而将掩模206的图案蚀刻进入半导体层204。半导体堆叠200的蚀刻过程中会发生微负载现象,因此使得半导体层204在低密度区域208的蚀刻速率高于中密度区域210及高密度区域212,如「第2B图」所示。参照「第2C图」,半导体堆叠200上所进行的蚀刻处理在低密度区域208先完成,其早于中密度区域210及高密度区域212。因此,当较高密度区域蚀刻完成时,低密度区域208中的结构会暴露于过蚀刻。参照「第2D图」,在过蚀刻过程中,较低密度区域中的结构上可能会发生有害的底切214。如「第2D图」所示,底切214可随着密度而改变,其取决于特定区域所承受的过蚀刻程度。
因此,此处描述一种用于蚀刻半导体结构的方法,以及在其内部执行该方法的系统。
附图说明
第1图,绘示根据现有技术的蚀刻速率相对于结构密度的关联图式。
第2A~D图,绘示根据现有技术而在半导体堆叠上进行蚀刻处理的微负载效应的剖面视图。
第3图,绘示根据本发明的实施例的蚀刻速率相对于结构密度的关联图式。
第4A~C图,绘示根据本发明的实施例而在半导体堆叠上进行具有脉冲样品偏压的脉冲蚀刻处理的微负载效应大幅降低的剖面视图。
第5A图为流程图,第5B图为波形,两者皆表示根据本发明的实施例的具有脉冲样品偏压的脉冲蚀刻处理的一系列步骤。
第6A~F图,绘示根据本发明的实施例的第5A图的流程图的步骤执行在半导体堆叠上的剖面视图。
第7A~C图,绘示根据本发明的实施例的连续等离子体蚀刻处理/具有脉冲样品偏压的脉冲等离子体蚀刻处理执行在半导体堆叠上的剖面视图。
第8图,绘示根据本发明的实施例的具有脉冲样品偏压的脉冲等离子体蚀刻处理的一系列步骤的流程图。
第9A~D图,绘示根据本发明的实施例的第8图的流程图的步骤执行在半导体堆叠上的剖面视图。
第10图,绘示根据本发明的实施例而在其中进行具有脉冲样品偏压的脉冲等离子体蚀刻处理的一系统。
第11A~B图,绘示根据本发明的实施例的第10图的系统的腔室分别处于等离子体开启状态及等离子体关闭状态。
第12A~D图,绘示根据本发明的实施例的第10图的系统的腔室分别处于等离子体开启/偏压关闭状态、等离子体开启/偏压开启状态、等离子体关闭/偏压开启状态及等离子体关闭/偏压关闭状态。
具体实施方式
此处描述一种用于蚀刻半导体基板的方法及系统。在下方说明中,提出数种特定细节(例如特定的尺寸及化学配置)以对本发明提供贯穿了解。明显的是,对于熟悉该技术领域的人士来说,本发明在无该些特定细节下也可实行。在其它实例中,并未详细描述已知的处理步骤,例如图案化步骤及湿式化学清洗,以避免对本发明产生不必要的混淆。再者,绘示在图式中的多种实施例仅为概要表示,而并无按比例绘制。
此处所公开的是用于蚀刻半导体结构的脉冲等离子体方法及其相应系统。通过采用脉冲等离子体处理而可将样品的一部分移除,其中脉冲等离子体处理包括多个工作周期(duty cycle)。根据本发明的实施例,在各个工作周期的开启(ON)状态下,施加负偏压至基板,而在各个工作周期的关闭(OFF)状态下,则施加零偏压至基板。在一特定实施例中,通过应用连续等离子体处理而将样品的第一部分移除,接着,连续等离子体处理结束,再通过具有脉冲样品偏压的脉冲等离子体处理而移除样品的第二部分。
通过在蚀刻处理过程中重复脉冲该等离子体,则可以缓和蚀刻速率取决于结构密度的程度。在等离子体的开启状态过程中(即,当等离子体为离子化气体的形式时),且因此在等离子体蚀刻处理中的半导体材料的初级蚀刻阶段中,形成蚀刻副产物。随着在较高密度区域中进行蚀刻处理,这些副产物以较慢的速率离开样品(相对于在样品的较低密度区域)。因此,在持续的开启状态下,蚀刻副产物会阻碍蚀刻处理而朝向微负载发展。然而,在关闭状态下,这些副产物会自所有区域移除而不竞争进行蚀刻处理。进行多个工作周期(即,开启/关闭状态的周期)以在整个样品上用实质相同的蚀刻速率来蚀刻半导体材料,而不用顾及结构密度。「第3图」绘示根据本发明的实施例而在脉冲等离子体蚀刻处理过程中的蚀刻速率与结构密度之间的关联图式。如图所示为关联线的可忽略的斜率,随着密度的增加,蚀刻速率实质相同。以此方式所蚀刻的半导体材料可承受过蚀刻的较少伤害,这是因为样品的所有部分的蚀刻处理是在实质相同的时间下完成。
在脉冲等离子体蚀刻处理的工作周期的开启状态下,正电荷会传给待蚀刻的样品。在部分实例中,样品的正电荷是实质足够以使得由等离子体射出的带正电蚀刻物质被部分地偏向。此种蚀刻物质的偏向会造成蚀刻入特定样品中的特征结构呈现有害的底切现象。通过在蚀刻处理过程中,以负电荷来对样品产生偏压,则可以缓和带正电的粒子的偏向。另一方面,在脉冲等离子体蚀刻处理的工作周期由开启状态切换至关闭状态的过渡时期,若样品为负偏压,则可以抑制带负电的粒子自等离子体释出。通过在工作周期的关闭状态对样品产生负偏压,且因此不对等离子体放电时释出的带负电粒子产生排斥,则可达到等离子体放电的较短时间。另外,带负电物质会促成蚀刻处理,因而增进蚀刻处理。因此,根据本发明的实施例,脉冲样品偏压处理与脉冲等离子体处理平行进行。也就是说,在脉冲等离子体蚀刻处理中,在工作周期的开启状态下,样品为负偏压,在关闭状态下,样品则为零偏压。
半导体堆叠的蚀刻可通过具有脉冲样品偏压的脉冲等离子体蚀刻处理来进行。「第4A~4C图」是绘示根据本发明的实施例而在半导体堆叠上进行具有脉冲样品偏压的脉冲蚀刻处理对于微负载现象的大幅降低的效应的剖面视图。
参照「第4A图」,半导体堆叠400包括一基板402、一蚀刻层404及一掩模406。掩模406被图案化而包括低密度区域408、中密度区域410以及高密度区域412。半导体堆叠400可包括较大复杂性的材料层及/或图案类型的堆叠,但图中所示仅作为说明的目的。
基板402可以包括任何可耐受制作过程且半导体层可适当地设置在其上的材料。在一实施例中,基板402包括以第IV元素为基础的材料,例如结晶硅、锗或硅/锗。在一实施例中,基板402中的硅原子的原子浓度大于99%。在另一实施例中,基板402包括第III-V族的材料,例如但不限于为氮化镓、磷化镓、砷化镓、磷化铟、锑化铟、砷化铟镓、砷化铝镓、磷化铟镓或其混合物。在一选择性实施例中,基板402包括在一清楚结晶状基板上生长出一外延层,例如:在硼掺杂块体硅单结晶基板上生长出硅外延层。基板402也可包括一位于块体结晶基板与外延层之间的绝缘层,例如形成一绝缘层上覆硅(SOI)基板。在一实施例中,绝缘层包括一材料,该材料选自由二氧化硅、氮化硅、氮氧化硅及高介电常数(k)的介电层所组成的群组。在另一实施例中,基板402包括一直接邻近蚀刻层404所设置的上绝缘层。
基板402也可额外包括载流子掺杂杂质原子(charge-carrier dopant impurityatom)。举例来说,根据本发明的一实施例,基板402包括硅及/或锗,且载流子掺杂杂质原子选自由硼、砷、铟、锑或磷所组成的群组。在另一实施例中,基板402包括第III-V族材料,且载流子掺杂杂质原子选自由碳、硅、锗、氧、硫、硒或碲所组成的群组。
蚀刻层404包括任何可适当地图案化成为清楚界定的半导体结构的阵列的材料。根据本发明的一实施例,蚀刻层404可以包括以第IV族为基础的材料,或是第III-V族材料,例如上方所讨论与基板402相关的材料。另外,蚀刻层404包括任何可适当地图案化成为清楚界定的半导体结构的阵列的形态结构。在一实施例中,蚀刻层404的形态结构选自由非晶、单晶及多晶所组成的群组。在一实施例中,蚀刻层404包括载流子掺杂杂质原子,例如上方所讨论与基板402相关的材料。
就其本身而言,蚀刻层404的组成不需限制为半导体材料。根据本发明的一选择性实施例,蚀刻层404包括金属层,例如但不限于为铜、铝、钨、金属氮化物、金属碳化物、金属硅化物、铪、锆、钛、钽、铝、钌、钯、铂、钴、镍或导电金属氧化物,例如氧化钌。在本发明的又一实施例中,蚀刻层404包括一绝缘层。在一实施例中,蚀刻层404包括选自由二氧化硅、氮氧化硅及氮化硅所组成的群组的绝缘材料。在另一实施例中,蚀刻层404包括高k介电层,其选自由氧化铪、硅酸铪、氧化镧、氧化锆、硅酸锆、氧化钽、钛酸钡锶、钛酸钡、钛酸锶、氧化钇、氧化铝、氧化铅钪钽及铌酸铅锌所组成的群组。
掩模406可包括任何适于通过光刻处理或直写(direct-write)处理而图案化的材料。在一实施例中,掩模406包括光刻胶材料。在一特定实施例中,光刻胶材料是用于光刻处理,并选自由正型光刻胶及负型光刻胶所组成的群组。掩模406可更包括一适于阻挡等离子体蚀刻处理(例如用于图案化蚀刻层404的等离子体蚀刻处理)的材料。因此,根据本发明的另一实施例,掩模406也包括一硬质掩模层,该硬质掩模层选自由二氧化硅、氮氧化硅、氮化硅及金属薄膜所组成的群组。
参照「第4B图」,掩模406的图案通过具有脉冲样品偏压的脉冲等离子体蚀刻处理而蚀刻入蚀刻层404中,以形成部分图案化的蚀刻层414。在适当条件下,当使用具有脉冲样品偏压的脉冲等离子体处理时,根据本发明的一实施例,所有密度区域408、410、412的蚀刻速率实质相近,如「第4B图」所示。具有脉冲样品偏压的脉冲等离子体处理包含多个工作周期,其中各个工作周期代表蚀刻等离子体的一开启状态及一关闭状态的组合。在工作周期的开启状态下,对样品施加负偏压,在工作周期的关闭状态下,对样品施加零偏压。一个工作周期包括一个开启状态及一个关闭状态,其中开启状态及关闭状态的持续时间适于针对密度区域408、410、412以实质相近的蚀刻速率而将掩模406的图案转移至蚀刻层404。根据本发明的一实施例,各个工作周期的开启状态部分为工作周期的5~95%,在一特定实施例中,各个工作周期的开启状态部分为工作周期的65~75%。在另一实施例中,多个工作周期的频率为介于1Hz~200kHz,即各个工作周期的持续时间为5微秒~1秒。在一特定实施例中,多个工作周期的频率为50kHz,且各个工作周期的开启状态部分为70%。在工作周期的开启状态过程中施加至半导体堆叠400的负偏压应足以缓和由等离子体释出的带正电蚀刻物质的偏向。根据本发明的一实施例,在工作周期的开启状态过程中施加至半导体堆叠400的负偏压介于5~1000瓦(Watts)。在一特定实施例中,在工作周期的开启状态过程中施加至半导体堆叠400的负偏压介于100~200瓦。
可产生一用于具有脉冲样品偏压的脉冲等离子体处理中以蚀刻一蚀刻层404的等离子体的方法包括任何适于在足以符合工作周期的开启状态的持续时间以点燃并维持等离子体的方法。举例来说,根据本发明的一实施例,产生等离子体的方法包括产生一等离子体,该等离子体选电子回旋共振(ECS)等离子体、螺旋波等离子体、感应耦合等离子体(ICP)及表面波等离子体所组成的群组。在一特定实施例中,用于产生等离子体的方法包括在AppliedMaterialTM AdvantEdge G3etcher中产生一感应耦合等离子体。
所产生的用于具有脉冲样品偏压的脉冲等离子体蚀刻处理的等离子体包括任何反应气体,该些反应气体适于产生离子及反应性自由基以移除部分的蚀刻层404而不会对掩模406的图案造成不利影响。举例来说,根据本发明的一实施例,反应气体包括卤化物物质,用于蚀刻硅系(silicon-based)材料。在一特定实施例中,反应气体包括近似比例为300∶50∶12的HBr、He物质及70%/30%He/O2混合物,且脉冲等离子体用于蚀刻非晶硅、多晶硅或单晶硅。在另一实施例中,反应气体包括氟碳化合物,并用于蚀刻介电层。在一特定实施例中,反应气体包括物质CF4,且脉冲等离子体用于蚀刻二氧化硅或碳掺杂氧化硅。反应气体可包括一适于提供受控蚀刻速率的压力。在一实施例中,压力介于1~100毫托(mTorr)。在另一实施例中,压力介于3~100毫托。在一特定实施例中,反应气体包括HBr、He及O2,反应气体的压力介于30~50毫托,多晶硅的蚀刻速率则介于500~6000埃/分(Angstroms/minute)。
参照「第4C图」,上述的具有脉冲样品偏压的脉冲等离子体处理持续直到部分图案化的蚀刻层414变成图案化的蚀刻层424为止。通过使用上述具有脉冲样品偏压的脉冲等离子体蚀刻处理直到蚀刻层404的蚀刻完成,且在密度区域408、410、412的蚀刻处理在实质相同的时间完成。因此,仅需要微不足道的过蚀刻来形成图案化的蚀刻层424。因此,图案化的蚀刻层424的各种结构的不利底切现象会大幅缓和,如「第4C图」所示的底切现象的不存在。
具有脉冲样品偏压的脉冲等离子体蚀刻处理的工作周期中的开启状态及关闭状态的持续时间以相应于蚀刻副产物的形成及移除为目标。「第5A图」为流程图,「第5B图」为波形,这些图式皆代表根据本发明的一实施例的具有脉冲样品偏压的脉冲等离子体蚀刻处理的此种一系列目标步骤。「第6A~6D图」绘示在半导体堆叠上执行「第5A图」的流程图的步骤的剖面视图。
参照流程图500的步骤502,且相符于「第6A图」,在具有脉冲样品偏压的脉冲等离子体蚀刻处理的开始时,半导体堆叠600包括一基板602、一蚀刻层604及一掩模606。掩模606经图案化而具有低密度区域608、中密度区域610及高密度区域612。基板602、蚀刻层604及掩模606可以包括参照「第4A图」描述关于基板402、蚀刻层404及掩模406的任何材料。半导体堆叠600可包括较大复杂度的材料层及/或图案类型的堆叠,但此处所示的方式仅作为示例性。
参照流程图500的步骤504,且相符于「第6B图」,在具有脉冲样品偏压的脉冲等离子体蚀刻处理中的工作周期的开启状态过程中,掩模606的图案被部分蚀刻至蚀刻层604中,以形成部分图案化的蚀刻层614A。等离子体蚀刻物质620可接近蚀刻层604的未屏蔽部分,而由掩模606所遮蔽住的蚀刻层604的屏蔽区域则受到保护而免受等离子体蚀刻物质620作用,如「第6B图」所示。蚀刻副产物616则在半导体堆叠600的反应区域618中产生。
蚀刻物质620可包括任何带电物质及由用于脉冲等离子体蚀刻处理的等离子体所释出的反应性中性物。举例来说,根据本发明一实施例,蚀刻物质620包括带正电离子及自由基。在一实施例中,反应气体包括HBr、He及O2,且蚀刻物质620选自由H+、Br+、He+、O+、H、Br及O所组成的群组。在另一实施例中,反应气体包括氟碳化合物,且蚀刻物质620选自由F+、CF+及CF2 +,以及CF3 +、F、CF、CF2以及CF3所组成的群组。蚀刻副产物616可包括来自半导体层604及蚀刻物质620的原子的任意组合。在一特定实施例中,蚀刻物质616包括卤化物阳离子X+及/或卤化物自由基X(X=F、Cl、Br),半导体层604包括硅原子,蚀刻副产物616包括选自由中性物质SiXn所组成的群组的副产物,其中n为1、2、3或4。
工作周期的开启状态的持续时间经选择以使得蚀刻效率最大化,并能够使部分图案化的蚀刻层614A的所有密度区域608、610及612的蚀刻速率维持实质相近。如「第6B图」所示,蚀刻副产物616至少一段时间被形成并存在于部分图案化的蚀刻层614A的部分蚀刻特征结构中(即,反应区域618内)。反应区域618为半导体堆叠600中邻近蚀刻副产物616形成的区域,而蚀刻副产物616的形成会干涉等离子体蚀刻物质620。也就是说,在开启循环的使用期间,随着蚀刻副产物616在反应区域618中的增加,等离子体蚀刻物质620会被妨碍而无法接近部分图案化的蚀刻层614A的未屏蔽部分。此种等离子体蚀刻物质620的妨碍在高结构密度区域较为严重(相较于低结构密度区域),因而降低高结构密度区域的蚀刻速率(相较于低结构密度区域的蚀刻速率)。因此,根据本发明的一实施例,具有脉冲样品偏压的脉冲等离子体蚀刻处理的工作周期的开启状态经选择以小于或至多符合一时间,在该时间下产生足够量的蚀刻副产物以降低高密度区域的蚀刻速率(相对于低密度区域)。在一实施例中,开启状态的持续时间经选择以实质符合一时间,而在该时间下,部分图案化的蚀刻层604的蚀刻速率取决于掩模606的图案密度。在一实施例中,开启状态的持续时间足够短,以实质抑制反应区域618中的微负载现象。在一实施例中,开启状态的持续时间处于参照「第4B图」的工作周期的开启状态的时间范围内。在工作周期的开启状态下施加至半导体堆叠600的负偏压应足以缓和自等离子体释出的带正电蚀刻物质的偏向。根据本发明的一实施例,在工作周期的开启状态下施加至半导体堆叠600的负偏压介于5~1000瓦。在一特定实施例中,在工作周期的开启状态下施加至半导体堆叠600的负偏压介于100~200瓦。
参照流程图500的步骤506,且相符于「第6C图」,等离子体处于关闭状态,因此,蚀刻物质620不再存在于半导体堆叠600的反应区域618。如「第6C图」所示,蚀刻副产物616自反应区域618移除,且半导体堆叠600为零偏压。
工作周期的关闭状态的持续时间经选择以允许有足够的时间来将蚀刻副产物616自反应区域618移除(即,消除或排除)。在开启状态过程中,如上所述,蚀刻副产物616形成在反应区域618中。此外,在等离子体的开启状态转移至关闭状态的过渡时期,当等离子体气体中和时,其带负电的离子会自等离子体气体释出,因而产生新的蚀刻物质。这些新的蚀刻物质会更进一步促成反应区域618中的蚀刻副产物的量。
在工作周期的关闭状态初期,反应区域618内的蚀刻副产物616的浓度实质大于反应区域618外的蚀刻副产物616的浓度。因此,会形成一自然扩散梯度,则蚀刻副产物616会扩散至反应区域618外。此过程可以通过额外的压力梯度来增进。也就是说,伴随着在开启状态过程中的蚀刻副产物616的增长,反应区域618内的压力可变成大于反应区域618外的压力,因而促进蚀刻副产物616的排出。因此,根据本发明的实施例,具有脉冲样品偏压的脉冲等离子体蚀刻处理中的工作周期的关闭状态经选择而具有足够长的持续时间,以实质促使蚀刻副产物616自反应区域618移除。在另一实施例中,蚀刻副产物616的移除量为足够,因此,仍存在于反应区域618中的任何蚀刻副产物616不会实质干涉在接续工作周期的开启状态过程中的蚀刻物质。在此种实施例中,关闭状态的持续时间经选择以实质符合一时间,在该时间之时,超过50%的蚀刻副产物616已自反应区域618移除。在另一实施例中,关闭状态的持续时间经选择以实质符合一时间,在该时间之时,超过75%的蚀刻副产物616已自反应区域618移除。在一选择性实施例中,关闭状态的持续时间处于参照「第4B图」讨论的工作周期的关闭状态的时间范围。
参照流程图500的步骤508,且相符于「第6D-E图」,在接续的具有脉冲样品偏压的脉冲等离子体蚀刻处理的工作周期过程中,掩模606的图案持续蚀刻入蚀刻层604中,以形成更为广泛蚀刻的部分蚀刻的蚀刻层614B。重复工作周期(即,步骤508)直到已蚀刻期望量的蚀刻层604。因此,根据本发明的一实施例,一部分的蚀刻层604通过由包括多个工作周期的脉冲等离子体蚀刻处理而移除。在工作周期的开启状态过程中,对样品施加负偏压,而在工作周期的关闭状态过程中,则对样品施加零偏压。「第5B图」以波形绘示工作周期的时间线。
参照流程图500的步骤510,且相符于「第6F图」,在移除期望量的蚀刻层604之后,具有脉冲样品偏压的脉冲等离子体蚀刻处理结束。通过使用具有脉冲样品偏压的脉冲等离子体蚀刻处理以完成蚀刻层604的蚀刻,则密度区域608、610及612的蚀刻处理可在相同时间下完成。因此,仅需要可忽略量的过蚀刻以形成图案化的蚀刻层624。这样,可大幅缓和图案化的蚀刻层624的各种结构的不利底切现象,其可由「第6F图」的缺乏底切现象可见。可通过任一适当因素来决定何时结束具有脉冲样品偏压的脉冲等离子体蚀刻处理。举例来说,根据本发明的一实施例,通过在预定的时间结束工作周期的重复,以决定具有脉冲样品偏压的脉冲等离子体蚀刻处理的结束。在一选择性实施例中,可通过侦测在蚀刻层604的蚀刻完成时的蚀刻副产物616的改变以及基板602的顶表面的相应暴露来决定具有脉冲样品偏压的脉冲等离子体蚀刻处理的结束。在另一实施例中,可利用干涉术以量测沟槽(trench)的深度来决定具有脉冲样品偏压的脉冲等离子体蚀刻处理的结束。
具有脉冲样品偏压的脉冲等离子体蚀刻处理可结合连续等离子体蚀刻处理。举例来说,直到半导体堆叠的一部分已被蚀刻之前,半导体堆叠的不同密度区域的蚀刻速率差别可能并不显著,这是因为蚀刻处理在高深宽比的图案中可能遭受更为严重的微负载。因此,较为有效的是,先施加一连续等离子体以蚀刻半导体堆叠的第一部分,直到达到特定的深度,再接着施加具有脉冲样品偏压的脉冲等离子体蚀刻处理以移除半导体堆叠的第二部分。根据本发明的一实施例,以连续等离子体蚀刻处理来蚀刻半导体堆叠直到达到期望深度,接着,半导体堆叠的蚀刻通过具有脉冲样品偏压的脉冲等离子体蚀刻处理来完成。在一实施例中,连续等离子体蚀刻处理/具有脉冲样品偏压的脉冲等离子体蚀刻处理用于增加单一晶片处理工具中的晶片产率。根据本发明一实施例的此种连续等离子体蚀刻处理/具有脉冲样品偏压的脉冲等离子体蚀刻处理被绘示于「第7A~C图」。以掩模712图案化的蚀刻层704(「第7A图」)通过由连续等离子体蚀刻处理而部分图案化(「第7B图」)。接着利用具有脉冲样品偏压的脉冲等离子体蚀刻处理以完成蚀刻层704的蚀刻,也就是说,直到蚀刻结束于蚀刻终止层706为止,如「第7C图」所示。在一实施例中,等离子体蚀刻处理由连续转变为脉冲的深度为最高结构密度的区域的间隔宽度的0.5~4倍。在一实施例中,深度经选择以实质等于最高结构密度的区域的间隔宽度,也就是说,当最高密度结构已达到深宽比1。
「第8图」为一流程图,显示根据本发明的一实施例的一系列步骤,其结合连续等离子体蚀刻处理以及具有脉冲样品偏压的脉冲等离子体蚀刻处理。「第9A~D图」绘示「第8图」的流程步骤执行在较为复杂的半导体堆叠上的剖面视图。
参照流程图800的步骤802,且相符于「第9A图」,在一连续/脉冲等离子体蚀刻处理起始时,半导体堆叠900包括一基板902、二蚀刻层904、908、二介电层906、910及一掩模912。基板902、蚀刻层904、908及掩模912包括任何参照「第4A图」所描述的基板402、蚀刻层404及掩模406的材料。半导体堆叠900可包括较为复杂或较不复杂的材料层的堆叠,此处所示的方式仅作为说明之用。在一实施例中,半导体堆叠900包括多晶硅/SiON/多晶硅/SiO2,如典型的闪存堆叠中可见者。
介电层906、910可包括任何适于绝缘半导体堆叠的导电部分的材料。在一实施例中,介电层906、910可包括选自由二氧化硅、氮氧化硅及氮化硅所组成的群组的绝缘材料。在另一实施例中,介电层906、910可包括选自由氧化铪、硅酸铪、氧化镧、氧化锆、硅酸锆、氧化钽、钛酸钡锶、钛酸钡、钛酸锶、氧化钇、氧化铝、氧化铅钪钽及铌酸铅锌所组成的群组的高k介电层。
参照流程图800的步骤804,且相符于「第9B图」,利用连续等离子体处理以将掩模912的图案蚀刻入蚀刻层904中,而形成部分图案化的蚀刻层914。在半导体堆叠900的第一部分的各个密度区域中的蚀刻速率差异并不显著的情况下,连续等离子体蚀刻处理则足以蚀刻该蚀刻层904。产生用于连续等离子体处理中以形成部分图案化的蚀刻层914的等离子体的方法可包括任何适于在足以符合连续蚀刻处理的持续时间以点燃并维持等离子体的方法。举例来说,根据本发明的一实施例,产生连续等离子体的方法包括产生一等离子体,该等离子体选自由电子回旋共振(ECS)等离子体、螺旋波等离子体、感应耦合等离子体(ICP)及表面波等离子体所组成的群组。在一特定实施例中,用于产生连续等离子体的方法包括在Applied MaterialTM AdvantEdge G3etcher中产生一感应耦合等离子体。
参照流程图800的步骤806,且相符于「第9B图」,通过任何适当的因素来决定何时可结束连续等离子体处理。举例来说,根据本发明的一实施例,基于待蚀刻的材料的特质而在一预定时间结束以决定连续等离子体蚀刻处理的结束。在一选择性实施例中,通过侦测在蚀刻层904的蚀刻完成时的蚀刻副产物改变以及介电层906的顶表面的相应暴露(即,通过侦测蚀刻终点)而决定连续等离子体蚀刻处理的结束。在一实施例中,通过在连续蚀刻处理过程中所产生的化学物质组的实时组成(real-time composition)来决定连续等离子体蚀刻处理的结束。参照「第9C图」,在蚀刻层904的蚀刻之后,介电层906的暴露部分被移除以形成图案化的介电层916。根据本发明的一实施例,介电层906的暴露部分的移除通过一蚀刻处理来进行,该蚀刻处理选自由湿式蚀刻处理、连续等离子体蚀刻处理及脉冲等离子体蚀刻处理所组成的群组。
参照流程图800的步骤808、810及812,且相符于「第9C~D图」,掩模912的图案持续蚀刻入半导体堆叠800中。在此时,由于半导体堆叠900的第一部分已被蚀刻,蚀刻层908的不同密度区域的蚀刻速率差异为显著的,故需要应用脉冲等离子体蚀刻处理。因此根据本发明的一实施例,具有脉冲样品偏压的脉冲等离子体蚀刻处理用于图案化蚀刻层908以形成图案化的蚀刻层918。可重复工作周期(即,步骤712)直到期望量的蚀刻层908已被蚀刻。因此,根据本发明的一实施例,利用连续蚀刻等离子体处理以对半导体堆叠900的第一部分进行图案化,并利用包括多个工作周期的脉冲等离子体蚀刻处理来对半导体堆叠900的第二部分进行图案化。在工作周期的开启状态过程中对样品施加负偏压,而在工作周期的关闭状态过程中则对样品施加零偏压。
参照流程图800的步骤814,且相符于「第9D图」,在移除期望量的蚀刻层908之后,具有脉冲样品偏压的脉冲等离子体蚀刻处理结束。通过使用具有脉冲样品偏压的脉冲等离子体蚀刻处理以完成蚀刻层908的蚀刻,则各个密度区域的蚀刻处理可在实质相同时间下完成。因此,仅需要可忽略量的过蚀刻以形成图案化的蚀刻层918。这样,可大幅缓和图案化的蚀刻层918的各种结构的不利底切现象,其可由「第9D图」的缺乏底切现象可见。可通过任一适当因素来决定何时结束具有脉冲样品偏压的脉冲等离子体蚀刻处理。举例来说,根据本发明的一实施例,通过在预定的时间结束工作周期的重复,以决定具有脉冲样品偏压的脉冲等离子体蚀刻处理的结束。在一选择性实施例中,可通过侦测在蚀刻层908的蚀刻完成时的蚀刻副产物的改变以及介电层910的顶表面的相应暴露来决定具有脉冲样品偏压的脉冲等离子体蚀刻处理的结束。
可通过循环式的连续/脉冲等离子体蚀刻处理以将上述的连续及脉冲等离子体蚀刻处理的组合方法应用至更为复杂的材料堆叠。举例来说,根据本发明的一实施例,半导体堆叠的第一部分通过第一连续等离子体蚀刻处理而图案化;半导体堆叠的第二部分通过具有脉冲样品偏压的第一脉冲等离子体蚀刻处理而图案化;半导体堆叠的第三部分通过第二连续等离子体蚀刻处理而图案化;半导体堆叠的第四部分通过具有脉冲样品偏压的第二脉冲等离子体蚀刻处理而图案化。在一特定实施例中,半导体堆叠900的蚀刻层904也通过第一连续等离子体蚀刻处理以图案化,接着再进行具有脉冲样品偏压的第一脉冲等离子体蚀刻处理。之后,蚀刻层908通过第二连续等离子体蚀刻处理以图案化,并接着再进行具有脉冲样品偏压的第二脉冲等离子体蚀刻处理。
具有脉冲样品偏压的脉冲等离子体蚀刻处理可以在任何适于在接近样品处提供蚀刻等离子体以进行蚀刻的处理设备中进行。「第10图」绘示根据本发明的一实施例而进行具有脉冲样品偏压的脉冲等离子体蚀刻处理的系统。
参照「第10图」,用于进行脉冲等离子体蚀刻处理的系统1000包括一腔室1002,该腔室1002配备有样品承接器1004。一抽气装置1006、一气体入口装置1008及一等离子体点燃装置1010耦接至腔室1002。电压源1014与样品承接器1004耦接。计算装置1012与等离子体点燃装置1010及电压源1014耦接。系统1000可额外包括耦接至腔室1002的侦测器1016。计算装置1012也可以与抽气装置1006、气体入口装置1008及侦测器1016耦接,如「第10图」所示。
腔室1002及样品承接器1004可包括任何适于含有一离子化气体(即,等离子体)的反应室及样品定位装置,并使样品靠近离子化气体或自其释出的带电物质。抽气装置1006可以为任何对腔室1002进行抽气及使其压力降低的装置。气体入口装置1008可以为任何适于将反应气体注入腔室1002中的装置。等离子体点燃装置1010可以为任何适于将源自气体入口装置1008所注入腔室1002的反应气体的等离子体点燃的装置。侦测器1016可以为任何适于侦测处理步骤终点的装置。在一实施例中,系统1000包括一腔室1002、一样品承接器1004、一抽气装置1006、一气体入口装置1008、一等离子体点燃装置1010及一侦测器1016,其类似或相同于Applied MaterialTM AdvantEdge G3etcher中所包括的。
计算装置1012包括处理器及存储器。根据本发明的一实施例,计算装置1012的存储器包括用于控制等离子体点燃装置1010以在具有脉冲样品偏压的脉冲等离子体蚀刻处理中切换等离子体在开启状态及关闭状态之间的一指令组。在一实施例中,该指令组包括机器可操作的程序代码,并对多个工作周期产生作用,其中各个工作周期代表等离子体的一开启状态及一关闭状态的组合。计算装置1012的存储器也包括用于控制电压源1014切换在负偏压与零偏压之间的一指令组。在等离子体的开启状态下,负偏压被施加至样品承接器1004,在等离子体的关闭状态下,零偏压被施加至样品承接器1004。在一特定实施例中,用于控制等离子体点燃装置1010的指令组包括针对各个工作周期的时序指令,以使得开启状态占工作周期的持续时间的5~95%。在一实施例中,用于控制等离子体点燃装置1010的指令组包括针对各个工作周期的时序指令,以使得开启状态占工作周期的持续时间的65~75%。在另一实施例中,用于控制等离子体点燃装置1010的指令组包括时序指令,因而使得多个工作周期的频率介于1Hz~200kHz之间,即,各个工作周期的持续时间介于5微秒~1秒。在一特定实施例中,用于控制等离子体点燃装置1010的指令组包括时序指令,因而使得多个工作周期的频率为50kHz,且各个工作周期包括开启状态的部分为70%。在一实施例中,在工作周期的开启状态下通过电压源1014施加至样品承接器1004的负偏压介于5~1000瓦。在一特定实施例中,在工作周期的开启状态下通过电压源1014施加至样品承接器1004的负偏压介于100~200瓦。
「第11A~B图」绘示根据本发明的一实施例的「第10图」的系统的腔室分别处于等离子体开启状态及等离子体关闭状态。参照「第11A图」,系统1000的腔室1002包括一处于开启状态下的等离子体1100,且该等离子体1100接近样品承接器1004上的一样品1102。反应区域1104直接邻近于样品1102。在蚀刻处理期间,至少在一段时间内,蚀刻副产物形成在并存在于反应区域1102中。因此,根据本发明的一实施例,用于控制等离子体点燃装置1010的指令组包括时序指令,因而使得开启状态的持续时间为足够短以实质抑制反应区域1104内的微负载现象。参照「第11B图」,系统1000的腔室1002包括处于关闭状态下的等离子体(即,中性反应气体)。根据本发明的一实施例,用于控制等离子体点燃装置1010的指令组包括时序指令,因而使得脉冲等离子体蚀刻处理的工作周期的关闭状态经选择而具有足够长的持续时间,以实质将蚀刻副产物自反应区域1104移除。
在脉冲等离子体蚀刻处理的工作周期的开启状态过程中,正电荷会分给正在进行蚀刻的样品。在部分实例中,样品的正电荷会实质足以使得自等离子体释出的带正电蚀刻物质产生部分地偏向。此种蚀刻物质的偏向可能会导致蚀刻入特定样品中的特征结构出现不利的底切现象。通过在蚀刻处理过程中,以负电荷来偏压样品,则可缓和带正电粒子的偏向。另一方面,在脉冲等离子体蚀刻处理的工作周期由开启状态至关闭状态的过渡期间,若样品为负偏压,则可抑制带负电粒子自等离子体的释出。通过在工作周期的关闭状态过程中对样品产生零偏压,且因此不对等离子体放电时释出的带负电粒子产生排斥,则可达到等离子体放电的较短时间。另外,带负电物质会促成蚀刻处理,因而增进蚀刻处理。因此,根据本发明的实施例,脉冲样品偏压处理与脉冲等离子体处理平行进行。也就是说,在脉冲等离子体蚀刻处理中,在工作周期的开启状态下,样品为负偏压,在关闭状态下,样品则为零偏压。
「第12A~D图」绘示根据本发明的一实施例的「第10图」的系统1000的腔室1002分别处于等离子体开启/偏压关闭状态、等离子体开启/偏压开启状态、等离子体关闭/偏压开启状态及等离子体关闭/偏压关闭状态。电压源1014与样品承接器1004耦接,并用于在工作周期的开启状态过程中,对样品承接器1004且因而对样品1102产生偏压。参照「第12A图」,电压源1014处于关闭状态,由等离子体1100释出的带正电蚀刻物质在接近样品1102的表面处呈部分偏向。然而,参照「第12B图」,电压源1014处于开启状态(即,负偏压样品承接器1004),因此,由等离子体1100释出的带正电蚀刻物质在接近样品1102的表面处维持正交轨道(即,各向异性轨道)。根据本发明的一实施例,电压源1014用于在工作周期的开启状态过程中施加一介于5~1000瓦的负偏压至样品承接器1004。在一特定实施例中,电压源1014用于在工作周期的开启状态过程中施加一介于100~200瓦的负偏压至样品承接器1004。脉冲等离子体蚀刻处理(相较于连续等离子体蚀刻处理)可降低蚀刻处理过程中正电荷积聚在样品1102上的程度。然而,以电压源1014对样品承接器1004产生偏压的额外步骤可用作为脉冲等离子体蚀刻处理的一部分,以使得对蚀刻处理过程中的结构的底切现象的缓和达到最佳化。因此根据本发明的另一实施例,以电压源1014对样品承接器1004产生偏压的额外步骤可用作于延长脉冲等离子体蚀刻处理的工作周期中的开启状态的持续时间。
参照「第12C图」,电压源1014处于开启状态,由等离子体开启状态切换至等离子体关闭状态的过渡期间所释出的带负电粒子受到抑制而无法到达样品1102的表面,因而延缓等离子体关闭状态步骤。然而,参照「第12D图」,电压源1014处于关闭状态(即,零偏压样品承接器1004),因此,由等离子体开启状态切换至等离子体关闭状态的过渡期间所释出的带负电粒子会受到抑制而无法到达样品1102的表面。根据本发明的一实施例,在工作周期的关闭状态过程中,电压源1014被关闭以施加零偏压至样品承接器1004。因此,根据本发明的一实施例,电压源1014使样品承接器1004为负偏压以延长在脉冲等离子体蚀刻处理的工作周期的开启状态的持续时间,而电压源1014使样品承接器1004为零偏压以减少工作周期的关闭状态的持续时间。
因此,本发明公开一种具有脉冲样品偏压以蚀刻半导体结构的脉冲等离子体系统。在一实施例中,通过应用一脉冲等离子体蚀刻处理而移除一部分的样品,其中脉冲等离子体蚀刻处理包括多个工作周期。在各工作周期的开启状态过程中,施加负偏压至样品,而在各工作周期的关闭状态过程中,施加零偏压至样品。在其它实施例中,样品的第一部分通过连续等离子体蚀刻处理来移除。接着,连续等离子体处理结束,再通过具有脉冲样品偏压的脉冲等离子体蚀刻处理以移除样品的第二部分。应了解脉冲样品偏压处理不需要与脉冲等离子体处理绑在一起。因此,根据本发明的另一实施例,脉冲等离子体工作周期的开启状态以及脉冲样品偏压的开启状态彼此独立。在另一实施例中,脉冲等离子体工作周期的关闭状态以及脉冲样品偏压的关闭状态彼此独立。

Claims (23)

1.一种用于蚀刻一样品的方法,包括:
通过应用一脉冲等离子体处理而移除该样品的一部分,其中,该脉冲等离子体处理包括多个工作周期(duty cycle),其中各个该些工作周期代表一等离子体的一开启(ON)状态及一关闭(OFF)状态的组合,其中在该开启状态的过程中,施加一负偏压至该样品,且在该关闭状态的过程中,施加一零偏压至该样品。
2.如权利要求1所述的方法,其中该负偏压介于5~1000瓦(Watts)的间。
3.如权利要求1所述的方法,其中该开启状态的持续时间足够短以实质抑制邻近该样品的一反应区域中的微负载(micro-loading),且其中该关闭状态的持续时间足够长以实质使得一组蚀刻副产物能够自邻近该样品的该反应区域中移除。
4.如权利要求3所述的方法,其中该组蚀刻副产物的至少一部分于该等离子体的该开启状态过程中产生。
5.如权利要求1所述的方法,其中各个该些工作周期由该开启状态所构成的部分占5~95%。
6.如权利要求2所述的方法,其中各个该些工作周期的持续时间介于5~1000微秒。
7.如权利要求3所述的方法,其中该等离子体的该关闭状态的持续时间经选择以实质符合一时间,在该时间下,大于50%的该些蚀刻副产物已自该反应区域移除。
8.如权利要求3所述的方法,其中在该等离子体的该关闭状态过程中,使用一惰性气体以促进该组蚀刻副产物的移除。
9.一种用于蚀刻一样品的方法,包括:
通过应用一连续等离子体处理而移除该样品的一第一部分;
结束该连续等离子体处理;以及
通过应用一脉冲等离子体处理而移除该样品的一第二部分,其中,该脉冲等离子体处理包括多个工作周期,其中各个该些工作周期代表一等离子体的一开启(ON)状态及一关闭(OFF)状态的组合,其中在该开启状态的过程中,施加一负偏压至该样品,且在该关闭状态的过程中,施加一零偏压至该样品。
10.如权利要求9所述的方法,其中该负偏压介于5~1000瓦的间。
11.如权利要求9所述的方法,其中该开启状态的持续时间足够短以实质抑制邻近该样品的一反应区域中的微负载,且其中该关闭状态的持续时间足够长以实质使得一组蚀刻副产物能够自该反应区域中移除。
12.如权利要求11所述的方法,其中各个该些工作周期由该开启状态所构成的部分占5~95%。
13.如权利要求12所述的方法,其中各个该些工作周期的持续时间介于5~1000微秒。
14.如权利要求9所述的方法,其中上述的结束该连续蚀刻处理的步骤包括侦测一终点。
15.如权利要求14所述的方法,其中通过在该连续蚀刻处理过程中所产生的一组化学物种的实时组成(real-time composition)来决定该终点。
16.如权利要求14所述的方法,其中通过干涉测量(interferometry)来量测实时薄膜厚度以决定该终点。
17.如权利要求9所述的方法,其中上述的结束该连续蚀刻处理的步骤包括基于该样品的特性而在一预定时间结束该连续等离子体处理。
18.如权利要求9所述的方法,其更包括:
通过应用一第二连续等离子体处理而移除该样品的一第三部分;
结束该第二连续等离子体处理;以及
通过应用一第二脉冲等离子体处理而移除该样品的一第四部分,其中,该第二脉冲等离子体处理包括多个第二工作周期,其中各个该些第二工作周期代表一第二等离子体的一第二开启(ON)状态及一第二关闭(OFF)状态的组合,其中在该第二开启状态的过程中,施加一负偏压至该样品,且在该第二关闭状态的过程中,施加一零偏压至该样品。
19.一种用于蚀刻一样品的统,其中该系统包括:
一腔室,装配有一样品承接器;
一抽气装置,耦接至该腔室,其中该抽气装置用于降低该腔室的压力;
一气体入口装置,与该腔室耦接,其中该气体入口装置用于将一反应气体注入该腔室中;
一等离子体点燃装置,与该腔室耦接,其中该等离子体点燃装置用于将源自该反应气体的一等离子体点燃;
一电压源,与该样品承接器耦接,其中该电压源用于偏压该样品;以及
一计算装置,与该等离子体点燃装置耦接,其中该计算装置包括一处理器及一存储器,其中该存储器包括一用于在一脉冲等离子体处理中以控制该等离子体点燃装置而切换一等离子体于一开启状态及一关闭状态的间的指令组,其中该脉冲等离子体处理包括多个工作周期,其中各个该些工作周期代表该等离子体的一开启状态及一关闭状态的组合,其中该存储器还包括一用于控制该电压源以切换于一负偏压及一零偏压的间的指令组,其中在该开启状态的过程中,施加该负偏压至该样品,且在该关闭状态的过程中,施加该零偏压至该样品。
20.如权利要求19所述的系统,其中该负偏压介于5~1000瓦的间。
21.如权利要求19所述的系统,更包括:
一侦测装置,与该腔室耦接,其中该侦测装置用于侦测一处理步骤的一终点。
22.如权利要求19所述的系统,其中该开启状态的持续时间足够短以实质抑制邻近该样品的一反应区域中的微负载,且其中该关闭状态的持续时间足够长以实质使得一组蚀刻副产物能够自邻近该样品的该反应区域中移除。
23.如权利要求22所述的系统,其中各个该些工作周期由该开启状态所构成的部分占5~95%。
CN2008800081158A 2007-02-21 2008-02-21 用于蚀刻半导体结构的具有脉冲样品偏压的脉冲等离子体系统 Expired - Fee Related CN101631897B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US11/677,472 2007-02-21
US11/677,472 US7718538B2 (en) 2007-02-21 2007-02-21 Pulsed-plasma system with pulsed sample bias for etching semiconductor substrates
PCT/US2008/002371 WO2008103456A2 (en) 2007-02-21 2008-02-21 Pulsed plasma system with pulsed sample bias for etching semiconductor structures

Publications (2)

Publication Number Publication Date
CN101631897A true CN101631897A (zh) 2010-01-20
CN101631897B CN101631897B (zh) 2011-10-12

Family

ID=39705744

Family Applications (2)

Application Number Title Priority Date Filing Date
CN200880008116A Pending CN101636822A (zh) 2007-02-21 2008-02-21 用于蚀刻半导体结构的具有脉冲反应气体补充的脉冲等离子体系统
CN2008800081158A Expired - Fee Related CN101631897B (zh) 2007-02-21 2008-02-21 用于蚀刻半导体结构的具有脉冲样品偏压的脉冲等离子体系统

Family Applications Before (1)

Application Number Title Priority Date Filing Date
CN200880008116A Pending CN101636822A (zh) 2007-02-21 2008-02-21 用于蚀刻半导体结构的具有脉冲反应气体补充的脉冲等离子体系统

Country Status (6)

Country Link
US (1) US7718538B2 (zh)
JP (2) JP5374388B2 (zh)
KR (1) KR101445299B1 (zh)
CN (2) CN101636822A (zh)
TW (1) TWI458008B (zh)
WO (1) WO2008103456A2 (zh)

Cited By (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102797011A (zh) * 2011-05-26 2012-11-28 南亚科技股份有限公司 半导体装置的脉冲式蚀刻方法及系统
CN103021912A (zh) * 2012-12-24 2013-04-03 中微半导体设备(上海)有限公司 半导体刻蚀装置及半导体结构的刻蚀方法
CN103094097A (zh) * 2011-10-28 2013-05-08 中芯国际集成电路制造(上海)有限公司 一种半导体器件的制造方法
CN103681451A (zh) * 2012-09-26 2014-03-26 南亚科技股份有限公司 沟槽结构的制造方法
CN103730349A (zh) * 2012-10-10 2014-04-16 中芯国际集成电路制造(上海)有限公司 一种形成接触孔的方法
CN104241355A (zh) * 2013-06-09 2014-12-24 中芯国际集成电路制造(上海)有限公司 半导体器件及其形成方法
CN104752351A (zh) * 2013-12-30 2015-07-01 中芯国际集成电路制造(上海)有限公司 半导体器件的形成方法
CN104752181A (zh) * 2013-12-30 2015-07-01 中芯国际集成电路制造(上海)有限公司 去除伪栅的方法
CN105097524A (zh) * 2014-05-04 2015-11-25 中芯国际集成电路制造(上海)有限公司 Mos晶体管的形成方法和cmos晶体管的形成方法

Families Citing this family (73)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9708707B2 (en) * 2001-09-10 2017-07-18 Asm International N.V. Nanolayer deposition using bias power treatment
US9121098B2 (en) 2003-02-04 2015-09-01 Asm International N.V. NanoLayer Deposition process for composite films
US7713592B2 (en) 2003-02-04 2010-05-11 Tegal Corporation Nanolayer deposition process
US7929798B2 (en) * 2005-12-07 2011-04-19 Micron Technology, Inc. Method and apparatus providing noise reduction while preserving edges for imagers
JP5547495B2 (ja) * 2007-02-21 2014-07-16 アプライド マテリアルズ インコーポレイテッド 半導体構造をエッチングするための、パルス化反応ガスを補充するパルス化プラズマシステム
US20080230008A1 (en) * 2007-03-21 2008-09-25 Alexander Paterson Plasma species and uniformity control through pulsed vhf operation
US9059116B2 (en) * 2007-11-29 2015-06-16 Lam Research Corporation Etch with pulsed bias
JP5395491B2 (ja) 2009-03-31 2014-01-22 東京エレクトロン株式会社 基板処理装置及び基板処理方法
US8404598B2 (en) * 2009-08-07 2013-03-26 Applied Materials, Inc. Synchronized radio frequency pulsing for plasma etching
US8658541B2 (en) * 2010-01-15 2014-02-25 Applied Materials, Inc. Method of controlling trench microloading using plasma pulsing
JP5662079B2 (ja) * 2010-02-24 2015-01-28 東京エレクトロン株式会社 エッチング処理方法
TWI473163B (zh) * 2010-09-15 2015-02-11 Tokyo Electron Ltd A plasma etching processing apparatus, a plasma etching processing method, and a semiconductor device manufacturing method
JP2012129429A (ja) * 2010-12-17 2012-07-05 Hitachi High-Technologies Corp プラズマ処理方法
US8809199B2 (en) 2011-02-12 2014-08-19 Tokyo Electron Limited Method of etching features in silicon nitride films
US20120302065A1 (en) * 2011-05-26 2012-11-29 Nanya Technology Corporation Pulse-plasma etching method and pulse-plasma etching apparatus
TWI450308B (zh) * 2011-07-27 2014-08-21 Hitachi High Tech Corp Plasma processing method
CN102983099A (zh) * 2011-09-07 2013-03-20 中国科学院微电子研究所 半导体集成电路制造方法
CN102983076A (zh) * 2011-09-07 2013-03-20 中国科学院微电子研究所 半导体集成电路制造方法
CN103094180B (zh) * 2011-10-28 2015-04-01 中芯国际集成电路制造(上海)有限公司 一种半导体器件的制造方法
US9842725B2 (en) 2013-01-31 2017-12-12 Lam Research Corporation Using modeling to determine ion energy associated with a plasma system
US10128090B2 (en) 2012-02-22 2018-11-13 Lam Research Corporation RF impedance model based fault detection
US10157729B2 (en) 2012-02-22 2018-12-18 Lam Research Corporation Soft pulsing
US9114666B2 (en) 2012-02-22 2015-08-25 Lam Research Corporation Methods and apparatus for controlling plasma in a plasma processing system
US9197196B2 (en) 2012-02-22 2015-11-24 Lam Research Corporation State-based adjustment of power and frequency
US9462672B2 (en) 2012-02-22 2016-10-04 Lam Research Corporation Adjustment of power and frequency based on three or more states
KR101949503B1 (ko) * 2012-04-18 2019-02-18 에스케이하이닉스 주식회사 적층형 반도체 장치, 그 제조 방법 및 테스트 방법
US20140051256A1 (en) * 2012-08-15 2014-02-20 Lam Research Corporation Etch with mixed mode pulsing
GB2505685B (en) * 2012-09-07 2015-11-04 Univ Salford Method of coating and etching
JP6114622B2 (ja) * 2013-04-26 2017-04-12 東京エレクトロン株式会社 エッチング方法
JP2015037091A (ja) * 2013-08-12 2015-02-23 東京エレクトロン株式会社 エッチング方法
JP2015050433A (ja) 2013-09-04 2015-03-16 東京エレクトロン株式会社 プラズマ処理方法
JP5701958B2 (ja) * 2013-10-15 2015-04-15 東京エレクトロン株式会社 基板処理装置
US9472416B2 (en) 2013-10-21 2016-10-18 Applied Materials, Inc. Methods of surface interface engineering
US9594105B2 (en) 2014-01-10 2017-03-14 Lam Research Corporation Cable power loss determination for virtual metrology
GB201406135D0 (en) 2014-04-04 2014-05-21 Spts Technologies Ltd Method of etching
US10950421B2 (en) 2014-04-21 2021-03-16 Lam Research Corporation Using modeling for identifying a location of a fault in an RF transmission system for a plasma system
KR102222902B1 (ko) 2014-05-12 2021-03-05 삼성전자주식회사 플라즈마 장비 및 이를 이용한 반도체 소자의 제조 방법
JP6315809B2 (ja) * 2014-08-28 2018-04-25 東京エレクトロン株式会社 エッチング方法
US9583485B2 (en) 2015-05-15 2017-02-28 Taiwan Semiconductor Manufacturing Company, Ltd. Fin field effect transistor (FinFET) device structure with uneven gate structure and method for forming the same
US9761459B2 (en) 2015-08-05 2017-09-12 Lam Research Corporation Systems and methods for reverse pulsing
US9978606B2 (en) 2015-10-02 2018-05-22 Applied Materials, Inc. Methods for atomic level resolution and plasma processing control
US9788405B2 (en) 2015-10-03 2017-10-10 Applied Materials, Inc. RF power delivery with approximated saw tooth wave pulsing
US9741539B2 (en) 2015-10-05 2017-08-22 Applied Materials, Inc. RF power delivery regulation for processing substrates
US9754767B2 (en) 2015-10-13 2017-09-05 Applied Materials, Inc. RF pulse reflection reduction for processing substrates
US9614524B1 (en) 2015-11-28 2017-04-04 Applied Materials, Inc. Automatic impedance tuning with RF dual level pulsing
KR20170097270A (ko) 2016-02-17 2017-08-28 삼성전자주식회사 반도체 소자 및 이의 제조 방법
JP6789721B2 (ja) * 2016-08-12 2020-11-25 東京エレクトロン株式会社 基板処理方法及び基板処理装置
JP6697372B2 (ja) 2016-11-21 2020-05-20 キオクシア株式会社 ドライエッチング方法及び半導体装置の製造方法
JP6837886B2 (ja) * 2017-03-21 2021-03-03 株式会社日立ハイテク プラズマ処理装置およびプラズマ処理方法
US10904996B2 (en) 2017-09-20 2021-01-26 Applied Materials, Inc. Substrate support with electrically floating power supply
US10763150B2 (en) 2017-09-20 2020-09-01 Applied Materials, Inc. System for coupling a voltage to spatially segmented portions of the wafer with variable voltage
US10714372B2 (en) 2017-09-20 2020-07-14 Applied Materials, Inc. System for coupling a voltage to portions of a substrate
US10811296B2 (en) 2017-09-20 2020-10-20 Applied Materials, Inc. Substrate support with dual embedded electrodes
US10510575B2 (en) 2017-09-20 2019-12-17 Applied Materials, Inc. Substrate support with multiple embedded electrodes
US10555412B2 (en) 2018-05-10 2020-02-04 Applied Materials, Inc. Method of controlling ion energy distribution using a pulse generator with a current-return output stage
US10734245B2 (en) * 2018-10-19 2020-08-04 International Business Machines Corporation Highly selective dry etch process for vertical FET STI recess
US11476145B2 (en) 2018-11-20 2022-10-18 Applied Materials, Inc. Automatic ESC bias compensation when using pulsed DC bias
JP7451540B2 (ja) 2019-01-22 2024-03-18 アプライド マテリアルズ インコーポレイテッド パルス状電圧波形を制御するためのフィードバックループ
US11508554B2 (en) 2019-01-24 2022-11-22 Applied Materials, Inc. High voltage filter assembly
US11043387B2 (en) 2019-10-30 2021-06-22 Applied Materials, Inc. Methods and apparatus for processing a substrate
US11522050B2 (en) * 2020-01-30 2022-12-06 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing a semiconductor device and a semiconductor device
US11387365B2 (en) * 2020-04-01 2022-07-12 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing a semiconductor device for recessed fin structure having rounded corners
US11462389B2 (en) 2020-07-31 2022-10-04 Applied Materials, Inc. Pulsed-voltage hardware assembly for use in a plasma processing system
US11798790B2 (en) 2020-11-16 2023-10-24 Applied Materials, Inc. Apparatus and methods for controlling ion energy distribution
US11901157B2 (en) 2020-11-16 2024-02-13 Applied Materials, Inc. Apparatus and methods for controlling ion energy distribution
US11495470B1 (en) 2021-04-16 2022-11-08 Applied Materials, Inc. Method of enhancing etching selectivity using a pulsed plasma
US11948780B2 (en) 2021-05-12 2024-04-02 Applied Materials, Inc. Automatic electrostatic chuck bias compensation during plasma processing
US11791138B2 (en) 2021-05-12 2023-10-17 Applied Materials, Inc. Automatic electrostatic chuck bias compensation during plasma processing
US11967483B2 (en) 2021-06-02 2024-04-23 Applied Materials, Inc. Plasma excitation with ion energy control
US11810760B2 (en) 2021-06-16 2023-11-07 Applied Materials, Inc. Apparatus and method of ion current compensation
US11569066B2 (en) 2021-06-23 2023-01-31 Applied Materials, Inc. Pulsed voltage source for plasma processing applications
US11476090B1 (en) 2021-08-24 2022-10-18 Applied Materials, Inc. Voltage pulse time-domain multiplexing
US11694876B2 (en) 2021-12-08 2023-07-04 Applied Materials, Inc. Apparatus and method for delivering a plurality of waveform signals during plasma processing

Family Cites Families (27)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
GB8516537D0 (en) * 1985-06-29 1985-07-31 Standard Telephones Cables Ltd Pulsed plasma apparatus
JPH04110757U (ja) * 1991-03-11 1992-09-25 神港精機株式会社 断続プラズマ装置
JP2957403B2 (ja) * 1993-01-18 1999-10-04 日本電気株式会社 プラズマエッチング方法とその装置
JP3424182B2 (ja) * 1994-09-13 2003-07-07 アネルバ株式会社 表面処理装置
JP3546977B2 (ja) * 1994-10-14 2004-07-28 富士通株式会社 半導体装置の製造方法と製造装置
JP3799073B2 (ja) * 1994-11-04 2006-07-19 株式会社日立製作所 ドライエッチング方法
US5683538A (en) * 1994-12-23 1997-11-04 International Business Machines Corporation Control of etch selectivity
US6902683B1 (en) * 1996-03-01 2005-06-07 Hitachi, Ltd. Plasma processing apparatus and plasma processing method
US20010051438A1 (en) * 1997-06-25 2001-12-13 Samsung Electronics Process and apparatus for dry-etching a semiconductor layer
US5877407A (en) * 1997-07-22 1999-03-02 Lucent Technologies Inc. Plasma etch end point detection process
US6187685B1 (en) * 1997-08-01 2001-02-13 Surface Technology Systems Limited Method and apparatus for etching a substrate
US6228241B1 (en) * 1998-07-27 2001-05-08 Boundary Technologies, Inc. Electrically conductive anodized aluminum coatings
JP2000058292A (ja) * 1998-08-04 2000-02-25 Matsushita Electron Corp プラズマ処理装置及びプラズマ処理方法
JP4153606B2 (ja) * 1998-10-22 2008-09-24 東京エレクトロン株式会社 プラズマエッチング方法およびプラズマエッチング装置
JP4163857B2 (ja) * 1998-11-04 2008-10-08 サーフィス テクノロジー システムズ ピーエルシー 基板をエッチングするための方法と装置
US6255221B1 (en) * 1998-12-17 2001-07-03 Lam Research Corporation Methods for running a high density plasma etcher to achieve reduced transistor device damage
US6566272B2 (en) * 1999-07-23 2003-05-20 Applied Materials Inc. Method for providing pulsed plasma during a portion of a semiconductor wafer process
JP2001313284A (ja) * 2000-02-21 2001-11-09 Hitachi Ltd プラズマ処理方法および装置
US20040224504A1 (en) * 2000-06-23 2004-11-11 Gadgil Prasad N. Apparatus and method for plasma enhanced monolayer processing
US6875700B2 (en) * 2000-08-29 2005-04-05 Board Of Regents, The University Of Texas System Ion-Ion plasma processing with bias modulation synchronized to time-modulated discharges
US6891627B1 (en) * 2000-09-20 2005-05-10 Kla-Tencor Technologies Corp. Methods and systems for determining a critical dimension and overlay of a specimen
DE10309711A1 (de) * 2001-09-14 2004-09-16 Robert Bosch Gmbh Verfahren zum Einätzen von Strukturen in einem Ätzkörper mit einem Plasma
US6818562B2 (en) * 2002-04-19 2004-11-16 Applied Materials Inc Method and apparatus for tuning an RF matching network in a plasma enhanced semiconductor wafer processing system
US20050112891A1 (en) * 2003-10-21 2005-05-26 David Johnson Notch-free etching of high aspect SOI structures using a time division multiplex process and RF bias modulation
US20050103620A1 (en) * 2003-11-19 2005-05-19 Zond, Inc. Plasma source with segmented magnetron cathode
US7095179B2 (en) * 2004-02-22 2006-08-22 Zond, Inc. Methods and apparatus for generating strongly-ionized plasmas with ionizational instabilities
US8404594B2 (en) * 2005-05-27 2013-03-26 Freescale Semiconductor, Inc. Reverse ALD

Cited By (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102797011A (zh) * 2011-05-26 2012-11-28 南亚科技股份有限公司 半导体装置的脉冲式蚀刻方法及系统
CN103094097A (zh) * 2011-10-28 2013-05-08 中芯国际集成电路制造(上海)有限公司 一种半导体器件的制造方法
CN103681451A (zh) * 2012-09-26 2014-03-26 南亚科技股份有限公司 沟槽结构的制造方法
CN103730349B (zh) * 2012-10-10 2016-08-03 中芯国际集成电路制造(上海)有限公司 一种形成接触孔的方法
CN103730349A (zh) * 2012-10-10 2014-04-16 中芯国际集成电路制造(上海)有限公司 一种形成接触孔的方法
CN103021912A (zh) * 2012-12-24 2013-04-03 中微半导体设备(上海)有限公司 半导体刻蚀装置及半导体结构的刻蚀方法
CN104241355A (zh) * 2013-06-09 2014-12-24 中芯国际集成电路制造(上海)有限公司 半导体器件及其形成方法
CN104241355B (zh) * 2013-06-09 2017-06-13 中芯国际集成电路制造(上海)有限公司 半导体器件及其形成方法
CN104752351A (zh) * 2013-12-30 2015-07-01 中芯国际集成电路制造(上海)有限公司 半导体器件的形成方法
CN104752181A (zh) * 2013-12-30 2015-07-01 中芯国际集成电路制造(上海)有限公司 去除伪栅的方法
CN104752181B (zh) * 2013-12-30 2018-07-10 中芯国际集成电路制造(上海)有限公司 去除伪栅的方法
CN104752351B (zh) * 2013-12-30 2019-03-29 中芯国际集成电路制造(上海)有限公司 半导体器件的形成方法
CN105097524A (zh) * 2014-05-04 2015-11-25 中芯国际集成电路制造(上海)有限公司 Mos晶体管的形成方法和cmos晶体管的形成方法
CN105097524B (zh) * 2014-05-04 2018-11-16 中芯国际集成电路制造(上海)有限公司 Mos晶体管的形成方法和cmos晶体管的形成方法

Also Published As

Publication number Publication date
JP2010519758A (ja) 2010-06-03
KR20100014502A (ko) 2010-02-10
JP5774071B2 (ja) 2015-09-02
CN101636822A (zh) 2010-01-27
WO2008103456A2 (en) 2008-08-28
US20080197110A1 (en) 2008-08-21
KR101445299B1 (ko) 2014-09-26
CN101631897B (zh) 2011-10-12
US7718538B2 (en) 2010-05-18
TW200845192A (en) 2008-11-16
TWI458008B (zh) 2014-10-21
JP5374388B2 (ja) 2013-12-25
WO2008103456A3 (en) 2008-10-23
WO2008103456A8 (en) 2009-10-15
JP2014039050A (ja) 2014-02-27

Similar Documents

Publication Publication Date Title
CN101631897B (zh) 用于蚀刻半导体结构的具有脉冲样品偏压的脉冲等离子体系统
TWI452624B (zh) 具有脈衝反應氣體補充以用於蝕刻半導體結構之脈衝電漿系統
US7737042B2 (en) Pulsed-plasma system for etching semiconductor structures
CN105190853B (zh) 通过选择性循环蚀刻形成的finFET隔离
KR102436638B1 (ko) Arc 층 에칭 동안의 거칠기 개선 및 선택비 향상을 위한 방법
CN100555577C (zh) 半导体器件的制造方法
CN101572229A (zh) 多晶硅表面平坦化的方法
US11398386B2 (en) Plasma etch processes
US20050095783A1 (en) Formation of a double gate structure
KR101470292B1 (ko) 반도체 구조물을 에칭하기 위한 펄스화 반응 가스를 보충하는 펄스화 플라즈마 시스템
WO2008103454A2 (en) Pulsed plasma system for etching semiconductor structures
Tabara The effect of etching gases on notching and charging in high-density plasma
Tabara Effects of etching gases and bias frequency on notching and charging in high-density plasma
JPH02309633A (ja) ドライエツチング方法
JP3257040B2 (ja) ドライエッチング方法
Reed et al. Size‐dependent photoluminescence energy and intensity of selective electron cyclotron resonance‐etched strained InGaAs/GaAs quantum boxes
JP3193853B2 (ja) 半導体装置の製造方法
KR980012064A (ko) 단결성 실리콘 에칭 방법
Tabara Effects of low energy light ions on notching and charging in high-density plasma [etching]
JP2002075967A (ja) 試料の表面加工方法
Tabara A method for reducing notching and electron shading damage in a continuous wave ECR metal etcher
KR20050063101A (ko) 반도체 소자의 제조 방법
JP2004134521A (ja) 半導体装置の製造方法

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
C56 Change in the name or address of the patentee
CP01 Change in the name or title of a patent holder

Address after: American California

Patentee after: Applied Materials Inc.

Address before: American California

Patentee before: Applied Materials Inc.

CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20111012

Termination date: 20160221

CF01 Termination of patent right due to non-payment of annual fee