CN101652826B - 通过电镀形成垂直器件的方法 - Google Patents

通过电镀形成垂直器件的方法 Download PDF

Info

Publication number
CN101652826B
CN101652826B CN2008800016534A CN200880001653A CN101652826B CN 101652826 B CN101652826 B CN 101652826B CN 2008800016534 A CN2008800016534 A CN 2008800016534A CN 200880001653 A CN200880001653 A CN 200880001653A CN 101652826 B CN101652826 B CN 101652826B
Authority
CN
China
Prior art keywords
metal
ild layer
vertical
composition
contact pad
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
CN2008800016534A
Other languages
English (en)
Other versions
CN101652826A (zh
Inventor
H·德利吉安尼
黄强
J·P·赫梅尔
L·T·罗曼基夫
M·B·罗思韦尔
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Core Usa Second LLC
GlobalFoundries Inc
Original Assignee
International Business Machines Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by International Business Machines Corp filed Critical International Business Machines Corp
Publication of CN101652826A publication Critical patent/CN101652826A/zh
Application granted granted Critical
Publication of CN101652826B publication Critical patent/CN101652826B/zh
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01HELECTRIC SWITCHES; RELAYS; SELECTORS; EMERGENCY PROTECTIVE DEVICES
    • H01H51/00Electromagnetic relays
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/76879Filling of holes, grooves or trenches, e.g. vias, with conductive material by selective deposition of conductive material in the vias, e.g. selective C.V.D. on semiconductor material, plating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/288Deposition of conductive or insulating materials for electrodes conducting electric current from a liquid, e.g. electrolytic deposition
    • H01L21/2885Deposition of conductive or insulating materials for electrodes conducting electric current from a liquid, e.g. electrolytic deposition using an external electrical current, i.e. electro-deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S205/00Electrolysis: processes, compositions used therein, and methods of preparing the compositions
    • Y10S205/924Electrolytic coating substrate predominantly comprised of specified synthetic resin
    • Y10S205/925Synthetic resin is electrically conductive

Abstract

本发明涉及一种用于通过电镀形成垂直导电结构的方法。具体地,首先形成模板结构,该模板结构包括基板、位于基板表面上的分离的金属接触垫、在分离的金属接触垫和基板上的级间电介质(ILD)层、以及穿过ILD层延伸到分离的金属接触垫上的金属过孔结构。接着,在模板结构中形成垂直过孔,该垂直过孔穿过ILD层延伸到分离的金属接触垫上。然后,通过电镀在垂直过孔中形成垂直导电结构,其中通过金属过孔结构将电镀电流施加到分离的金属接触垫,来进行所述电镀。优选地,模板结构包括多个分离的金属接触垫、多个金属过孔结构和用于形成多个垂直导电结构的多个垂直过孔。

Description

通过电镀形成垂直器件的方法
技术领域
本发明涉及通过电镀形成垂直器件,更具体地,涉及使用电镀技术形成垂直结构。
背景技术
电镀,也被称为电沉积,已经广泛用于半导体制造中的金属化。电镀尤其用于形成深金属过孔,这些深金属过孔延伸穿过级间电介质(ILD)层以连接不同金属级中的金属接触。
一种常用于半导体制造工艺中的铜金属化的常规电镀方法被称为镶嵌或超填充(superfilling)方法,如在名称为“METHOD OF MAKINGELECTROPLATED INTERCONNECTION STURCTURES ONINTEGRATED CIRCUIT CHIPS”的美国专利No.6,709,562中所公开并在此通过图1A-1C进行示例。首先,形成模板结构(template structure),其包括基板100和级间电介质(ILD)层101,如图1A所示。基板100可以由具有微弱导电性或几乎没有导电性的绝缘体或半导体形成。在ILD层101中包括深过孔102,深过孔102可以通过公知的光刻和蚀刻技术容易地形成。接着,在整个模板结构上沉积连续的金属籽晶层103,如图1B所示。金属籽晶层103同时覆盖ILD层101的顶部表面和深过孔102的侧壁和底表面。金属籽晶层103包括一个或多个接触(未示出),通过该接触可以将电镀电流施加给金属籽晶层103。然后通过使用特定的电镀化学试剂进行对模板结构的电镀,其优先在ILD层101的深过孔102中沉积金属104(即在与在ILD层101的顶部表面上沉积金属的速率相比显著高的速率下在深过孔102中沉积金属104),从而形成没有孔隙的金属布线结构,如图1C所示。
上述超填充方法的优点在于用单种元素或者两种以上不同元素的均质合金填充过孔。然而,由于以下几个原因,超填充方法不能用于形成沿其纵轴具有变化组分的柱。首先,在该方法中的电镀步骤同时在深过孔102的底表面和侧壁上进行。因此,超填充方法只能用于形成组分均匀的结构(即贯穿整个结构包括相同金属或金属合金的结构),而不能形成组分变化的结构(沿纵向包括不同材料组分的交替层的结构)。此外,超填充方法需要特定的电镀化学试剂,其包含多种不同的添加剂,每种添加剂给金属镀敷速率施加不同的影响,以综合实现优先的金属沉积。因此,超填充方法到目前为止只用于镀敷单种金属例如铜,而不能用于镀敷多种金属的金属合金或交替层,因为具有与在特定的电镀化学试剂中的不同添加剂将如何影响不同金属的镀敷速率相关的不确定性。
另一种常用于形成金属布线结构的常规电镀方法被称为掩模镀敷(plating through mask)方法,通过图2A-2B进行示例。在该方法中,首先在基板200的表面上沉积连续的金属籽晶层203,接着在金属籽晶层203上沉积具有相对低的导电性的光致抗蚀剂、电介质或掺杂半导体的级间层201。接着,在级间层201中通过光刻和蚀刻形成深过孔202,如图2A所示。在随后的电镀期间,对金属籽晶层203施加电镀电流,以在深过孔202的底表面上沉积金属204,并逐渐填充深过孔202,从而形成垂直金属布线结构,如图2B所示。
掩模镀敷方法是自底向上(bottom-up)填充工艺,其不仅可以用于形成包括单种金属的金属布线结构,而且可以用于形成包括金属合金的结构。此外,该方法可以用于形成组分变化的结构,沿该结构的纵轴包括不同材料组分的交替层。
然而,常规掩模镀敷或自底向上镀敷工艺的一个主要缺点在于需要连续的金属籽晶层203。因为金属籽晶层203在基板200的整个表面上连续并连接所有的金属布线结构,这些金属布线不能相互独立地起作用,因此不能用于形成分隔的电子器件,除非有选择地去除金属籽晶层203。然而,因为金属籽晶层203被夹在级间层201和基板200之间,在不破坏或损坏级间层201和基板200的情况下几乎不可能将其去除。
因此,持续需要用于形成垂直器件结构的改进方法。更重要地,需要用于形成包括不同导电材料的合金或交替层的分隔的垂直器件结构的改进方法。
发明内容
本发明采用分离的金属接触垫和金属过孔,用于在电镀步骤期间施加电镀电流。该分离的金属接触垫和金属过孔保留作为最终器件的部分,并因此允许形成包括不同导电材料的合金或不同导电材料的交替层的垂直导电结构,而不需要将所有的垂直导电结构连接在一起,或者不会影响每个垂直导电结构的独立功能。由此,所得到的垂直导电结构可以容易地用于形成分离的电子器件。
在一个方面,本发明涉及一种方法,其包括以下步骤:
形成模板结构,所述模板结构包括基板、位于所述基板的顶部表面上的分离的金属接触垫、覆盖所述基板和所述金属接触垫的级间电介质(ILD)层、以及穿过所述ILD层延伸到所述分离的金属接触垫上的金属过孔结构;
在所述模板结构中形成垂直过孔,其中所述垂直过孔穿过所述ILD层延伸到所述分离的金属接触垫上;以及
通过电镀在所述垂直过孔中形成垂直导电结构,其中通过所述金属过孔结构对所述ILD层下的所述分离的金属接触垫施加电镀电流,来进行所述电镀。
优选但不是必需地,所述垂直导电结构包括一种或多种铁磁性金属。更优选地,所述垂直导电结构包括不同铁磁性金属的交替层。可选地,所述垂直导电结构可以包括导电聚合物或掺杂的半导体材料。
上述模板结构包括位于所述基板的上表面上的单个金属接触垫,并具有延伸到金属接触垫的单个金属过孔结构和单个垂直过孔,用于形成单个垂直导电结构。更优选地,本发明的模板结构包括位于所述基板的上表面上的多个分离的金属接触垫,并具有延伸到金属接触垫的多个金属过孔结构和多个垂直过孔。由此,随后可以通过电镀在单个晶片或芯片中形成多个垂直导电结构,而所得到的垂直导电结构不会相互互连。
在本发明的具体实施例中,模板结构还包括构图的金属层,所述构图的金属层位于所述ILD层的上表面上并与所有的所述金属过孔结构电连接,从而可以通过所述构图的金属层和所述多个金属过孔结构,对所述多个分离的金属接触垫施加电镀电流,来进行电镀。
优选地,在形成所述垂直过孔之前,形成所述构图的金属层。更优选地,通过首先在所述ILD层上沉积覆盖(blanket)金属层,并然后构图所述覆盖金属层以形成多个开口,来形成所述构图的金属层,其中每个开口与多个分离的金属接触垫中的一个垂直对准。
此外,在形成所述多个垂直过孔之前在所述构图的金属层上形成绝缘层,以使随后形成的垂直过孔延伸穿过所述绝缘层和所述ILD层。更优选地,除了在处理单元即晶片或基板的边缘区域之外,所述构图的金属层被所述绝缘层完全覆盖,以便在随后的电镀期间,通过所述边缘区域将电镀电流施加到所述构图的金属层。在所述电镀之后,从所述ILD层的上表面去除所述构图的金属层和所述绝缘层,并可以在其上形成多个表面金属接触,以提供到所述多个垂直导电结构的通路。
在另一个方面,本发明涉及一种器件结构,包括:基板;金属接触垫,其位于所述基板的顶部表面上;级间电介质(ILD)层,其覆盖所述基板和所述金属接触垫;金属过孔结构,其穿过所述ILD层延伸到分离的金属接触垫上;以及垂直导电结构,其穿过所述ILD层延伸到所述分离的金属接触垫上。
通过下面的描述和所附的权利要求书,本发明的其他方面、特征和优点将更加明显。
附图说明
图1A-1C是示例用于形成深金属过孔的常规超填充工艺的处理步骤的截面图。
图2A-2B是示例用于形成深金属过孔的常规掩模镀敷工艺的处理步骤的截面图。
图3是根据本发明的一个实施例的两个电子器件的截面图,每个电子器件包括功能单元、两个辅助单元、位于功能单元的每个端部的金属接触垫和位于不导电基板上的穿过ILD层延伸到金属接触垫的金属过孔结构。金属过孔结构与金属接触垫一起提供到功能单元的通路。
图4-10是示例根据本发明的一个实施例的用于形成图3的器件结构的示例性处理步骤的截面图。
具体实施方式
在下面的描述中,阐述了许多具体细节,例如具体的结构、成分、材料、尺寸、处理步骤和技术,以提供对本发明的彻底理解。然而,本领域的技术人员将意识到,只要不脱离本发明的精神,可以在没有这些具体细节的情况下,或者可以通过用已知的其等价物来替代特定的细节,实施本发明。此外,没有详细描述本领域普通技术人员公知的标准结构或处理步骤,以不使本发明晦涩。
应该理解,当某一要素例如层、区域或基板被称为“在另一个要素上”时,其可以直接在另一个要素上,或者还存在中间要素。相反,当某一要素被称为“直接在另一个要素上”时,不存在中间要素。也应该理解,当某一要素被称为“与另一个要素连接或耦合”时,其可以与另一个要素直接连接或耦合,或者还存在中间要素。相反,当某一要素被称为“直接与另一个要素直接连接或直接耦合”时,不存在中间要素。
这里使用的术语“垂直”是指某一结构或器件位于基板表面上,且该结构或器件的纵轴与基板表面垂直。
这里使用的术语“金属接触”是指有限横向延伸的金属结构。与覆盖整个基板表面或其主要部分的连续金属层不同,金属接触仅在基板表面的在其上不形成随后的器件结构的选定区域上延伸。金属接触可以通过构图连续的金属层来形成。
这里使用的术语“分离的”是指相互隔离且其之间没有重叠的结构。
这里使用的术语“铁磁性材料”是指可以通过施加外部磁场被磁化且在外部磁场除去之后呈现出剩余磁性的任何材料。
如上所述,本发明使用作为集成电路的现有元件的分离的金属接触垫和金属过孔结构,以在用于形成垂直导电结构的电镀期间施加电镀电流。优选但不必需地,所得到的垂直导电结构包含不同导电材料(即金属、导电聚合物或掺杂半导体)的合金或者不同导电材料的交替层。本发明特别地用于形成包括不同材料组分的交替铁磁性层的铁磁性结构。然而,本发明的应用不限于铁磁性结构,而是可以广泛地延伸为包括需要垂直导电结构的任何器件结构。
图3示出了包含具有垂直导电结构305的两个通常电子器件的器件结构的截面图。每个电子器件包括:(1)垂直功能单元,即,垂直导电结构305;(2)导电接触302和304,其位于功能单元305的两端;(3)金属过孔303,其延伸到底部导电接触302上以提供到底部导电接触302的通路;以及(4)特定的辅助元件和相关电路306。辅助元件和电路306可以是读取和写入元件,或者其他用于功能单元305的传感和控制元件。
上述器件结构在不导电基板300上形成,ILD层301位于该不导电基板300上。不导电基板300包括任何合适的不导电材料,优选包括陶瓷、电介质、玻璃或聚合物材料,包括但不限于Al2O3、SiO2、Si3N4和HfO2。此外,不导电基板300可以包括未掺杂的或轻掺杂的半导体材料,包括但不限于Si、SiC、SiGe、SiGeC、Ge合金、GaAs、InAs、InP以及其他III-V族或II-VI族化合物半导体。ILD层301可以包括任何合适的光致抗蚀剂或电介质材料,例如SiO2、Si3N4、HfO2和Al2O3
根据本发明的一个实施例,图3的器件结构可以通过如图4-10所示例的示例性处理步骤形成。
图4示出了模板结构,其包括不导电基板300、ILD层301、底部金属接触302、金属过孔303和辅助元件306(可选)。这样的模板结构可以通过常规的半导体处理和金属化技术容易地形成,在此不对其进行描述以不使本发明晦涩。
接下来,如图5所示,在ILD层301的顶部表面上沉积构图的金属层404。构图的金属层404与所有的金属过孔303形成电接触,并通过该金属过孔303,构图的金属层404与所有的底部金属接触302形成电接触。可以通过首先在ILD层301的整个顶部表面上沉积覆盖金属层(未示出),随后构图覆盖金属层(未示出)以形成多个开口405,容易地形成构图的金属层404。在构图的金属层404中的每个开口405与底部金属接触302中的一个对准,从而限定将要形成垂直导电结构305的位置。可以通过使用任何常规的金属构图技术,例如平版印刷、回蚀刻、剥离等,容易地进行对覆盖金属层(未示出)的构图。
如图6所示,然后在构图的金属层404上沉积绝缘材料层406,以覆盖除了边缘区域407之外的整个层404。绝缘材料层406可以由与ILD层301相同的绝缘材料形成,但通常由不同的绝缘材料形成。在半导体制造工艺中,在同一晶片上同时制造多个器件。因此,边缘区域407表示晶片的边缘区域。由此,在形成绝缘材料层406之后,构图的金属层404仍然可以在边缘区域407处形成通路(access),构图的金属层404反过来通过金属过孔303提供了到达底部金属接触302的通道。
随后,如图7所示,执行构图工艺(优选蚀刻工艺,例如反应离子刻蚀),以形成穿过绝缘层406和ILD层301的垂直过孔(或深过孔)408。垂直过孔408的尺寸和形状限定将要形成的垂直导电结构305的尺寸和形状。垂直过孔408可以是任何尺寸或形状,依赖于最终器件的需求和制造工艺的限制。优选地,每个如此形成的垂直过孔408具有约1微米到约1000微米的深度以及约10nm到约1000nm的截面直径。垂直过孔408可以具有任何合适的截面形状,包括但不限于:圆形、方形、矩形、三角形、多边形、半圆形、椭圆形、环形等。依赖于绝缘层406和ILD层405包括相同的或是不同的绝缘材料,蚀刻工艺可以包括单蚀刻步骤或多蚀刻步骤。
在蚀刻后,通过首先将上述结构浸入电镀溶液并随后通过接触边缘区域407对构图的金属层404施加电镀电流,进行电镀。由此,电镀电流通过构图的金属层404和金属过孔303传送到位于ILD层301的底部表面处的分离的金属接触垫302,从而导电材料305被沉积到分离的金属接触垫302上并以自底向上的方式逐渐填充垂直过孔408。上述电镀溶液可以包括用于沉积单种元素的单种盐或用于沉积合金的不同元素的盐。所产生的结构305可以包括任何适合的导电材料,例如金属、掺杂的半导体、导电聚合物、或其合金,但优选包括金属或金属合金。金属、掺杂的半导体和导电聚合物的电镀是本领域中公知的,因此在此不再详细描述。
优选但不是必需地,电镀溶液包括用于沉积铁磁性金属合金的两种以上的不同铁磁性金属的盐。更优选地,可以通过施加具有高和低电势脉冲的脉冲电镀电流进行电镀,以沉积不同材料组分的交替铁磁性层。
使电镀步骤进行直到垂直过孔408完全被金属填充,从而形成垂直导电结构305,如图8所示。垂直导电结构305的尺寸和形状由垂直过孔408限定。因此,垂直导电结构305也可以具有约1微米到约1000微米的深度以及约10nm到约1000nm的截面直径,其也可以具有任何合适的截面形状,包括但不限于:圆形、方形、矩形、三角形、多边形、半圆形、椭圆形、环形等。
在电镀之后,可以进行多步蚀刻和/或抛光步骤,以去除垂直导电结构305的过生长部分、绝缘层406和构图的金属层404,并平面化具有金属过孔303和新形成的垂直导电结构305的ILD层301的再次暴露的上表面,如图9所示。
接着,在ILD层301的暴露的上表面上沉积构图的绝缘层410,如图10所示。构图的绝缘层410可以包括与ILD层301的材料相同或不同的材料,并且其包括多个开口411,通过开口411,垂直导电结构305和金属过孔303被露出。由此,可以在开口411中形成表面金属接触304,以提高到垂直导电结构305和金属过孔303的通路,从而形成如图3所示的完整器件结构。
注意:尽管图3-10示例性地说明了根据本发明的具体实施例的示例性器件结构和处理步骤,很明显,本领域的技术人员可以容易地修改这些器件结构和处理步骤以适应与上述描述一致的具体的应用要求。例如,尽管如图3-10所示的示例性器件结构中的每一个都包括单个ILD层、两个分离的金属接触垫和两个垂直导电结构,容易理解的是本发明的器件结构可以包括任何数量的ILD层、分离的金属接触垫和垂直导电结构。此外,本发明的器件结构可以容易地用于形成任何这样的半导体器件,所述半导体器件需要具有至少一个底部金属接触的垂直导电结构。
尽管在此参考具体的实施例、特征和方面描述了本发明,但是应该认识到本发明并不限于此,而是可以在效用上延伸到其他的修改、变化、应用和实施例,并且因此所有这些其他的修改、变化、应用和实施例也被认为在本发明的精神和范围内。

Claims (11)

1.一种通过电镀形成垂直器件的方法,包括以下步骤:
形成模板结构,所述模板结构包括基板、与所述基板的顶部表面邻接的多个分离的金属接触垫、覆盖所述基板和所述金属接触垫的级间电介质ILD层、延伸穿过所述ILD层且与所述分离的金属接触垫邻接的金属过孔结构、以及位于所述ILD层的上表面上并与所有的所述金属过孔结构电连接的构图的金属层;
在形成所述金属过孔结构之后在所述模板结构中形成垂直过孔,其中所述垂直过孔至少从所述ILD层的顶部表面穿过所述ILD层延伸到所述分离的金属接触垫的顶部表面;以及
通过电镀填充所述垂直过孔而形成垂直导电结构,其中在电镀期间通过所述构图的金属层和所述金属过孔结构对所述多个分离的金属接触垫施加所述电镀电流。
2.根据权利要求1所述的方法,其中所述垂直导电结构包括至少一种铁磁性金属。
3.根据权利要求2所述的方法,其中所述垂直导电结构包括不同铁磁性金属的交替层。
4.根据权利要求1所述的方法,其中在形成所述多个垂直过孔之前,通过以下步骤形成所述构图的金属层:
在所述ILD层的所述上表面上沉积覆盖金属层;以及
构图所述覆盖金属层以在其中形成多个开口,每个开口与所述多个分离的金属接触垫中的一个垂直对准。
5.根据权利要求4所述的方法,还包括以下步骤:
在形成所述多个垂直过孔之前在所述构图的金属层上形成绝缘层,以使随后形成的垂直过孔延伸穿过所述绝缘层和所述ILD层。
6.根据权利要求5所述的方法,其中除了边缘区域之外,所述构图的金属层被所述绝缘层完全覆盖,并且其中在所述电镀期间,通过所述边缘区域对所述构图的金属层施加所述电镀电流。
7.根据权利要求5所述的方法,还包括以下步骤:
在所述电镀和去除过生长的镀敷材料之后,从所述ILD层的所述上表面去除所述构图的金属层和所述绝缘层。
8.根据权利要求7所述的方法,还包括以下步骤:
在去除所述构图的金属层和所述绝缘层之后在所述ILD层的所述上表面上形成多个表面金属接触,以提供到所述多个垂直导电结构的通路。
9.一种通过电镀形成的器件结构,包括:
基板;
多个分离的金属接触垫,其位于所述基板的顶部表面上;
级间电介质ILD层,其覆盖所述基板和所述金属接触垫;
金属过孔结构,其延伸穿过所述ILD层且与所述分离的金属接触垫邻接;
垂直导电结构,其从所述ILD层的顶部表面延伸穿过所述ILD层且与所述分离的金属接触垫邻接,并且所述垂直导电结构包括至少一种铁磁性金属;以及
构图的金属层,位于所述ILD层的上表面上并与所有的所述金属过孔结构电连接。
10.根据权利要求9所述的器件结构,其中所述垂直导电结构包括不同铁磁性金属的交替层。
11.根据权利要求9所述的器件结构,还包括位于所述ILD层的上表面上的多个表面金属接触,其中所述多个表面金属接触中的每一个与所述多个垂直导电结构中的一个电连接。
CN2008800016534A 2007-01-05 2008-01-02 通过电镀形成垂直器件的方法 Expired - Fee Related CN101652826B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US11/620,497 2007-01-05
US11/620,497 US7608538B2 (en) 2007-01-05 2007-01-05 Formation of vertical devices by electroplating
PCT/US2008/000003 WO2008085805A1 (en) 2007-01-05 2008-01-02 Formation of vertical devices by electroplating

Publications (2)

Publication Number Publication Date
CN101652826A CN101652826A (zh) 2010-02-17
CN101652826B true CN101652826B (zh) 2013-01-02

Family

ID=39594671

Family Applications (1)

Application Number Title Priority Date Filing Date
CN2008800016534A Expired - Fee Related CN101652826B (zh) 2007-01-05 2008-01-02 通过电镀形成垂直器件的方法

Country Status (6)

Country Link
US (2) US7608538B2 (zh)
EP (1) EP2100319B1 (zh)
JP (1) JP5284981B2 (zh)
KR (1) KR101054841B1 (zh)
CN (1) CN101652826B (zh)
WO (1) WO2008085805A1 (zh)

Families Citing this family (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7741218B2 (en) * 2007-02-27 2010-06-22 Freescale Semiconductor, Inc. Conductive via formation utilizing electroplating
US7768809B2 (en) * 2008-10-02 2010-08-03 International Business Machines Corporation Wall nucleation propagation for racetrack memory
US9293366B2 (en) 2010-04-28 2016-03-22 Taiwan Semiconductor Manufacturing Company, Ltd. Through-substrate vias with improved connections
JP2012190900A (ja) * 2011-03-09 2012-10-04 Sony Corp 半導体装置及びその製造方法
US9153483B2 (en) * 2013-10-30 2015-10-06 Taiwan Semiconductor Manufacturing Company, Ltd. Method of semiconductor integrated circuit fabrication
US9899324B1 (en) * 2016-11-28 2018-02-20 Globalfoundries Inc. Structure and method of conductive bus bar for resistive seed substrate plating
US11101175B2 (en) * 2018-11-21 2021-08-24 International Business Machines Corporation Tall trenches for via chamferless and self forming barrier
US20220026705A1 (en) * 2018-11-26 2022-01-27 Corning Incorporated Methods for forming patterned insulating layers on conductive layers and devices manufactured using such methods
KR102546286B1 (ko) 2019-11-08 2023-06-22 씨제이제일제당 (주) 눌은 식감을 갖는 전자레인지 조리용 냉동 포장밥
KR20220053293A (ko) * 2020-10-22 2022-04-29 에스케이하이닉스 주식회사 테스트 더미 패턴을 갖는 반도체 장치, 그것의 제조방법 및 테스트 더미 패턴을 이용한 불량 검사 방법

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6667552B1 (en) * 1999-02-18 2003-12-23 Advanced Micro Devices, Inc. Low dielectric metal silicide lined interconnection system
US6709562B1 (en) * 1995-12-29 2004-03-23 International Business Machines Corporation Method of making electroplated interconnection structures on integrated circuit chips

Family Cites Families (151)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3791858A (en) * 1971-12-13 1974-02-12 Ibm Method of forming multi-layer circuit panels
US3996551A (en) * 1975-10-20 1976-12-07 The United States Of America As Represented By The Secretary Of The Navy Chromium-silicon oxide thin film resistors
JPH02220464A (ja) * 1989-02-22 1990-09-03 Toshiba Corp 半導体装置及びその製造方法
US4933743A (en) * 1989-03-11 1990-06-12 Fairchild Semiconductor Corporation High performance interconnect system for an integrated circuit
US4962058A (en) * 1989-04-14 1990-10-09 International Business Machines Corporation Process for fabricating multi-level integrated circuit wiring structure from a single metal deposit
US5173442A (en) * 1990-07-23 1992-12-22 Microelectronics And Computer Technology Corporation Methods of forming channels and vias in insulating layers
US5191174A (en) * 1990-08-01 1993-03-02 International Business Machines Corporation High density circuit board and method of making same
US5254493A (en) * 1990-10-30 1993-10-19 Microelectronics And Computer Technology Corporation Method of fabricating integrated resistors in high density substrates
US5149615A (en) * 1991-01-08 1992-09-22 The Boeing Company Method for producing a planar surface on which a conductive layer can be applied
CA2059020C (en) * 1991-01-09 1998-08-18 Kohji Kimbara Polyimide multilayer wiring board and method of producing same
US5440805A (en) * 1992-03-09 1995-08-15 Rogers Corporation Method of manufacturing a multilayer circuit
US5284801A (en) * 1992-07-22 1994-02-08 Vlsi Technology, Inc. Methods of moisture protection in semiconductor devices utilizing polyimides for inter-metal dielectric
US5508938A (en) * 1992-08-13 1996-04-16 Fujitsu Limited Special interconnect layer employing offset trace layout for advanced multi-chip module packages
US5329695A (en) * 1992-09-01 1994-07-19 Rogers Corporation Method of manufacturing a multilayer circuit board
US5386627A (en) * 1992-09-29 1995-02-07 International Business Machines Corporation Method of fabricating a multi-layer integrated circuit chip interposer
US5453402A (en) * 1992-12-15 1995-09-26 Advanced Micro Devices, Inc. Selective metal via plug growth technology for deep sub-micrometer ULSI
US5382759A (en) * 1993-09-28 1995-01-17 Trw Inc. Massive parallel interconnection attachment using flexible circuit
US5427979A (en) * 1993-10-18 1995-06-27 Vlsi Technology, Inc. Method for making multi-level antifuse structure
JPH08139194A (ja) * 1994-04-28 1996-05-31 Texas Instr Inc <Ti> 半導体デバイス上に電気接続を作製する方法および該方法により作製された電気接続を有する半導体デバイス
US5489547A (en) * 1994-05-23 1996-02-06 Texas Instruments Incorporated Method of fabricating semiconductor device having polysilicon resistor with low temperature coefficient
US5625232A (en) * 1994-07-15 1997-04-29 Texas Instruments Incorporated Reliability of metal leads in high speed LSI semiconductors using dummy vias
US5413962A (en) * 1994-07-15 1995-05-09 United Microelectronics Corporation Multi-level conductor process in VLSI fabrication utilizing an air bridge
US5550399A (en) * 1994-11-03 1996-08-27 Kabushiki Kaisha Toshiba Integrated circuit with windowed fuse element and contact pad
DE4441898C1 (de) * 1994-11-24 1996-04-04 Siemens Ag Verfahren zur Herstellung eines Halbleiterbauelementes
US5563762A (en) * 1994-11-28 1996-10-08 Northern Telecom Limited Capacitor for an integrated circuit and method of formation thereof, and a method of adding on-chip capacitors to an integrated circuit
US5576240A (en) * 1994-12-09 1996-11-19 Lucent Technologies Inc. Method for making a metal to metal capacitor
US5708559A (en) * 1995-10-27 1998-01-13 International Business Machines Corporation Precision analog metal-metal capacitor
US5900668A (en) * 1995-11-30 1999-05-04 Advanced Micro Devices, Inc. Low capacitance interconnection
US5674787A (en) * 1996-01-16 1997-10-07 Sematech, Inc. Selective electroless copper deposited interconnect plugs for ULSI applications
US6057224A (en) * 1996-03-29 2000-05-02 Vlsi Technology, Inc. Methods for making semiconductor devices having air dielectric interconnect structures
US5841193A (en) * 1996-05-20 1998-11-24 Epic Technologies, Inc. Single chip modules, repairable multichip modules, and methods of fabrication thereof
US5792706A (en) * 1996-06-05 1998-08-11 Advanced Micro Devices, Inc. Interlevel dielectric with air gaps to reduce permitivity
US5874770A (en) * 1996-10-10 1999-02-23 General Electric Company Flexible interconnect film including resistor and capacitor layers
JPH10303372A (ja) * 1997-01-31 1998-11-13 Sanyo Electric Co Ltd 半導体集積回路およびその製造方法
US6277728B1 (en) * 1997-06-13 2001-08-21 Micron Technology, Inc. Multilevel interconnect structure with low-k dielectric and method of fabricating the structure
US6037248A (en) * 1997-06-13 2000-03-14 Micron Technology, Inc. Method of fabricating integrated circuit wiring with low RC time delay
US6333255B1 (en) * 1997-08-21 2001-12-25 Matsushita Electronics Corporation Method for making semiconductor device containing low carbon film for interconnect structures
JPH11195711A (ja) * 1997-10-27 1999-07-21 Seiko Epson Corp 半導体装置およびその製造方法
JP2002509354A (ja) * 1997-12-16 2002-03-26 インフィネオン テクノロジース アクチエンゲゼルシャフト 電気集積回路および該電気集積回路を製造する方法
US6081021A (en) * 1998-01-15 2000-06-27 International Business Machines Corporation Conductor-insulator-conductor structure
US6016005A (en) * 1998-02-09 2000-01-18 Cellarosi; Mario J. Multilayer, high density micro circuit module and method of manufacturing same
US6081032A (en) * 1998-02-13 2000-06-27 Texas Instruments - Acer Incorporated Dual damascene multi-level metallization and interconnection structure
US6121073A (en) * 1998-02-17 2000-09-19 Taiwan Semiconductor Manufacturing Company Method for making a fuse structure for improved repaired yields on semiconductor memory devices
US6124198A (en) * 1998-04-22 2000-09-26 Cvc, Inc. Ultra high-speed chip interconnect using free-space dielectrics
US6239491B1 (en) * 1998-05-18 2001-05-29 Lsi Logic Corporation Integrated circuit structure with thin dielectric between at least local interconnect level and first metal interconnect level, and process for making same
KR100635685B1 (ko) * 1998-05-25 2006-10-17 가부시키가이샤 히타치세이사쿠쇼 반도체장치 및 그 제조방법
US6921962B1 (en) * 1998-12-18 2005-07-26 Texas Instruments Incorporated Integrated circuit having a thin film resistor located within a multilevel dielectric between an upper and lower metal interconnect layer
US6194233B1 (en) * 1998-08-21 2001-02-27 International Business Machines Corporation Integrated circuit and method of manufacture for avoiding damage by electrostatic charge
US6100155A (en) * 1998-09-10 2000-08-08 Chartered Semiconductor Manufacturing, Ltd. Metal-oxide-metal capacitor for analog devices
US6225207B1 (en) * 1998-10-01 2001-05-01 Applied Materials, Inc. Techniques for triple and quadruple damascene fabrication
US6066557A (en) * 1998-12-09 2000-05-23 Advanced Micro Devices, Inc. Method for fabricating protected copper metallization
US6268276B1 (en) * 1998-12-21 2001-07-31 Chartered Semiconductor Manufacturing Ltd. Area array air gap structure for intermetal dielectric application
US6495442B1 (en) * 2000-10-18 2002-12-17 Magic Corporation Post passivation interconnection schemes on top of the IC chips
US6303423B1 (en) * 1998-12-21 2001-10-16 Megic Corporation Method for forming high performance system-on-chip using post passivation process
TW430943B (en) * 1999-01-08 2001-04-21 Nippon Electric Co Method of forming contact or wiring in semiconductor device
US6200629B1 (en) * 1999-01-12 2001-03-13 United Microelectronics Corp. Method of manufacturing multi-layer metal capacitor
US6207553B1 (en) * 1999-01-26 2001-03-27 Advanced Micro Devices, Inc. Method of forming multiple levels of patterned metallization
US6180976B1 (en) * 1999-02-02 2001-01-30 Conexant Systems, Inc. Thin-film capacitors and methods for forming the same
US6245658B1 (en) * 1999-02-18 2001-06-12 Advanced Micro Devices, Inc. Method of forming low dielectric semiconductor device with rigid, metal silicide lined interconnection system
US6556962B1 (en) * 1999-07-02 2003-04-29 Intel Corporation Method for reducing network costs and its application to domino circuits
US6413854B1 (en) * 1999-08-24 2002-07-02 International Business Machines Corp. Method to build multi level structure
KR20010075693A (ko) * 1999-09-10 2001-08-09 롤페스 요하네스 게라투스 알베르투스 자기 스위치 자계가 감소된 자기저항 센서 또는 메모리 소자
US6525921B1 (en) * 1999-11-12 2003-02-25 Matsushita Electric Industrial Co., Ltd Capacitor-mounted metal foil and a method for producing the same, and a circuit board and a method for producing the same
TW512653B (en) * 1999-11-26 2002-12-01 Ibiden Co Ltd Multilayer circuit board and semiconductor device
JP3967544B2 (ja) * 1999-12-14 2007-08-29 株式会社東芝 Mimキャパシタ
US7211512B1 (en) * 2000-01-18 2007-05-01 Micron Technology, Inc. Selective electroless-plated copper metallization
US6492257B1 (en) * 2000-02-04 2002-12-10 Advanced Micro Devices, Inc. Water vapor plasma for effective low-k dielectric resist stripping
US7335603B2 (en) * 2000-02-07 2008-02-26 Vladimir Mancevski System and method for fabricating logic devices comprising carbon nanotube transistors
US6815329B2 (en) * 2000-02-08 2004-11-09 International Business Machines Corporation Multilayer interconnect structure containing air gaps and method for making
DE10008573A1 (de) * 2000-02-24 2001-09-13 Infineon Technologies Ag Halbleiterbauelement und Herstellungsverfahren
US7771630B2 (en) * 2000-02-24 2010-08-10 The Regents Of The University Of California Precise fabrication of polymer microlens arrays
US6344125B1 (en) * 2000-04-06 2002-02-05 International Business Machines Corporation Pattern-sensitive electrolytic metal plating
US6423629B1 (en) * 2000-05-31 2002-07-23 Kie Y. Ahn Multilevel copper interconnects with low-k dielectrics and air gaps
JP2002009248A (ja) * 2000-06-26 2002-01-11 Oki Electric Ind Co Ltd キャパシタおよびその製造方法
US6501180B1 (en) * 2000-07-19 2002-12-31 National Semiconductor Corporation Structure and method for controlling copper diffusion and for utilizing low K materials for copper interconnects in integrated circuit structures
JP2002050011A (ja) * 2000-08-03 2002-02-15 Nec Corp 磁気抵抗効果素子、磁気抵抗効果ヘッド、磁気抵抗変換システム及び磁気記録システム
US6313003B1 (en) * 2000-08-17 2001-11-06 Taiwan Semiconductor Manufacturing Company Fabrication process for metal-insulator-metal capacitor with low gate resistance
US6500724B1 (en) * 2000-08-21 2002-12-31 Motorola, Inc. Method of making semiconductor device having passive elements including forming capacitor electrode and resistor from same layer of material
US6365480B1 (en) * 2000-11-27 2002-04-02 Analog Devices, Inc. IC resistor and capacitor fabrication method
US6426268B1 (en) * 2000-11-28 2002-07-30 Analog Devices, Inc. Thin film resistor fabrication method
US6696360B2 (en) * 2001-03-15 2004-02-24 Micron Technology, Inc. Barrier-metal-free copper damascene technology using atomic hydrogen enhanced reflow
US6465294B1 (en) * 2001-03-16 2002-10-15 Taiwan Semiconductor Manufacturing Company Self-aligned process for a stacked gate RF MOSFET device
US6468894B1 (en) * 2001-03-21 2002-10-22 Advanced Micro Devices, Inc. Metal interconnection structure with dummy vias
US6984892B2 (en) * 2001-03-28 2006-01-10 Lam Research Corporation Semiconductor structure implementing low-K dielectric materials and supporting stubs
US6605525B2 (en) * 2001-05-01 2003-08-12 Industrial Technologies Research Institute Method for forming a wafer level package incorporating a multiplicity of elastomeric blocks and package formed
US6674664B2 (en) * 2001-05-07 2004-01-06 Nve Corporation Circuit selected joint magnetoresistive junction tunneling-giant magnetoresistive effects memory cells
JP2002368196A (ja) * 2001-05-30 2002-12-20 Internatl Business Mach Corp <Ibm> メモリセル、記憶回路ブロック、データの書き込み方法及びデータの読み出し方法
JP4947849B2 (ja) * 2001-05-30 2012-06-06 ルネサスエレクトロニクス株式会社 半導体装置およびその製造方法
US6566171B1 (en) * 2001-06-12 2003-05-20 Lsi Logic Corporation Fuse construction for integrated circuit structure having low dielectric constant dielectric material
US6930256B1 (en) * 2002-05-01 2005-08-16 Amkor Technology, Inc. Integrated circuit substrate having laser-embedded conductive patterns and method therefor
JP4604403B2 (ja) * 2001-06-25 2011-01-05 パナソニック株式会社 固体電解コンデンサの製造方法
US20030073302A1 (en) * 2001-10-12 2003-04-17 Reflectivity, Inc., A California Corporation Methods for formation of air gap interconnects
FR2832224B1 (fr) * 2001-11-15 2004-01-16 Commissariat Energie Atomique Dispositif electronique monolithique multicouches et procede de realisation d'un tel dispositif
TW544882B (en) * 2001-12-31 2003-08-01 Megic Corp Chip package structure and process thereof
US6881999B2 (en) * 2002-03-21 2005-04-19 Samsung Electronics Co., Ltd. Semiconductor device with analog capacitor and method of fabricating the same
JP4047615B2 (ja) * 2002-04-03 2008-02-13 株式会社ルネサステクノロジ 磁気記憶装置
JP2003318269A (ja) * 2002-04-24 2003-11-07 Mitsubishi Electric Corp 半導体装置およびその製造方法
US7260890B2 (en) * 2002-06-26 2007-08-28 Georgia Tech Research Corporation Methods for fabricating three-dimensional all organic interconnect structures
JP2004079936A (ja) * 2002-08-22 2004-03-11 Fujitsu Ltd 強磁性トンネル接合を有する積層膜、その製造方法、磁気センサ、磁気記録装置、及び、磁気メモリ装置
JP3983146B2 (ja) * 2002-09-17 2007-09-26 Necエレクトロニクス株式会社 多層配線基板の製造方法
US6919637B2 (en) * 2002-09-30 2005-07-19 Intel Corporation Interconnect structure for an integrated circuit and method of fabrication
US6784478B2 (en) * 2002-09-30 2004-08-31 Agere Systems Inc. Junction capacitor structure and fabrication method therefor in a dual damascene process
US6902981B2 (en) * 2002-10-10 2005-06-07 Chartered Semiconductor Manufacturing Ltd Structure and process for a capacitor and other devices
US6998327B2 (en) * 2002-11-19 2006-02-14 International Business Machines Corporation Thin film transfer join process and multilevel thin film module
JP3851607B2 (ja) * 2002-11-21 2006-11-29 ローム株式会社 半導体装置の製造方法
US6972209B2 (en) * 2002-11-27 2005-12-06 International Business Machines Corporation Stacked via-stud with improved reliability in copper metallurgy
KR100505658B1 (ko) * 2002-12-11 2005-08-03 삼성전자주식회사 MIM(Metal-Insulator-Metal)커패시터를 갖는 반도체 소자
US6710443B1 (en) * 2002-12-20 2004-03-23 Texas Instruments Incorporated Integrated circuit providing thermally conductive structures substantially horizontally coupled to one another within one or more heat dissipation layers to dissipate heat from a heat generating structure
JP2004214459A (ja) * 2003-01-06 2004-07-29 Sony Corp 不揮発性磁気メモリ装置及びその製造方法
FR2851373B1 (fr) * 2003-02-18 2006-01-13 St Microelectronics Sa Procede de fabrication d'un circuit electronique integre incorporant des cavites
JP4419408B2 (ja) * 2003-03-14 2010-02-24 Tdk株式会社 磁気抵抗効果素子および磁気メモリデバイス
US6897148B2 (en) * 2003-04-09 2005-05-24 Tru-Si Technologies, Inc. Electroplating and electroless plating of conductive materials into openings, and structures obtained thereby
FR2855323A1 (fr) * 2003-05-20 2004-11-26 St Microelectronics Sa Procede de realisation d'un circuit electronique integre comprenant un condensateur
US6713835B1 (en) * 2003-05-22 2004-03-30 International Business Machines Corporation Method for manufacturing a multi-level interconnect structure
US7108797B2 (en) 2003-06-10 2006-09-19 International Business Machines Corporation Method of fabricating a shiftable magnetic shift register
US6806096B1 (en) 2003-06-18 2004-10-19 Infineon Technologies Ag Integration scheme for avoiding plasma damage in MRAM technology
US6964908B2 (en) * 2003-08-19 2005-11-15 International Business Machines Corporation Metal-insulator-metal capacitor and method of fabricating same
US7675075B2 (en) * 2003-08-28 2010-03-09 Panasonic Corporation Semiconductor light emitting device, light emitting module, lighting apparatus, display element and manufacturing method of semiconductor light emitting device
FR2859822B1 (fr) * 2003-09-16 2006-05-05 Commissariat Energie Atomique Structure d'interconnexion a faible constante dielectrique
KR100545202B1 (ko) * 2003-10-06 2006-01-24 동부아남반도체 주식회사 캐패시터 제조 방법
US7329953B2 (en) * 2003-10-29 2008-02-12 Taiwan Semiconductor Manufacturing Co., Ltd. Structure for reducing leakage currents and high contact resistance for embedded memory and method for making same
JP2005217346A (ja) * 2004-02-02 2005-08-11 Matsushita Electric Ind Co Ltd 半導体装置およびその製造方法
US7052932B2 (en) * 2004-02-24 2006-05-30 Chartered Semiconductor Manufacturing Ltd. Oxygen doped SiC for Cu barrier and etch stop layer in dual damascene fabrication
US6955926B2 (en) * 2004-02-25 2005-10-18 International Business Machines Corporation Method of fabricating data tracks for use in a magnetic shift register memory device
US6919244B1 (en) * 2004-03-10 2005-07-19 Motorola, Inc. Method of making a semiconductor device, and semiconductor device made thereby
JP4707330B2 (ja) * 2004-03-30 2011-06-22 ルネサスエレクトロニクス株式会社 半導体装置およびその製造方法
US7148531B2 (en) * 2004-04-29 2006-12-12 Nve Corporation Magnetoresistive memory SOI cell
US7223684B2 (en) * 2004-07-14 2007-05-29 International Business Machines Corporation Dual damascene wiring and method
US20060022286A1 (en) 2004-07-30 2006-02-02 Rainer Leuschner Ferromagnetic liner for conductive lines of magnetic memory cells
TWI253700B (en) * 2004-08-03 2006-04-21 Ind Tech Res Inst Image sensor module packaging structure and method thereof
US7397087B2 (en) * 2004-08-06 2008-07-08 International Business Machines Corporation FEOL/MEOL metal resistor for high end CMOS
US7092284B2 (en) * 2004-08-20 2006-08-15 Infineon Technologies Ag MRAM with magnetic via for storage of information and field sensor
JP2006128309A (ja) * 2004-10-27 2006-05-18 Shinko Electric Ind Co Ltd キャパシタ装置及びその製造方法
US7118925B2 (en) * 2004-12-10 2006-10-10 Texas Instruments Incorporated Fabrication of a ferromagnetic inductor core and capacitor electrode in a single photo mask step
JP4621049B2 (ja) * 2005-03-25 2011-01-26 富士通株式会社 配線基板の製造方法
KR100684893B1 (ko) * 2005-03-28 2007-02-20 삼성전자주식회사 자기 메모리 장치 및 그 제조방법
FR2884645B1 (fr) * 2005-04-19 2007-08-10 St Microelectronics Sa Procede de realisation d'un circuit integre comprenant un condensateur
FR2884646B1 (fr) * 2005-04-19 2007-09-14 St Microelectronics Sa Procede de fabrication d'un circuit integre comprenant un condensateur tridimensionnel
US7638385B2 (en) * 2005-05-02 2009-12-29 Semiconductor Components Industries, Llc Method of forming a semiconductor device and structure therefor
US7315248B2 (en) * 2005-05-13 2008-01-01 3M Innovative Properties Company Radio frequency identification tags for use on metal or other conductive objects
US7332403B1 (en) * 2005-07-11 2008-02-19 National Semiconductor Corporation System and method for providing a buried thin film resistor having end caps defined by a dielectric mask
US7416905B2 (en) * 2005-10-17 2008-08-26 International Busniess Machines Corporation Method of fabricating a magnetic shift register
DE102005052052B4 (de) * 2005-10-31 2008-02-07 Advanced Micro Devices, Inc., Sunnyvale Ätzstoppschicht für Metallisierungsschicht mit verbesserter Haftung, Ätzselektivität und Dichtigkeit und Verfahren zur Herstellung eines dielektrischen Schichtstapels
US20070121254A1 (en) * 2005-11-29 2007-05-31 Honeywell International Inc. Protective and conductive layer for giant magnetoresistance
US7687906B2 (en) * 2006-03-31 2010-03-30 Brother Kogyo Kabushiki Kaisha Connecting structure, method for forming bump, and method for producing device-mounting substrate
US7427550B2 (en) * 2006-06-29 2008-09-23 International Business Machines Corporation Methods of fabricating passive element without planarizing
US7738257B2 (en) * 2006-12-13 2010-06-15 Intel Corporation Microelectronic device including bridging interconnect to top conductive layer of passive embedded structure and method of making same
US7692274B2 (en) * 2007-01-04 2010-04-06 Taiwan Semiconductor Manufacturing Co., Ltd. Reinforced semiconductor structures
FR2914498A1 (fr) * 2007-04-02 2008-10-03 St Microelectronics Sa Realisation de condensateurs mim a 3 dimensions dans le dernier niveau de metal d'un circuit integre
US7652379B2 (en) * 2007-07-23 2010-01-26 National Semiconductor Corporation Bond pad stacks for ESD under pad and active under pad bonding
JP2009141237A (ja) * 2007-12-10 2009-06-25 Panasonic Corp 半導体装置及びその製造方法

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6709562B1 (en) * 1995-12-29 2004-03-23 International Business Machines Corporation Method of making electroplated interconnection structures on integrated circuit chips
US6667552B1 (en) * 1999-02-18 2003-12-23 Advanced Micro Devices, Inc. Low dielectric metal silicide lined interconnection system

Also Published As

Publication number Publication date
WO2008085805A1 (en) 2008-07-17
EP2100319B1 (en) 2014-03-19
CN101652826A (zh) 2010-02-17
KR101054841B1 (ko) 2011-08-05
JP5284981B2 (ja) 2013-09-11
EP2100319A4 (en) 2013-04-03
US20090294989A1 (en) 2009-12-03
US20080166874A1 (en) 2008-07-10
EP2100319A1 (en) 2009-09-16
KR20090096453A (ko) 2009-09-10
US8247905B2 (en) 2012-08-21
US7608538B2 (en) 2009-10-27
JP2010516047A (ja) 2010-05-13

Similar Documents

Publication Publication Date Title
CN101652826B (zh) 通过电镀形成垂直器件的方法
KR100298249B1 (ko) 도전성금속으로함몰부를선택적으로충전하는방법
US6605861B2 (en) Semiconductor device
TW578195B (en) A single step electroplating process for interconnect via fill and metal line patterning
KR101577959B1 (ko) 보이드 형성을 방지하는 무전해 도금법을 이용한 전기 배선의 형성 방법
US8580687B2 (en) Semiconductor structure and method for making same
US6340633B1 (en) Method for ramped current density plating of semiconductor vias and trenches
CN102484095B (zh) 半导体衬底中的通孔及导电布线层
US6297157B1 (en) Time ramped method for plating of high aspect ratio semiconductor vias and channels
CN103050477A (zh) 电子器件及其制造方法
CN108074911A (zh) 跳孔结构
JP2008306196A (ja) 融合金属層を使用しているオン抵抗の低い電力用fet
US6909188B2 (en) Semiconductor device and manufacturing method thereof
US8053894B2 (en) Surface treatment of metal interconnect lines
CN100524635C (zh) 电化学处理半导体基片的方法和形成电容器结构的方法
US6380625B2 (en) Semiconductor interconnect barrier and manufacturing method thereof
CA2456301A1 (en) Dummy structures to reduce metal recess in electropolishing process
KR20080047541A (ko) 반도체 장치 상에 캐핑 레이어를 형성하는 방법
US6593237B1 (en) Method for manufacturing a low dielectric constant stop layer for integrated circuit interconnects
CN102832162A (zh) 空气桥立体电路及其制作方法
CN102124553A (zh) 包含要求金属层与衬底之间的电压阈值的工艺的用于制造集成电子电路的工艺
US20040196697A1 (en) Method of improving surface mobility before electroplating
KR100731082B1 (ko) 반도체 소자 제조 방법
KR20070063617A (ko) 구리 금속배선의 더미 패턴 삽입방법
KR20090074511A (ko) 반도체 소자의 제조방법

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
TR01 Transfer of patent right
TR01 Transfer of patent right

Effective date of registration: 20171101

Address after: Grand Cayman, Cayman Islands

Patentee after: GLOBALFOUNDRIES INC.

Address before: American New York

Patentee before: Core USA second LLC

Effective date of registration: 20171101

Address after: American New York

Patentee after: Core USA second LLC

Address before: American New York

Patentee before: International Business Machines Corp.

CF01 Termination of patent right due to non-payment of annual fee
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20130102

Termination date: 20190102