CN101730921A - 基板清洁腔室与其部件 - Google Patents

基板清洁腔室与其部件 Download PDF

Info

Publication number
CN101730921A
CN101730921A CN200880017948A CN200880017948A CN101730921A CN 101730921 A CN101730921 A CN 101730921A CN 200880017948 A CN200880017948 A CN 200880017948A CN 200880017948 A CN200880017948 A CN 200880017948A CN 101730921 A CN101730921 A CN 101730921A
Authority
CN
China
Prior art keywords
substrate
liner
gas
ring
diameter
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN200880017948A
Other languages
English (en)
Other versions
CN101730921B (zh
Inventor
M·瑞克
W·W·王
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of CN101730921A publication Critical patent/CN101730921A/zh
Application granted granted Critical
Publication of CN101730921B publication Critical patent/CN101730921B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32853Hygiene
    • H01J37/32871Means for trapping or directing unwanted particles
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32357Generation remote from the workpiece, e.g. down-stream
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32477Vessel characterised by the means for protecting vessels or internal parts, e.g. coatings
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder
    • H01J37/32724Temperature
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67028Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67103Apparatus for thermal treatment mainly by conduction
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/02Details
    • H01J2237/0203Protection arrangements
    • H01J2237/0213Avoiding deleterious effects due to interactions between particles and tube elements
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/02Details
    • H01J2237/026Shields
    • H01J2237/0268Liner tubes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/20Positioning, supporting, modifying or maintaining the physical state of objects being observed or treated
    • H01J2237/2001Maintaining constant desired temperature
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/335Cleaning
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T29/00Metal working
    • Y10T29/49Method of mechanical manufacture
    • Y10T29/49826Assembling or joining

Abstract

一种基板清洁腔室包含多种部件,例如,一消耗性陶瓷衬垫、基板加热底座、以及工艺套组。该消耗性陶瓷衬垫是经提供以连接一远程气体激发器的出气道至一基板清洁腔室的进气道。该基板加热底座包含一环状板,该环状板具有一基板承接表面,且该基板承接表面具有设置在一凹槽数组内的数个陶瓷球。一工艺套组包含一顶板、顶部衬垫、配气板、底部衬垫、集中环。

Description

基板清洁腔室与其部件
技术领域
本发明是有关于基板清洁腔室与其部件。
背景技术
在例如半导体及显示器的基板处理中,层是形成在该基板上,然后蚀刻以形成特征结构(feature),例如导电内联机、接触、通孔、闸极和阻障。例如,电气内联机的图案可通过在该基板上沉积一含金属的导体、在该导体上形成一图案化抗蚀刻材料、蚀刻该导体以形成该内联机、除去残余的光阻、以及在该蚀刻的特征结构上沉积介电层来制造。可进一步蚀刻该介电层以形成接触孔或通孔,其暴露出下方的含金属导电材料或其它基板层。导电材料然后沉积至该等经蚀刻的孔或沟槽内,以电气接触该下方的导体。例如,在含铜内联机的形成中,可蚀刻该介电层以形成暴露出下方的铜导电材料的接触孔。可在该暴露出的导体和接触孔上沉积一薄的铜晶种层,以促进随后的铜电镀工艺,以填充该等接触孔。
但是,该含金属导体上的污染物和不期望存在的表面材料需要该暴露出的导体表面在执行后续的工艺步骤之前先经清洁。例如,在一中间工艺步骤期间暴露在氧气物种下的导体上常会形成原生氧化膜(native oxidefilm),例如,在一光阻剥除工艺期间,其中使用一含氧气体等离子来剥除光阻,或者是在不同腔室间传送基板时。该等氧化膜增加导体表面之间的接触接口处的电阻。该表面材料也可能有来自先前工艺的残余工艺沉积物,例如含碳、含硅、含氟、及含氮工艺残余物。这些工艺沉积物可使空孔(void)或其它不规则物形成在暴露出的及被沉积的材料之间的接口处。
基板清洁腔室,也称为预清洁腔室,是用来在处理之前以及处理步骤之间从该基板表面清除氧化膜及其它不期望存在的工艺沉积物。在清洁处理期间,该基板是支撑在该清洁腔室内,并且一经激发的清洁气体是经形成在一远程气室内并导入该腔室中。该清洁气体与该等表面残余物反应并将其除去。在某些工艺中,该基板加热底座包含一加热组件,以在清洁期间控制该基板的温度。
但是,在此种清洁处理中使用经激发的清洁气体的一个问题在于难以控制该经激发的的清洁气体的自由基及离子物种的能量。该清洁气体和该基板表面之间的较高能量碰撞可导致对下方基板的损伤。该清洁气体中较轻的离子,例如H+,在其穿透该基板表面而损伤下方介电层时也可能是有害的。因此,希望可以控制导入该工艺腔室内的经激发物种的能量及类型。
另一个问题在于该清洁气体常蚀除并腐蚀围绕一气体激发器内远程的该激发区的远程腔室壁,并且甚至可蚀刻并腐蚀该清洁腔室内部的部件。此种腐蚀损伤这些部件,并且若该部件是该腔室的一整合部分,则必须关闭该腔室以使该部件可在预定的工艺周期数量后被翻修或置换,这是不理想的。习知的不锈钢壁和衬垫特别容易受到腐蚀,而需要频繁的置换或整修。
又另一个问题在该清洁腔室内接触该基板的基板加热底座在该基板传送工艺期间传送污染物和工艺残余物及沉积物至该基板背部或甚至刮伤该基板时发生。含有加热组件的基板加热底座也可能在该基板表面上提供不均匀的加热。拥有由升高的凸形物(mesa)和沟槽组成的基板承接表面的基板加热底座容许一热传气体在该基板后方流动以改善温度均匀性,但仍传送不期望存在的工艺残余物和沉积物数量至该基板。
因此,希望具有一种清洁腔室和气体激发器,其可选择性过滤经激发的气体物种,例如,以从该清洁气体滤掉特定离子物种。也希望具有可轻易置换或整修的腔室部件。更希望具有一种基板加热底座,其使得传送该等工艺沉积物至该基板的背部表面所造成基板的污染最小化。也希望具有一种容许更均匀的基板加热的基板加热底座。
发明内容
本发明提供一种用于连接一远程腔室的出气道至一基板清洁腔室的进气道的消耗性(consumable)陶瓷衬垫。该衬垫包含:一入口圆柱,其外径是按一定尺寸制作以适配至该远程腔室的出气道内;一出口圆柱,与该基板清洁腔室的进气道连接;以及一圆锥形展开部(flare),是将该入口圆柱连结至该出口圆柱。
该衬垫的该圆锥形展开部可包含一圆锥形表面,其由一垂直轴而倾斜约10度~60度。在一实施例中,该圆锥形展开部的长度和该出口圆柱的长度的比例为约1∶2至约1∶8。在一实施例中,该衬垫的该入口圆柱包含一第一直径,而该衬垫的该出口圆柱包含一第二直径,其是第二直径至少是第一直径的1.5倍大。在更一实施例中,第一直径为约1至约4公分,且第二直径为约2至约8公分。在一实施例中,该衬垫包含一陶瓷材料,该陶瓷材料能够从在该远程气体激发器内产生的激发气体中清除一离子物种。在一实施例中,该衬垫是由石英、氧化铝或氮化铝组成,并且甚至厚度可以为约2毫米至约6毫米。该衬垫可更包含一衬垫锁定圆柱,该圆柱是按一定尺寸制作以适配至该出口圆柱的外径周围。
本发明提供一种将一陶瓷衬垫置入一上腔室壁以连接一远程腔室的出气道至一基板清洁腔室的进气道的方法,该陶瓷衬垫包含一入口圆柱、一出口圆柱及一圆锥形展开部,其中,入口圆柱是按一定尺寸制作以适配至该远程腔室的出气道内,出口圆柱则与该基板清洁腔室的进气道连接,圆锥形展开部是将该入口圆柱连结至该出口圆柱。该方法的步骤如下:(a)将一衬垫锁定圆柱设置于该陶瓷衬垫的出口圆柱上方;(b)将一衬垫固持工具滑入该陶瓷衬垫的出口圆柱内,且该衬垫固持工具的外径是按一定尺寸制作以紧夹该出口圆柱的内径;以及(c)抓住该衬垫固持工具,并将该陶瓷衬垫的入口圆柱置入该远程腔室的出气道内。
在一实施例中,该方法更包含:(d)转动该衬垫固持工具以将该锁定圆柱的环状凸缘锁进上腔室壁的匹配环状唇部内。
本发明提供一种用于一基板清洁腔室的基板加热底座。该基板加热底座包含:(a)一环状板,包含:一第一盘状物,具有一基板承接表面,且该基板承接表面具有凹槽的一数组;一第二盘状物,具有一经成形以容纳加热组件的通道;以及一铜焊连结,是连结该第一和第二盘状物;(b)数个陶瓷球,每一个皆设置在该基板承接表面上的一凹槽内;以及(c)一加热组件,嵌设在该环状板内。
该基板加热底座的铜焊连结可包含一铝铜焊化合物。该底座的第一及第二盘状物可包含铝。该底座的该等陶瓷球可由氧化铝、石英、蓝宝石、氮化硅、合成刚石、氧化锆、三氧化二铝、或其混合物组成。在一实施例中,该底座的陶瓷球的直径为约1至约3毫米,并且其直径甚至可大到足以将该基板承接表面维持在比该环状板的顶表面高约0.01毫米至约0.5毫米。
本发明提供一种用于一基板工艺腔室的配气板。该配气板具有:第一孔的一第一环,各个第一孔的直径为d:第二孔的一第二环,各个第二孔的直径为2d,且第二环位于第一环的径向外侧;一第三孔的一第三环,各个第三孔的直径为3d,且第三环是位于第二环的径向外侧;以及第四孔的一第四环,各个第四孔的直径为4d,且第四环是位于第三环的径向外侧。
在配气板的一实施例中,直径d为约1至约5毫米。该配气板可由陶瓷组成,并且甚至可包含氧化铝或氧化硅。
本发明提供一种用于一基板清洁腔室的工艺套组,该基板清洁腔室具有用于支托一配气板的腔室盖,且配气板是面对一基板加热底座。该工艺套组具有:(a)一顶板,用以接触该腔室盖,该石英顶板具有一用以使工艺气体通过其间的孔洞,并且具有一外围边缘;(b)一顶部衬垫,接触该石英顶板的外围边缘,并且是位于该配气板上方;(c)一底部衬垫,位于该配气板下方;以及(d)一集中环,搁置在该基板加热底座的外围边缘上。
在该工艺套组的一实施例中,该顶板、顶部衬垫、底部衬垫和集中环皆包含石英。该工艺套组的顶板可包含一环形盘状物,其具有一外围边缘以及一用以使工艺气体通过其间的孔洞。该顶板的厚度为约1毫米至约5毫米。在一实施例中,该工艺套组的配气板是由陶瓷组成,并且甚至可包含氧化铝或氧化硅。该工艺套组的顶部及底部衬垫可包含圆柱。该工艺套组的集中环可具有一内凸缘,其搁置在该基板加热底座的外围边缘上,该凸缘包含一倾斜的上表面,且该上表面在基板外围处与一垂直表面接合。在更一实施例中,该工艺套组的倾斜上表面包含约85至约100°之间的角度。
附图说明
可关于上面描述、如下附属申请专利范围、以及附图而对本发明的这些特征结构、态样、及优势有更佳的了解,其示出本发明的范例。但是,应了解每一个特征结构均可在本发明中广泛使用,而不仅是在特定图式背景中,并且本发明包含这些特征结构的任意组合,其中:
第1图是包含基板清洁腔室的基板工艺设备的一实施例的剖面侧视图;
第2A图是利用一衬垫锁定圆柱和衬垫固持工具而适配入该清洁腔室的顶板内的消耗性陶瓷衬垫的分解透视图;
第2B图是适配入该清洁腔室的顶板内的陶瓷衬垫和衬垫锁定圆柱的简要侧视图;
第3A图是具有嵌设在该基板承接表面内的陶瓷球的基板加热底座的透视图;
第3B图是具有以铜焊连结的第一和第二盘状物,以及一嵌设的加热组件的第3A图的基板加热底座的剖面简要图;
第4A图是一工艺套组和配气板的分解透视图;
第4B图是一清洁腔室内的工艺套组、配气板和基板加热底座的简要部分剖面图;
第4C图是该配气板的上视图;以及
图5是包含基板清洁腔室的基板工艺设备的简要图。
主要组件符号说明
20基板设备        22基板
24清洁腔室        28a-d  腔室
26多腔室设备      30围壁
32上壁/上腔室壁   34侧壁
36底壁            38处理区
40进气道/气体入口 42(远程)腔室
44排气系统        46排气口
48节流阀                50排气泵
52气体激发器            54(气体激发)区域
56清洁气源              57感应天线
58流量阀                60衬垫
61内表面                62出气道
64入口圆柱              66展开部
68出口圆柱              69、79唇部
70上端                  71锁定圆柱
72下端/出口端           73壁/凸缘
74表面                  75榫
76离子过滤器            77榫部分
78、170控制器           80底座
81衬垫固持工具          82板
84、86(基板承接)表面    88凹槽
90球                    92加热组件
94、96盘状物            98通道
100接线(柱)             102中心
104铜焊连结             106侦测器
110支杆                 112部件
114工艺套组             116顶板
118顶部衬垫             119机器手
120配气板               122底部衬垫
124集中环               126盘状物
128、142外围边缘        130孔洞
132上缘                 134顶表面
136底表面               139a-d环
140孔                   140a-d孔
144顶部区               148内凸缘
150倾斜上表面           151垂直表面
152脚部        154外凸部
156负载锁定室  158卡匣
160侧壁        162机器 手
164排气口
具体实施方式
第1图标出一基板设备20的一实施例,其包含适于清洁一基板22的清洁腔室24。如图所示的该清洁腔室24是适于清洁基板22,例如半导体晶片;但是,该清洁腔室24可经熟知技艺者调整而适于清洁其它基板22,例如平面显示器、聚合物面板、或其它电路容纳结构。因此,本发明的范围不应被限制在此所示的该清洁腔室的例示实施例。一般来说,该清洁腔室24包含一或多个围壁30,其可包含一上壁32、侧壁34、以及一底壁36,并且其包围一处理区38。从一远程腔室42提供经激发的清洁气体至该清洁腔室24的进气道40。该清洁气体与基板22及该腔室24内的其它表面反应。废气及副产物透过一排气系统44而从该腔室24排出,排气系统44可包含一排气口46,其接收来自该处理区38的气体,并且也可包含一节流阀48,以控制该腔室24内的气体压力,以及一或多个排气泵50,例如涡轮分子排气泵。该排气系统44可以是能够在该腔室24内保持次大气压(sub-atmospheric pressure)。
一种适于在远程激发该清洁气体的远程腔室42包含一远程气体激发器52,其耦合能量至一气体激发区域54。一清洁气源56提供一清洁气体至该气体激发区域54。可提供一流量阀58以控制进入该远程腔室42的清洁气体的流速。该气体激发器52耦合能量至该气体激发区域54内的清洁气体,以形成含有离子及自由基物种的经激发的清洁气体。该气体激发器52可耦合,例如,RF或微波能量至该清洁气体。在一态样中,该远程气体激发器52包含一感应天线57,其以,例如,约100瓦至约10千瓦的功率层级而感应耦合RF能量至该气体激发区域54内的清洁气体。该气体激发器52也可以是一超环面气体激发器,以耦合能量至该远程气体激发区域54内的清洁气体,如同例如在Smith等人的美国专利第6,150,628号中所描述者,其在此通过引用的方式并且以其整体并入本文中。由该环状气体激发器所施加的适当RF功率层级可以从约1000瓦至约10,000瓦。也可使用包含一微波气体活化器的远程气体激发器52,其提供从约300瓦至约5千瓦的微波功率层级。
一消耗性的陶瓷衬垫60连结该远程气体激发器52的出气道62至该腔室24的进气道40,如第2A和2B图所示者。通过以该衬垫60的至少一部分表面覆盖通道40、62的内表面而保护该等通道40、62,以使该衬垫60的内表面61暴露在该激发气体物种下。该衬垫60包含一入口圆柱64,且入口圆柱64的外径是按一定尺寸制作以适配至该远程气体激发器的出气道62内。在一态样中,该入口圆柱64的长度为L,其足够长而可从该远程腔室42延伸出至少约50毫米的距离。且该长度L是足够短以在该腔室24的气体入口40末端之前至少约1毫米处终止。在一态样中,该入口圆柱64的长度L为约100至约110毫米,以及直径为约1公分至约4公分之间。
一圆锥形展开部66连结该入口圆柱64至一出口圆柱68。该圆锥形展开部66包含一管,其直径是沿着一圆锥形表面越过该展开部66的长度而增加。该圆锥形展开部66具有一上端70和一下端72。该圆锥形展开部66的上端70的外径的尺寸是经订制以对应于在该圆锥形展开部66和该入口圆柱64之间的接合处的该入口圆柱64的外径。该圆锥形展开部66的下端72的外径的尺寸是经订制以对应于在该圆锥形展开部66和该出口圆柱68之间的接合处的该出口圆柱68的外径。该圆锥形展开部66的下端72的直径是较该圆锥形展开部66的上端70的直径大至少1.5倍。在一态样中,该入口圆柱64、该圆锥形展开部66和该出口圆柱68是一体连接。
该圆锥形展开部66是作为逐渐增加该衬垫60在该上端70及下端72之间的内部空间的直径,以提供进入该工艺腔室的激发气体物种更均匀的分配。直径的骤然改变咸信会造成来自该衬垫出口的气体分配不均匀。该圆锥形展开部66的直径从该入口圆柱64的第一直径朝该出口圆柱68的第二直径渐成锥状,以提供沿着该解离的气体物种的流动路径的空间的逐渐增加。在一态样中,该圆锥形展开部66包含一圆锥形表面,其是相对于通过该圆锥形展开部的中心线的垂直轴而呈一角度,此角度为约10度至约60度。此外,该圆锥形展开部66的长度对于该出口圆柱68的长度的比例是约1∶2至约1∶8。间隔该圆锥形展开部66在长度上的空间的增加是提供气体物种在该圆锥形展开部66的出口端72处的较佳分配。
该衬垫60也具有一出口圆柱68,其与该基板清洁腔室24的进气道40连结。在一态样中,该出口圆柱68的外径是按一定尺寸制作以适配至该基板清洁腔室24的进气道40内。该出口圆柱68的长度为L,其是足够短以在该清洁腔室24的处理区之前终止,以避免在该腔室环境中腐蚀。当该入口圆柱64具有一第一直径时,该出口圆柱68包含至少较该第一直径大1.5倍的第二直径。在一态样中,该出口圆柱68的直径为约2公分至约8公分或更典型地约4公分。该出口圆柱68保护该腔室的气体入口40的内表面不受该激发气体物种腐蚀,同时也增加该衬垫的直径,以减少形成在该远程区域54内的激发气体物种之间的碰撞。
该消耗性的衬垫60包含一陶瓷材料,其能够从在该远程气体激发器内产生的激发气体中清除离子物种。例如,该衬垫60可包含石英、氧化铝或氮化铝。在一态样中,该衬垫60包含石英,并且能够通过吸附某些氢离子至其内表面74上而从该激发气体清除氢离子。咸信该石英内表面74是作为一离子过滤器76,以通过提供含氢物种可吸附的表面来减少该等自由基的再结合。也相信冲击该石英表面74的含氢物种将所吸附的含氢自由基释放进入该激发气体中,从而再生自由的氢自由基。但是,氢离子不会由石英表面74再生,因此,冲击该石英表面的氢离子会再结合而形成电中性的非离子物种。因此,让该活化或激发的清洁气体通过该石英表面74上方会致使离子物种从该激发清洁气体滤出,同时保存氢自由基。
该消耗性衬垫60的厚度是根据置换之前该衬垫必须承受的工艺周期数量来选择。该激发气体能够蚀刻并腐蚀该衬垫60,因此,该衬垫60必须在预定的工艺周期数量后置换。此外,该衬垫60的吸附性质随着越来越多的离子吸附至该陶瓷衬垫表面上而衰减。该衬垫60可承受的周期数量与该衬垫60的厚度有关。在一态样中,该衬垫60是足够厚以清除至少约30,000个工艺周期的离子物种,并且拥有约2毫米至约6毫米的厚度。
可通过将陶瓷粉末铸成预期形状来制造该衬垫60,例如,通过冷均压成型法(cold isostatic pressing)。例如,使陶瓷粉末与例如有机结合剂聚乙烯醇的液态结合剂结合。将该混合物置于一冷均压成型设备的橡胶袋中并均匀施加压力在该袋壁上,以紧压该混合物而形成拥有期望管状的陶瓷结构。可通过将该挠性容器浸泡在水中或通过其它加压方法来施加压力。可使用中空的管模将铸造的陶瓷预形(preform)制成圆柱或环状,并且可通过机械加工而进一步形塑所形成的铸成的陶瓷预形。然后烧结经过形塑的陶瓷预形以形成一经烧结的陶瓷。例如,可以约1300℃至约1800℃的温度烧结氧化铝约48至约96小时,通常在约1atm的压力下。可进一步形塑该烧结的陶瓷材料,例如,通过机械加工、研磨、激钻孔、或使用其它方法,以提供预期陶瓷结构。
该衬垫60是利用一衬垫锁定圆柱71而保持在该腔室内的适当位置上。该衬垫锁定圆柱71的尺寸是经订制以滑过该衬垫60的出口圆柱68的外径上方,并且其是靠着该出口圆柱68的一环状唇部69搁置,如第2A和2B图所示。该衬垫锁定圆柱71是适配至该衬垫60的出口圆柱68和该孔洞壁73之间,以形成一气密密封件,如第1图所示,并且可由金属或陶瓷材料制成。
有利地,该衬垫锁定圆柱71辅助该衬垫60置放进入该上腔室壁32,并且也辅助该衬垫60于暴露在等离子下一预定数量的工艺周期后的移除以利整修或置换。该衬垫锁定圆柱71包含一环状凸缘73,其从该锁定圆柱71的一端延伸出。该环状凸缘73拥有一平坦的榫75,其是嵌入从该上腔室壁32延伸出的环状唇部79上的匹配的平坦榫部分77,如第2B图所示。转动该衬垫锁定圆柱71以旋转该环状凸缘73,因此其滑至该上腔室壁32的环状唇部79后方,而在其后方锁定该环状凸缘。例如锁定梢的锁定阻件(blocker)(未示出)可嵌入该旋转式环状凸缘73的通道内,以阻挡并停止该凸缘进一步旋转。
第2B图也示出一种将该衬垫60嵌入一腔室盖内以连结一远程腔室42的出气道62至一清洁腔室24的进气道40的方法。在此方法中,首先将该衬垫锁定圆柱71置于该陶瓷衬垫60的出口圆柱68上方。然后,将一衬垫固持工具81通入该陶瓷衬垫60的出口圆柱68内,因此该衬垫固持工具81的外径紧夹该出口圆柱68的内径。一使用者抓住该衬垫固持工具81,然后将该陶瓷衬垫60的入口圆柱64嵌入该远程腔室42的出气道62内。接着转动该衬垫固持工具81以如所述般将该衬垫锁定圆柱71的环状凸缘73锁入该上腔室壁32的匹配的环状唇部79内。
该腔室24也可选择性地包含一腔室气体激发器(未示出),其耦合能量至该腔室24的处理区38内的气体。例如,该腔室气体激发器可包含一或多个电极和一感应天线,以耦合RF能量。
提供一基板加热底座80以支托该基板清洁腔室24的处理区38内的基板22,如第1、3A、3B和4B图所示。该底座80包含一环状板82,板82具有一基板承接表面84,而基板承接表面84具有一凹槽88数组以及嵌入在该环状板82内的加热组件92。该加热组件92是由一控制器78控制。该控制器78能够相应于以下条件的一而供应可变功率层级给该加热组件92:来自一或多个监控该清洁腔室24或该远程腔室42内的情况的侦测器106的输入,或来自该设备20的使用者的输入。该底座80可选择性地包含一电极(未示出),其可经偏压以将该基板22支托在该底座80上或影响该工艺的性质,例如该基板22的离子轰击的程度。施加至该电极的偏压也由该控制器78控制。
数个陶瓷球90的每一个皆设置在该基板承接表面84上的一凹槽88内,如第3A图所示。该等陶瓷球90是嵌入在该底座80的表面84内,而使每一个球90的一部分表面位在该底座表面84的平面上方。如此,该等球90的顶部区144构成一升高的由N个不连续区所组成的基板承接表面86,其中N是嵌入在该底座80的表面84内的球90的数量。该升高的基板承接表面86与该底座表面84垂直分离。也就是说,该升高的基板承接表面86比该环状板82表面高约0.01毫米至约0.5毫米。利用一是列的不连续点,并且在距离该加热底座80的环状板82的表面一垂直距离处支撑该基板22,藉以容许该腔室24内的气体在加热期间在该基板22和该环状板82表面之间传热。与让该基板22与该环状板82的表面接触相比的下,使该基板22悬置在该环状板82表面上方是允许该基板22更均匀加热,此乃因为热接触不会受到该板82的热传导性及表面接触性质的局部变异的直接影响。
在一态样中,该等陶瓷球90是球状,并且该等球90的直径足够大而可将该基板承接表面保持得比该环状板的顶表面高约0.01毫米至约0.5毫米。通常,该等球90的直径为约1毫米和约3毫米之间。在一态样中,该等球体的直径为约2毫米,并且从该环状板82的上表面突出约0.04毫米。该等陶瓷球90包含氮化硅、氧化锆、蓝宝石、合成刚石、以及氧化铝的至少一种,并且在一态样中包含氧化铝。
该环状板82是由两个盘状物94、96构成,其是利用铜焊连结而彼此结合在一起。在一态样中,如第3A和3B图所示,该环状板82包含一第一盘状物94,其具有升高的基板承接表面86。该第一盘状物94厚度为约10毫米至约30毫米,且直径为约10公分至约70公分。该盘状物94的直径是取决于待处理的基板的尺寸。该盘状物94的承接表面包含数个凹槽88,每一个凹槽88皆拥有足以容纳一陶瓷球90的直径及深度。该凹槽88可利用机械加工形成,并且较佳地包含往内倾斜约2和约20度之间的侧边,而使该凹槽88的直径在该第一盘状物的表面处稍微小于该陶瓷球90的直径。以此方式加工的凹槽88能够在嵌入陶瓷球90之后而将陶瓷球90限制在该环状板82的表面。
所提供的第二盘状物96的直径是与该第一盘状物94的直径匹配,且厚度为约6毫米和约15毫米之间。该第二盘状物96包含经形塑以容纳该加热组件92的通道98,并且由铝、铜、钛、钼或不锈钢、或其组合物的至少一种组成。在一态样中,该第二盘状物包含铝,并且该铜焊连结材料包含一种铝铜焊材料。该加热组件92包含一电阻组件,而该电阻组件具有足够的电阻以将该环状板82的表面84维持在约室温至约400℃的温度。该加热组件92是经由接线柱100供电,而接线柱100沿着该盘状物的中心102而延伸通过该第二盘状物96。
具有嵌设的加热组件92的环状板82可通过从厚度约5毫米的铝片以机械加工一第一盘状物94来形成。拥有距离该第一盘状物94的表面84约2毫米的深度的凹槽88是在对应于该等钻孔装埋的陶瓷球90的预期位置上钻入该盘状物94的表面84。从厚度约11.5毫米至约12.5毫米的铝片以机械加工一第二盘状物96,而使得第二盘状物96的直径与该第一盘状物94的直径相同。在该盘状物96内机械加工一弯曲的通道98,该通道98拥有对应于该加热组件92的尺寸的宽度及深度。在该第二盘状物96的中心102周围钻设至少一对孔(未示出)。该等钻孔的直径比该加热组件92的接线100的直径大至少10%。该加热组件92是通过将其压入该通道98内并将该等接线100穿过该等钻孔来施加至该第二盘状物96的沟槽侧。将一铜焊箔或铜焊化合物置于该第二盘状物96的沟槽表面上,以使其覆盖该第二盘状物96的表面。将该第一盘状物94的无孔侧保持在该铜焊表面上,并使该组件对齐,则该第一和第二盘状物94、96的周围会彼此重叠。该组件是通过将该组件置于炉管中、加热该组件至高于该铜焊材料的熔点并施加压力,如在热压法(hot press)中,来连结在一起。然后冷却该组件以形成一铜焊连结104。
该环状板82的背部表面是安装在用来支撑该环状板82的支杆110上。该支杆110包含一杆,且杆具有适于承接该环状板82的背部表面的承接表面。该杆可包含金属,例如不锈钢或铝,并且可以是实心或空心结构。在一态样中,该支杆110也包含一波纹管以及一举升机构(未示出),而该举升机构是适于将该底座80升高及降低以用于承接一基板22、处理该基板22和从该腔室24中移出该基板22的位置。将该环状板82固定在该支杆110上的方法可包含将该支杆110焊接在该环状板82的底表面上;将一具有螺纹的接合器焊接在该环状板82的底表面上然后将该环状板82螺锁在该支杆110上;或者通过将一中空管子焊接在该环状板82的底表面上然后将该中空管子钳置在该支杆110上。
提供包含数个部件112的工艺套组114以容纳提供进入清洁腔室24的激发气体,并且在该基板表面上分配该气体,如第4A和4B图所示。该工艺套组114的部件112可包含,例如,顶板116、顶部衬垫118、配气板120、底部衬垫122、以及集中环124。该工艺套组114的该等部件112可轻易地从该腔室24移出,例如,以置换或修复被腐蚀的部件,或是调整该清洁腔室24以适应处理不同尺寸的基板22。该工艺套组114的部件可由石英制成,因为石英能够有效降低工艺气体自由基的再结合速率,例如氢自由基。
该顶板116包含一环状盘状物126,该盘状物126具有一外围边缘128和一孔洞130,而孔洞130是使工艺气体通过其间,如第4A图所示。该顶板116是按一定尺寸制作以适配至该基板清洁腔室24内,而该孔洞130的直径为约40毫米和约45毫米之间,并且位于该顶板116的中心周围,而使该孔洞130实质上与该上腔室壁32的进气道40重叠。该顶板116接触该腔室24的上壁32。该顶板116接触顶部衬垫118并且由该顶部衬垫118支撑的。该顶板116的厚度为约1毫米至约10毫米。
该顶部衬垫118接触该顶板116的外围边缘128。该顶部衬垫118包含一圆柱,其作用为限制该激发工艺气体,并且保护该清洁腔室24的围壁30不受该激发工艺气体损伤。该衬垫118的厚度为约0.60公分至约0.70公分。在一态样中,该顶板116的外围边缘128搁置在该顶部衬垫118的上缘132上。
一配气板120具有接触该顶部衬垫118的顶表面134、底表面136、以及数个穿过其间的孔140,而孔140是用以将工艺气体分配在该腔室24内。该等孔140是经形塑、订制尺寸、并且在该配气板120表面上以互相间隔开的方式分配设置,以促进该工艺气体均匀输送至该基板22表面。在一态样中,该等孔140包含孔140a-d的四环139a-d,该等孔140a-d的每一个皆拥有不同的直径,如第4C图所示。在一态样中,最内侧为具有直径d的第一孔140a的第一环139a。每一个皆拥有一直径2d的第二孔140b的第二环139b是位于该第一环139a的径向外侧。每一个皆拥有一直径3d的第三孔140c的第三环139c是位于该第二环139b的径向外侧。每一个皆拥有一直径4d的第四孔140d的第四环139d是位于该第三环139c的径向外侧。孔140a-d的此种分布提供工艺气体至该基板22表面的更均匀输送。在一态样中,该等第一孔140a的直径d为约1至约5毫米,而其它孔140b-d是据此尺寸而制作。举例来说,第一环的每一个孔140a的直径为约1至约5毫米;第二环的每一个孔140b的直径为约2至约10毫米;第三环的每一个孔140c的直径为约3至约15毫米;以及第四环的每一个孔140d的直径为约4至约20毫米。在一态样中,直径不同的孔140a-d亦间隔设置而使该第四环139d含有较大量的孔,并让该第三环139c、第二环139b、和第一环139a的孔的数量逐渐变少。该配气板120可由陶瓷构成,例如,氧化铝或氧化硅,并且氧化硅可以是石英。
一底部衬垫122接触该配气板120的底表面136,如第4A和4B图所示。该底部衬垫122也包含一圆柱,其拥有从该圆柱往外延伸的环状外围边缘142。该外围边缘142接触该配气板120的底表面136和该清洁腔室24的侧壁34。
提供一集中环124以将该激发工艺气体集中至该基板22上。该集中环124包含一内凸缘148,其搁置在该支撑底座80的外围边缘上,并拥有倾斜上表面150,该倾斜上表面150是与在该基板外围处的一垂直表面151接合,如第3B和4B图所示。该倾斜上表面150包含约85至约100°之间的角度,例如约95°。该集中环124也具有一脚部152,其在该基板加热底座80的外凸部154周围提高。
上述的工艺套组114部件可包含一过滤材料,例如石英,以吸附来自该激发气体的离子物种,以从该激发气体滤出离子物种。在一态样中,该顶板116、顶部衬垫118、配气板120、底部衬垫122和集中环124的至少一部分表面包含石英,例如一石英涂层。可利用物理气相沉积法或利用热水沉积法(hydrothermal deposition)将石英沉积至这些工艺套组114部件的表面上。这些表面上的石英层的适当厚度是约0.01毫米至约4毫米。在一态样中,该工艺套组114部件112是由石英组成。
该等石英表面74可经配置以提供对于来自该激发清洁气体的氢离子物种的最佳过滤。在一态样中,该等石英表面74包含该陶瓷衬垫60的一部分的内部表面,其连结该气体激发区域54和该清洁腔室24。例如,该陶瓷衬垫60可包含一石英管。在另一态样中,该石英表面74包含一或多个气体分配器的表面,例如该配气板120的上表面。该等石英表面也可包含一设置在该远程区域和该基板之间的线格(wire grid),例如在该处理区上方,以进一步过滤该活化的清洁气体。
在设备20的清洁腔室24内执行的清洁工艺中,该基板22的温度是经设定以提供减少沉积物中的氧化物的最佳条件,并且甚至可经设定以加速该等含氢自由基和该等沉积物之间的化学反应。例如,该基板22的温度可维持在约0至约500℃,例如约150℃至约450℃,并且甚至是约25℃至约350℃,例如约150℃至约350℃。在一态样中,在该清洁工艺期间施加至该基板22的偏压功率层级可以如预期般的低,因为高的偏压功率层级可增加激发清洁气体内的离子对于该基板22的轰击。适当的偏压功率层级可以是低于约100瓦,例如,约0至约10瓦,并且甚至是约1至约10瓦,并且实质上甚至可以是零。在另一态样中,可施加较高的偏压功率层级以增加清洁速率,例如大于100瓦的偏压功率层级,并且甚至是约100瓦至约200瓦。
更发现到该基板22的清洁可通过执行热处理或退火步骤以从该基板22上除去沉积物来改善。在该热处理步骤中,将该基板22加热至高到足以从该基板22气化材料的温度。也可在该热处理步骤期间提供还原气体流,以抑制氧化物在该基板22上形成。适合的还原气体可包含一含氢气体,例如氢气。该热处理步骤可在无实质激发该还原气体的情况下执行,例如并未实质耦合RF或微波能量至该还原气体,藉以在该激发氢自由基清洁步骤之前提供相对温和的基板22的初始清洁。
在适当的清洁工艺的一态样中,包含约50至约100sccm的氢气(例如300sccm的氢气)以及约0至约10sccm的水(例如3sccm的水)的清洁气体是通过施加约300瓦至约3000瓦(例如1050瓦)的功率层级而在该远程气体激发器52的腔室42中活化。该远程腔室42的压力是维持在低于约10托耳(Torr),例如约1托耳。施加约0至约100瓦的偏压功率层级(例如50瓦)以偏压该基板22,并且该基板22的温度是维持在约150至约450℃,例如250℃。该清洁工艺是实质上除去该等沉积物以提供一清洁的表面。
在完成该清洁工艺后,将该腔室24内的压力降至低于约10毫托耳的压力,以排空用过的清洁气体和清洁副产物并减少该多腔室设备26被该清洁腔室24污染的可能性。该基板22然后可透过拥有移送机器手(transferrobot)119的基板移送室并在真空下移送至一沉积腔室28b以在刚清洁过的含金属导体表面上沉积一第二含金属导体21,例如铜、铝、钽、钨、氮化钽及氮化钨的至少一者。
适于处理基板22的多腔室设备20包含一或多个工艺腔室28a-d,其可包含该清洁腔室24,如图5所示。该等腔室是安装在一平台上,而平台提供电气、配管、及其它支撑功能。该平台通常支撑一负载锁定室156,以容纳待处理的基板22的基板卡匣158,以及一基板移送室154,该基板移送室154含有一机器手162以将基板22从该基板卡匣158移送至不同的腔室28a-d以进行处理并在处理后将其送回。该等不同的腔室28a-d可包含,例如,清洁腔室24、以在晶片上沉积材料的沉积腔室28b、选择性地,一热处理腔室28c,以及其它处理腔室。例如,在一态样中,该等腔室的一包含该清洁腔室24,以除去形成在该基板22上的含金属导体上的沉积物。在该清洁工艺结束后,可利用该机器手162将该基板22移送至一沉积腔室28d,以在该清洁的基板22上沉积例如含金属导体的材料。该基板22也可由该机器手162移送至能够在于该第一腔室28b内沉积的第一材料上方沉积其它材料(例如另一种含金属导体)的第二沉积腔室28c。该等腔室28a-d是经内连接以在该基板移送室154的侧壁160内形成连续的真空环境,以提供可继续进行而不被中断的工艺,并减少基板22的污染。该移送室154包含拥有一排气口164的侧壁160,以排出气体并维持低压环境,例如低于约10毫托耳的压力,以减少该等腔室的污染。
该多腔室设备20可利用一控制器170透过一硬件接口来操作。该控制器170包含一计算机(未示出),其拥有与内存和周边计算机组件连接的中央处理单元。较佳地,该内存可包含可移除式储存媒体(例如CD或软盘)、非移除式储存媒体(例如硬盘),以及随机存取内存。该控制器170可进一步包含数个适配卡,包含,例如,模拟及数字输入及输出板、接口板、以及马达控制板。在一态样中,该控制器170包含一计算机可读程序,该程序可储存在该内存中,例如在非移除式储存媒体或在移除式储存媒体中。该计算机可读程序通常包含工艺控制软件、工艺监控软件、安全系统软件、以及其它控制软件,该工艺控制软件含有程序代码以操作该等腔室28a-d及其部件、该移送室154和机器手162,工艺监控软件是用以监控在该等腔室内执行的工艺。该计算机可读程序可以任何习知计算机可读程序化语言撰写。
虽然已示出并描述本发明的例示实施例,熟知技艺者可设计出合并本发明并且也落在本发明范围内的其它实施例。例如,该腔室24可包含除了具体描述者的外的部件,如对于熟知技艺者而言为显而易见般。此外,下方、上方、底部、顶部、上、下、第一及第二等词及其它相对或位置用词是关于图式内的例示实施例示出,并且是可互换的。因此,附属的权利要求不应受限于较佳态样的描述、材料、或在此描述以示出本发明的空间设置。

Claims (15)

1.一种用于连接远程腔室的出气道至基板清洁腔室的进气道的消耗性(consumable)陶瓷衬垫,该陶瓷衬垫包含:
(a)入口圆柱,其外径是按一定尺寸制作以适配至该远程腔室的该出气道内;
(b)出口圆柱,与该基板清洁腔室的该进气道连接;以及
(c)圆锥形展开部(flare),将该入口圆柱连结至该出口圆柱。
2.如权利要求1所述的衬垫,其中该圆锥形展开部的长度和该出口圆柱的长度的比例为约1∶2至约1∶8。
3.如权利要求1所述的衬垫,包含一陶瓷材料,该陶瓷材料能够从在该远程气体激发器中产生的激发气体清除离子物种。
4.一种将陶瓷衬垫置入上腔室壁中以将远程腔室的出气道连接至基板清洁腔室的进气道的方法,该陶瓷衬垫包含入口圆柱、出口圆柱及圆锥形展开部,该入口圆柱是按一定尺寸制作以适配至该远程腔室的该出气道内,该出口圆柱与该基板清洁腔室的该进气道连接,该圆锥形展开部是将该入口圆柱连结至该出口圆柱,该方法包含:
(a)将衬垫锁定圆柱设置于该陶瓷衬垫的该出口圆柱上方;
(b)将衬垫固持工具滑入该陶瓷衬垫的该出口圆柱内,该衬垫固持工具的外径是按一定尺寸制作以紧夹该出口圆柱的内径;以及
(c)抓住该衬垫固持工具并且将该陶瓷衬垫的该入口圆柱置入该远程腔室的该出气道内。
5.如权利要求4所述的方法,更包含:
(d)转动该衬垫固持工具以将该衬垫锁定圆柱的环状凸缘锁至该上腔室壁的匹配环状唇部内。
6.一种用于基板清洁腔室的基板加热底座,该基板加热底座包含:
(a)环状板,包含第一盘状物、第二盘状物及铜焊连结,该第一盘状物具有基板承接表面,且该基板承接表面具有数个凹槽的数组,该第二盘状物具有经成形以容纳加热组件的通道,该铜焊连结是连结该第一盘状物和该第二盘状物;
(b)数个陶瓷球,各个该些陶瓷球是设置在该基板承接表面上的凹槽内;以及
(c)加热组件,嵌设在该环状板内。
7.如权利要求6所述的底座,其中该些陶瓷球是由氧化铝、石英、蓝宝石、氮化硅、合成刚石、氧化锆、三氧化二铝(Al2O3)、或其混合物所组成。
8.如权利要求6所述的底座,其中该些陶瓷球的直径为约1至约3毫米。
9.如权利要求8所述的底座,其中该些陶瓷球的直径是大到足以将该基板承接表面维持在比该环状板的一顶表面高约0.01毫米至约0.5毫米。
10.一种用于一基板工艺腔室的配气板,该配气板包含:
(a)第一孔的第一环,各个该些第一孔的直径为d:
(b)第二孔的第二环,各个该些第二孔的直径为2d,且该第二环是位在该第一环的径向外侧;
(c)第三孔的第三环,各个该些第三孔的直径为3d,且该第三环是位在该第二环的径向外侧;以及
(d)第四孔的第四环,各个该些第四孔的直径为4d,且该第四环是位在该第三环的径向外侧。
11.如权利要求10所述的配气板,其中直径d为约1至约5毫米。
12.如权利要求10所述的配气板,其是由陶瓷组成。
13.一种用于基板清洁腔室的工艺套组(process kit),该基板清洁腔室具有用于支托配气板的腔室盖,且该配气板是面对基板加热底座,该工艺套组包含:
(a)顶板,用以接触该腔室盖,且石英制的该顶板具有用以使工艺气体通过其间的孔洞,并且亦具有外围边缘;
(b)顶部衬垫,接触石英制的该顶板的该外围边缘,并且位于该配气板上方;
(c)底部衬垫,位于该配气板下方;以及
(d)集中环,搁置在该基板加热底座的外围边缘上。
14.如权利要求13所述的工艺套组,其中该顶板、该顶部衬垫、该底部衬垫和该集中环皆包含石英。
15.如权利要求13所述的工艺套组,其中该集中环包含内凸缘,该内凸缘是搁置在该基板加热底座的该外围边缘上,该内凸缘包含倾斜的上表面,且该倾斜的上表面在基板外围处与垂直表面接合。
CN2008800179480A 2007-05-30 2008-05-27 基板清洁腔室与其部件 Active CN101730921B (zh)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US94095907P 2007-05-30 2007-05-30
US60/940,959 2007-05-30
US11/857,975 2007-09-19
US11/857,975 US7942969B2 (en) 2007-05-30 2007-09-19 Substrate cleaning chamber and components
PCT/US2008/006702 WO2008153785A2 (en) 2007-05-30 2008-05-27 Substrate cleaning chamber and components

Publications (2)

Publication Number Publication Date
CN101730921A true CN101730921A (zh) 2010-06-09
CN101730921B CN101730921B (zh) 2011-12-21

Family

ID=40086776

Family Applications (1)

Application Number Title Priority Date Filing Date
CN2008800179480A Active CN101730921B (zh) 2007-05-30 2008-05-27 基板清洁腔室与其部件

Country Status (6)

Country Link
US (3) US7942969B2 (zh)
JP (4) JP5726521B2 (zh)
KR (2) KR101593461B1 (zh)
CN (1) CN101730921B (zh)
TW (2) TWI359450B (zh)
WO (1) WO2008153785A2 (zh)

Cited By (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN103890911A (zh) * 2011-10-28 2014-06-25 应用材料公司 气体分散设备
CN104952683A (zh) * 2014-03-26 2015-09-30 株式会社日立国际电气 衬底处理装置及半导体器件的制造方法
CN104995723A (zh) * 2013-02-20 2015-10-21 国际电气高丽株式会社 气相蚀刻装置
CN105405801A (zh) * 2014-09-11 2016-03-16 沈阳芯源微电子设备有限公司 一种半导体热盘上的陶瓷球微调装置
CN107078082A (zh) * 2014-10-31 2017-08-18 沃特洛电气制造公司 用于加热器的热动态响应感测系统
CN107768223A (zh) * 2016-08-16 2018-03-06 Fei 公司 与等离子清洁机一起使用的磁体
CN109155251A (zh) * 2016-05-19 2019-01-04 应用材料公司 用于改良的半导体蚀刻及部件保护的系统与方法
CN109155250A (zh) * 2016-05-19 2019-01-04 应用材料公司 用于改良的半导体蚀刻及部件保护的系统与方法
CN110468377A (zh) * 2018-05-11 2019-11-19 北京北方华创微电子装备有限公司 腔室及半导体加工设备
CN111211074A (zh) * 2013-04-30 2020-05-29 应用材料公司 具有空间分布的气体通道的气流控制衬垫

Families Citing this family (451)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7221553B2 (en) * 2003-04-22 2007-05-22 Applied Materials, Inc. Substrate support having heat transfer system
US20060105182A1 (en) * 2004-11-16 2006-05-18 Applied Materials, Inc. Erosion resistant textured chamber surface
US8617672B2 (en) 2005-07-13 2013-12-31 Applied Materials, Inc. Localized surface annealing of components for substrate processing chambers
JP4527670B2 (ja) * 2006-01-25 2010-08-18 東京エレクトロン株式会社 加熱処理装置、加熱処理方法、制御プログラムおよびコンピュータ読取可能な記憶媒体
US7981262B2 (en) 2007-01-29 2011-07-19 Applied Materials, Inc. Process kit for substrate processing chamber
US7942969B2 (en) 2007-05-30 2011-05-17 Applied Materials, Inc. Substrate cleaning chamber and components
US20080311294A1 (en) * 2007-06-15 2008-12-18 Hideki Ito Vapor-phase growth apparatus and vapor-phase growth method
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8216640B2 (en) * 2009-09-25 2012-07-10 Hermes-Epitek Corporation Method of making showerhead for semiconductor processing apparatus
US20110315319A1 (en) * 2010-06-25 2011-12-29 Applied Materials, Inc. Pre-clean chamber with reduced ion current
JP2013532387A (ja) * 2010-06-25 2013-08-15 アプライド マテリアルズ インコーポレイテッド イオン電流を低減したプレクリーンチャンバ
US10595365B2 (en) 2010-10-19 2020-03-17 Applied Materials, Inc. Chamber lid heater ring assembly
US9719169B2 (en) * 2010-12-20 2017-08-01 Novellus Systems, Inc. System and apparatus for flowable deposition in semiconductor fabrication
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
KR101446455B1 (ko) * 2011-04-04 2014-10-01 캐논 아네르바 가부시키가이샤 처리 장치
DE102011007632B3 (de) * 2011-04-18 2012-02-16 Siltronic Ag Verfahren und Vorrichtung zum Abscheiden einer von Prozessgas stammenden Materialschicht auf einer Substratscheibe
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) * 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US10163668B2 (en) * 2011-08-30 2018-12-25 Watlow Electric Manufacturing Company Thermal dynamic response sensing systems for heaters
US10883950B2 (en) 2011-08-30 2021-01-05 Watlow Electric Manufacturing Company Multi-parallel sensor array system
KR101885105B1 (ko) 2011-09-01 2018-08-06 세메스 주식회사 기판 처리 장치 및 방법
TWI674625B (zh) * 2011-10-05 2019-10-11 應用材料股份有限公司 原位羥化裝置
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
CN103140011A (zh) * 2011-11-30 2013-06-05 亚树科技股份有限公司 直立式电浆产生装置
JP6181075B2 (ja) * 2011-12-23 2017-08-16 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 原子水素を用いて基板表面を洗浄するための方法及び装置
DE102012107282A1 (de) * 2012-01-17 2013-07-18 Reinhausen Plasma Gmbh Vorrichtung und verfahren zur plasmabehandlung von oberflächen
KR20130090287A (ko) * 2012-02-03 2013-08-13 주성엔지니어링(주) 기판 처리 장치 및 기판 처리 방법
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
TWI467625B (zh) * 2012-08-30 2015-01-01 Univ Chang Gung 電漿處理裝置
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US8822313B2 (en) 2012-12-20 2014-09-02 Intermolecular, Inc. Surface treatment methods and systems for substrate processing
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US10410890B2 (en) * 2013-06-21 2019-09-10 Applied Materials, Inc. Light pipe window structure for thermal chamber applications and processes
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
CN104342632B (zh) * 2013-08-07 2017-06-06 北京北方微电子基地设备工艺研究中心有限责任公司 预清洗腔室及等离子体加工设备
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US9847222B2 (en) 2013-10-25 2017-12-19 Lam Research Corporation Treatment for flowable dielectric deposition on substrate surfaces
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
KR102279673B1 (ko) * 2014-01-15 2021-07-21 갈리움 엔터프라이지즈 피티와이 엘티디 필름 내의 불순물의 감소를 위한 장치 및 방법
US10683571B2 (en) * 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
KR102451499B1 (ko) * 2014-05-16 2022-10-06 어플라이드 머티어리얼스, 인코포레이티드 샤워헤드 설계
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
KR20160002543A (ko) * 2014-06-30 2016-01-08 세메스 주식회사 기판 처리 장치
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US10049921B2 (en) 2014-08-20 2018-08-14 Lam Research Corporation Method for selectively sealing ultra low-k porous dielectric layer using flowable dielectric film formed from vapor phase dielectric precursor
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
KR102262107B1 (ko) * 2014-08-29 2021-06-10 세메스 주식회사 기판 처리 장치
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US10546733B2 (en) * 2014-12-31 2020-01-28 Applied Materials, Inc. One-piece process kit shield
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
KR102481432B1 (ko) * 2015-08-10 2022-12-27 삼성전자주식회사 커버 플레이트 및 그를 포함하는 플라즈마 처리 장치
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US10504700B2 (en) * 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US9673042B2 (en) 2015-09-01 2017-06-06 Applied Materials, Inc. Methods and apparatus for in-situ cleaning of copper surfaces and deposition and removal of self-assembled monolayers
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US10388546B2 (en) 2015-11-16 2019-08-20 Lam Research Corporation Apparatus for UV flowable dielectric
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
JP2017157778A (ja) * 2016-03-04 2017-09-07 東京エレクトロン株式会社 基板処理装置
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10741428B2 (en) * 2016-04-11 2020-08-11 Applied Materials, Inc. Semiconductor processing chamber
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US11017984B2 (en) 2016-04-28 2021-05-25 Applied Materials, Inc. Ceramic coated quartz lid for processing chamber
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
JP7190905B2 (ja) * 2016-06-03 2022-12-16 アプライド マテリアルズ インコーポレイテッド 半導体基板から炭素汚染物質及び表面酸化物を除去するための処理チャンバを有する真空プラットフォーム
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10655224B2 (en) * 2016-12-20 2020-05-19 Lam Research Corporation Conical wafer centering and holding device for semiconductor processing
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10763141B2 (en) * 2017-03-17 2020-09-01 Applied Materials, Inc. Non-contact temperature calibration tool for a substrate support and method of using the same
TW201841869A (zh) * 2017-03-21 2018-12-01 美商康波能再造工程公司 於高腐蝕或侵蝕半導體製程應用中使用的陶瓷材料組件
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
CN111316417B (zh) 2017-11-27 2023-12-22 阿斯莫Ip控股公司 与批式炉偕同使用的用于储存晶圆匣的储存装置
WO2019103610A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. Apparatus including a clean mini environment
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
WO2019142055A2 (en) 2018-01-19 2019-07-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
EP3737779A1 (en) 2018-02-14 2020-11-18 ASM IP Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
CN108284637B (zh) * 2018-04-11 2023-10-20 洛阳红奇机械科技有限公司 一种大板热压机的上模板表面清理装置的操作方法
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
US11499222B2 (en) 2018-06-27 2022-11-15 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
JP2021529254A (ja) 2018-06-27 2021-10-28 エーエスエム・アイピー・ホールディング・ベー・フェー 金属含有材料ならびに金属含有材料を含む膜および構造体を形成するための周期的堆積方法
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US11251075B2 (en) * 2018-08-06 2022-02-15 Mattson Technology, Inc. Systems and methods for workpiece processing using neutral atom beams
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
JP7079718B2 (ja) * 2018-11-27 2022-06-02 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
US11114304B2 (en) * 2018-11-30 2021-09-07 Tokyo Electron Limited Substrate processing method
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
JP2021019198A (ja) 2019-07-19 2021-02-15 エーエスエム・アイピー・ホールディング・ベー・フェー トポロジー制御されたアモルファスカーボンポリマー膜の形成方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD949319S1 (en) * 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US20210249284A1 (en) * 2020-02-12 2021-08-12 Applied Materials, Inc. Fast response dual-zone pedestal assembly for selective preclean
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
US11881385B2 (en) 2020-04-24 2024-01-23 Applied Materials, Inc. Methods and apparatus for reducing defects in preclean chambers
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
US11521834B2 (en) * 2020-08-26 2022-12-06 Tokyo Electron Limited Plasma processing systems and methods for chemical processing a substrate
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
JP2022100570A (ja) * 2020-12-24 2022-07-06 新光電気工業株式会社 静電チャック及びその製造方法、基板固定装置
US11781212B2 (en) * 2021-04-07 2023-10-10 Applied Material, Inc. Overlap susceptor and preheat ring
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (451)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US2705500A (en) * 1953-11-04 1955-04-05 Leon L Deer Cleaning aluminum
US3117883A (en) * 1960-09-23 1964-01-14 Glidden Co Pigment for aqueous latex emulsion paints
US3482082A (en) 1966-03-18 1969-12-02 Techicon Corp Sample identification apparatus
US3457151A (en) 1966-10-27 1969-07-22 Solutec Corp Electrolytic cleaning method
US3565771A (en) * 1967-10-16 1971-02-23 Shipley Co Etching and metal plating silicon containing aluminum alloys
US3522083A (en) 1967-11-03 1970-07-28 Grace W R & Co Phosphonitrilic laminating and molding resins
US3679460A (en) 1970-10-08 1972-07-25 Union Carbide Corp Composite wear resistant material and method of making same
DE2225390A1 (de) 1972-05-25 1973-12-06 Messerschmitt Boelkow Blohm Vorrichtung und verfahren zur herstellung definierter wanddickenaenderungen eines rotationssymmetrischen hohlkoerpers
USRE31198E (en) * 1974-02-14 1983-04-05 Amchem Products, Inc. Method for cleaning aluminum at low temperatures
JPS5827652Y2 (ja) 1978-05-02 1983-06-15 日本軽金属株式会社 海苔養殖用アルミニウム合金製ポ−ル
JPS54162969U (zh) 1978-05-04 1979-11-14
US4419201A (en) 1981-08-24 1983-12-06 Bell Telephone Laboratories, Incorporated Apparatus and method for plasma-assisted etching of wafers
US4412133A (en) 1982-01-05 1983-10-25 The Perkin-Elmer Corp. Electrostatic cassette
JPS6059104B2 (ja) 1982-02-03 1985-12-23 株式会社東芝 静電チヤツク板
FR2538987A1 (fr) * 1983-01-05 1984-07-06 Commissariat Energie Atomique Enceinte pour le traitement et notamment la gravure de substrats par la methode du plasma reactif
GB2147459A (en) 1983-09-30 1985-05-09 Philips Electronic Associated Electrostatic chuck for semiconductor wafers
US4606802A (en) 1983-12-21 1986-08-19 Hitachi, Ltd. Planar magnetron sputtering with modified field configuration
FR2562097A1 (fr) 1984-03-28 1985-10-04 Andritz Ag Maschf Procede pour le decapage d'aciers allies, de cuivre, d'alliages de metaux lourds non-ferreux, de titane, de zirconium, de tantale, etc. au moyen de bains d'acide nitrique
JPS60185786U (ja) 1984-05-22 1985-12-09 日立金属株式会社 防食継手
JPS6131636U (ja) * 1984-07-31 1986-02-26 株式会社 徳田製作所 静電チヤツク
JPH0676652B2 (ja) 1984-10-08 1994-09-28 キヤノン株式会社 真空装置用構造材の表面処理方法
US5215639A (en) 1984-10-09 1993-06-01 Genus, Inc. Composite sputtering target structures and process for producing such structures
JPS61146717A (ja) 1984-12-18 1986-07-04 Sumitomo Chem Co Ltd タンタルの精製方法
JPH0655742B2 (ja) * 1985-02-13 1994-07-27 住友化学工業株式会社 アセチレンカ−バミド誘導体およびこれを有効成分とする有機物質用安定剤
FR2578455B1 (fr) 1985-03-08 1987-05-07 Lami Philippe Ensemble destine a redonner les conditions initiales de proprete dans un tube de quartz utilise comme chambre de reaction pour la fabrication des circuits integres
DE3523958A1 (de) * 1985-07-04 1987-01-08 Licentia Gmbh Verfahren zur chemischen behandlung von keramikkoerpern mit nachfolgender metallisierung
JP2515731B2 (ja) * 1985-10-25 1996-07-10 株式会社日立製作所 薄膜形成装置および薄膜形成方法
US4713119A (en) 1986-03-20 1987-12-15 Stauffer Chemical Company Process for removing alkali metal aluminum silicate scale deposits from surfaces of chemical process equipment
US4684447A (en) 1986-03-24 1987-08-04 Conoco Inc. Method for applying protective coatings
CH670970A5 (zh) 1986-09-18 1989-07-31 Grob Ernst Fa
JPS63149396U (zh) 1987-03-24 1988-09-30
JPS63235435A (ja) 1987-03-24 1988-09-30 Nishimura Watanabe Chiyuushiyutsu Kenkyusho:Kk 金属タンタルの製造方法
JPH0521876Y2 (zh) * 1987-05-30 1993-06-04
US4854263B1 (en) 1987-08-14 1997-06-17 Applied Materials Inc Inlet manifold and methods for increasing gas dissociation and for PECVD of dielectric films
US5009966A (en) * 1987-12-31 1991-04-23 Diwakar Garg Hard outer coatings deposited on titanium or titanium alloys
US4832781A (en) 1988-01-07 1989-05-23 Varian Associates, Inc. Methods and apparatus for thermal transfer with a semiconductor wafer in vacuum
ATE95513T1 (de) 1988-04-26 1993-10-15 Toto Ltd Verfahren zur herstellung dielektrischer keramik fuer elektrostatische haltevorrichtungen.
US5032469A (en) 1988-09-06 1991-07-16 Battelle Memorial Institute Metal alloy coatings and methods for applying
JP2665242B2 (ja) 1988-09-19 1997-10-22 東陶機器株式会社 静電チャック
US4959105A (en) 1988-09-30 1990-09-25 Fred Neidiffer Aluminium cleaning composition and process
JPH02101157A (ja) 1988-10-05 1990-04-12 Fujikura Ltd 真空蒸着用銅基材の製造方法
US5409590A (en) 1989-04-17 1995-04-25 Materials Research Corporation Target cooling and support for magnetron sputter coating apparatus
JP2779950B2 (ja) 1989-04-25 1998-07-23 東陶機器株式会社 静電チャックの電圧印加方法および電圧印加装置
US4995958A (en) * 1989-05-22 1991-02-26 Varian Associates, Inc. Sputtering apparatus with a rotating magnet array having a geometry for specified target erosion profile
IT1235332B (it) 1989-06-05 1992-06-26 Diaprint S P A Granitura elettrochimica di superfici in alluminio o in lega di alluminio
JPH0317288A (ja) * 1989-06-13 1991-01-25 Daicel Chem Ind Ltd スタンパー用電解洗浄液
US5130170A (en) 1989-06-28 1992-07-14 Canon Kabushiki Kaisha Microwave pcvd method for continuously forming a large area functional deposited film using a curved moving substrate web with microwave energy with a directivity in one direction perpendicular to the direction of microwave propagation
EP0406690B1 (en) * 1989-06-28 1997-03-12 Canon Kabushiki Kaisha Process for continuously forming a large area functional deposited film by microwave PCVD method and an apparatus suitable for practicing the same
US5338367A (en) 1989-07-26 1994-08-16 Ugine, Aciers De Chatillon Et Gueugnon Pickling process in an acid bath of metallic products containing titanium or at least one chemical element of the titanium family
US5052331A (en) 1989-10-18 1991-10-01 The United States Of America As Represented By The United Sates Department Of Energy Apparatus for gas-metal arc deposition
US4996859A (en) 1989-10-23 1991-03-05 A. J. Rose Manufacturing Company Method and apparatus for roll forming metal
US5180563A (en) * 1989-10-24 1993-01-19 Gte Products Corporation Treatment of industrial wastes
JPH03138354A (ja) 1989-10-24 1991-06-12 Pioneer Electron Corp 防着板を備えた薄膜形成装置
DE69103915T2 (de) 1990-01-25 1995-05-11 Applied Materials Inc Elektrostatische Klemmvorrichtung und Verfahren.
FR2657888B1 (fr) 1990-02-08 1994-04-15 Ugine Aciers Procedes de decapage de materiaux en acier inoxydable.
US5202008A (en) 1990-03-02 1993-04-13 Applied Materials, Inc. Method for preparing a shield to reduce particles in a physical vapor deposition chamber
US5391275A (en) * 1990-03-02 1995-02-21 Applied Materials, Inc. Method for preparing a shield to reduce particles in a physical vapor deposition chamber
JPH03256327A (ja) * 1990-03-06 1991-11-15 Sumitomo Electric Ind Ltd 半導体製造装置
JPH071675B2 (ja) * 1990-08-22 1995-01-11 大日本スクリーン製造株式会社 シャドウマスクの製造方法及びシャドウマスク板材
US5055964A (en) 1990-09-07 1991-10-08 International Business Machines Corporation Electrostatic chuck having tapered electrodes
JP3064409B2 (ja) 1990-11-30 2000-07-12 株式会社日立製作所 保持装置およびそれを用いた半導体製造装置
US5304248A (en) 1990-12-05 1994-04-19 Applied Materials, Inc. Passive shield for CVD wafer processing which provides frontside edge exclusion and prevents backside depositions
US5855687A (en) * 1990-12-05 1999-01-05 Applied Materials, Inc. Substrate support shield in wafer processing reactors
US5280156A (en) * 1990-12-25 1994-01-18 Ngk Insulators, Ltd. Wafer heating apparatus and with ceramic substrate and dielectric layer having electrostatic chucking means
US5166856A (en) 1991-01-31 1992-11-24 International Business Machines Corporation Electrostatic chuck with diamond coating
US5248386A (en) 1991-02-08 1993-09-28 Aluminum Company Of America Milling solution and method
US5215624A (en) 1991-02-08 1993-06-01 Aluminum Company Of America Milling solution and method
US5191506A (en) * 1991-05-02 1993-03-02 International Business Machines Corporation Ceramic electrostatic chuck
US5325261A (en) 1991-05-17 1994-06-28 Unisearch Limited Electrostatic chuck with improved release
US6077384A (en) 1994-08-11 2000-06-20 Applied Materials, Inc. Plasma reactor having an inductive antenna coupling power through a parallel plate electrode
US5458759A (en) 1991-08-02 1995-10-17 Anelva Corporation Magnetron sputtering cathode apparatus
US5275683A (en) * 1991-10-24 1994-01-04 Tokyo Electron Limited Mount for supporting substrates and plasma processing apparatus using the same
US5539609A (en) 1992-12-02 1996-07-23 Applied Materials, Inc. Electrostatic chuck usable in high density plasma
JPH05166757A (ja) 1991-12-13 1993-07-02 Tokyo Electron Ltd 被処理体の温調装置
EP0547609B1 (en) 1991-12-18 1997-09-10 Sumitomo Metal Industries, Ltd. Automobile body panel made of multilayer plated aluminum sheet
US5376223A (en) 1992-01-09 1994-12-27 Varian Associates, Inc. Plasma etch process
US5315473A (en) 1992-01-21 1994-05-24 Applied Materials, Inc. Isolated electrostatic chuck and excitation method
JP2865472B2 (ja) 1992-02-20 1999-03-08 信越化学工業株式会社 静電チャック
US5314597A (en) 1992-03-20 1994-05-24 Varian Associates, Inc. Sputtering apparatus with a magnet array having a geometry for a specified target erosion profile
FR2692599B1 (fr) 1992-06-17 1994-09-16 Prod Ind Cfpi Franc Procédé de traitement de substrats à base d'aluminium en vue de leur anodisation, bain mis en Óoeuvre dans ce procédé et concentré pour préparer le bain.
JP2938679B2 (ja) * 1992-06-26 1999-08-23 信越化学工業株式会社 セラミックス製静電チャック
US5401319A (en) * 1992-08-27 1995-03-28 Applied Materials, Inc. Lid and door for a vacuum chamber and pretreatment therefor
US5630314A (en) 1992-09-10 1997-05-20 Hitachi, Ltd. Thermal stress relaxation type ceramic coated heat-resistant element
US6338906B1 (en) * 1992-09-17 2002-01-15 Coorstek, Inc. Metal-infiltrated ceramic seal
JP2839801B2 (ja) 1992-09-18 1998-12-16 三菱マテリアル株式会社 ウェーハの製造方法
JP3566740B2 (ja) 1992-09-30 2004-09-15 アプライド マテリアルズ インコーポレイテッド 全ウエハデポジション用装置
US5803977A (en) 1992-09-30 1998-09-08 Applied Materials, Inc. Apparatus for full wafer deposition
US5292554A (en) * 1992-11-12 1994-03-08 Applied Materials, Inc. Deposition apparatus using a perforated pumping plate
US5350479A (en) 1992-12-02 1994-09-27 Applied Materials, Inc. Electrostatic chuck for high power plasma processing
US5684669A (en) 1995-06-07 1997-11-04 Applied Materials, Inc. Method for dechucking a workpiece from an electrostatic chuck
US5366585A (en) 1993-01-28 1994-11-22 Applied Materials, Inc. Method and apparatus for protection of conductive surfaces in a plasma processing reactor
JP3323924B2 (ja) 1993-01-29 2002-09-09 東京エレクトロン株式会社 静電チャック
US5542559A (en) 1993-02-16 1996-08-06 Tokyo Electron Kabushiki Kaisha Plasma treatment apparatus
US5800686A (en) 1993-04-05 1998-09-01 Applied Materials, Inc. Chemical vapor deposition chamber with substrate edge protection
JPH06326175A (ja) 1993-04-22 1994-11-25 Applied Materials Inc 集積回路処理装置において使用されるウエハサポートの誘電材への保護被覆とその形成方法
CH690805A5 (de) * 1993-05-04 2001-01-15 Unaxis Balzers Ag Magnetfeldunterstützte Zerstäubungsanordnung und Vakuumbehandlungsanlage hiermit.
US5403459A (en) 1993-05-17 1995-04-04 Applied Materials, Inc. Cleaning of a PVD chamber containing a collimator
JP3201071B2 (ja) * 1993-05-18 2001-08-20 富士電機株式会社 爆薬発電機
US5407551A (en) 1993-07-13 1995-04-18 The Boc Group, Inc. Planar magnetron sputtering apparatus
EP0634756B1 (en) 1993-07-16 1998-09-30 Kabushiki Kaisha Toshiba Metal oxide resistor, power resistor, and power circuit breaker
US5614055A (en) * 1993-08-27 1997-03-25 Applied Materials, Inc. High density plasma CVD and etching reactor
US5433835B1 (en) 1993-11-24 1997-05-20 Applied Materials Inc Sputtering device and target with cover to hold cooling fluid
US5487822A (en) * 1993-11-24 1996-01-30 Applied Materials, Inc. Integrated sputtering target assembly
US6199259B1 (en) * 1993-11-24 2001-03-13 Applied Komatsu Technology, Inc. Autoclave bonding of sputtering target assembly
EP0737133B1 (de) 1993-12-27 1998-07-29 Hoechst Aktiengesellschaft Thermisches auftragsverfahren für hydrophile schichten auf hydrophoben substraten und verwendung so beschichteter substrate als trägerkörper für offsetdruckplatten
JPH07197272A (ja) 1993-12-29 1995-08-01 Kobe Steel Ltd フィルム密着性に優れた表面処理アルミニウム及びアルミニウム合金板
US5463526A (en) 1994-01-21 1995-10-31 Lam Research Corporation Hybrid electrostatic chuck
US5745331A (en) 1994-01-31 1998-04-28 Applied Materials, Inc. Electrostatic chuck with conformal insulator film
EP0746874A1 (en) 1994-02-23 1996-12-11 Applied Materials, Inc. Chemical vapor deposition chamber
US5474649A (en) 1994-03-08 1995-12-12 Applied Materials, Inc. Plasma processing apparatus employing a textured focus ring
US5512078A (en) 1994-03-24 1996-04-30 Griffin; Stephen E. Apparatus for making linearly tapered bores in quartz tubing with a controlled laser
US5685914A (en) 1994-04-05 1997-11-11 Applied Materials, Inc. Focus ring for semiconductor wafer processing in a plasma reactor
JP2720420B2 (ja) * 1994-04-06 1998-03-04 キヤノン販売株式会社 成膜/エッチング装置
US5518593A (en) 1994-04-29 1996-05-21 Applied Komatsu Technology, Inc. Shield configuration for vacuum chamber
US5531835A (en) 1994-05-18 1996-07-02 Applied Materials, Inc. Patterned susceptor to reduce electrostatic force in a CVD chamber
JP3020017B2 (ja) 1994-11-07 2000-03-15 大同メタル工業株式会社 湿式摩擦部材
US5605637A (en) * 1994-12-15 1997-02-25 Applied Materials Inc. Adjustable dc bias control in a plasma reactor
US5868847A (en) * 1994-12-16 1999-02-09 Applied Materials, Inc. Clamp ring for shielding a substrate during film layer deposition
DE4446919A1 (de) * 1994-12-28 1996-07-04 Dynamit Nobel Ag Verfahren zur Herstellung von innenverzahnten Teilen
JP2689931B2 (ja) 1994-12-29 1997-12-10 日本電気株式会社 スパッタ方法
US5792562A (en) 1995-01-12 1998-08-11 Applied Materials, Inc. Electrostatic chuck with polymeric impregnation and method of making
JP3744964B2 (ja) 1995-04-06 2006-02-15 株式会社アルバック 成膜装置用構成部品及びその製造方法
US6073830A (en) 1995-04-21 2000-06-13 Praxair S.T. Technology, Inc. Sputter target/backing plate assembly and method of making same
US5886863A (en) * 1995-05-09 1999-03-23 Kyocera Corporation Wafer support member
US5695825A (en) 1995-05-31 1997-12-09 Amorphous Technologies International Titanium-containing ferrous hard-facing material source and method for hard facing a substrate
US5690795A (en) 1995-06-05 1997-11-25 Applied Materials, Inc. Screwless shield assembly for vacuum processing chambers
US5660640A (en) 1995-06-16 1997-08-26 Joray Corporation Method of removing sputter deposition from components of vacuum deposition equipment
US5614071A (en) * 1995-06-28 1997-03-25 Hmt Technology Corporation Sputtering shield
JPH0917850A (ja) 1995-06-30 1997-01-17 Tokyo Electron Ltd プラズマ処理装置
WO1997003221A1 (en) * 1995-07-10 1997-01-30 Cvc Products, Inc. Magnetron cathode apparatus and method for sputtering
US6221217B1 (en) 1995-07-10 2001-04-24 Cvc, Inc. Physical vapor deposition system having reduced thickness backing plate
KR100227924B1 (ko) 1995-07-28 1999-11-01 가이데 히사오 반도체 웨이퍼 제조방법, 그 방법에 사용되는 연삭방법 및 이에 사용되는 장치
TW279240B (en) 1995-08-30 1996-06-21 Applied Materials Inc Parallel-plate icp source/rf bias electrode head
JP3457477B2 (ja) 1995-09-06 2003-10-20 日本碍子株式会社 静電チャック
US6264812B1 (en) 1995-11-15 2001-07-24 Applied Materials, Inc. Method and apparatus for generating a plasma
US5763851A (en) 1995-11-27 1998-06-09 Applied Materials, Inc. Slotted RF coil shield for plasma deposition system
JPH09270401A (ja) 1996-01-31 1997-10-14 Shin Etsu Handotai Co Ltd 半導体ウェーハの研磨方法
US6095084A (en) 1996-02-02 2000-08-01 Applied Materials, Inc. High density plasma process chamber
US5879524A (en) * 1996-02-29 1999-03-09 Sony Corporation Composite backing plate for a sputtering target
US5658442A (en) 1996-03-07 1997-08-19 Applied Materials, Inc. Target and dark space shield for a physical vapor deposition system
US5901751A (en) 1996-03-08 1999-05-11 Applied Materials, Inc. Restrictor shield having a variable effective throughout area
JP3620554B2 (ja) 1996-03-25 2005-02-16 信越半導体株式会社 半導体ウェーハ製造方法
JPH09272965A (ja) 1996-04-09 1997-10-21 Toshiba Corp 真空成膜装置用部品とそれを用いた真空成膜装置、およびターゲット、バッキングプレート
US5844205A (en) * 1996-04-19 1998-12-01 Applied Komatsu Technology, Inc. Heated substrate support structure
JPH09289152A (ja) * 1996-04-23 1997-11-04 Dainippon Screen Mfg Co Ltd 基板熱処理装置
EP0803900A3 (en) 1996-04-26 1999-12-29 Applied Materials, Inc. Surface preparation to enhance the adhesion of a dielectric layer
US6108189A (en) 1996-04-26 2000-08-22 Applied Materials, Inc. Electrostatic chuck having improved gas conduits
US5720818A (en) * 1996-04-26 1998-02-24 Applied Materials, Inc. Conduits for flow of heat transfer fluid to the surface of an electrostatic chuck
EP0845151A1 (en) 1996-05-09 1998-06-03 Applied Materials, Inc. Recessed coil for generating a plasma
US6368469B1 (en) 1996-05-09 2002-04-09 Applied Materials, Inc. Coils for generating a plasma and for sputtering
US6440221B2 (en) 1996-05-13 2002-08-27 Applied Materials, Inc. Process chamber having improved temperature control
US5948288A (en) 1996-05-28 1999-09-07 Komag, Incorporated Laser disk texturing apparatus
US5824197A (en) 1996-06-05 1998-10-20 Applied Materials, Inc. Shield for a physical vapor deposition chamber
US5812362A (en) 1996-06-14 1998-09-22 Applied Materials, Inc. Method and apparatus for the use of diamond films as dielectric coatings on electrostatic chucks
US5748434A (en) 1996-06-14 1998-05-05 Applied Materials, Inc. Shield for an electrostatic chuck
US6120621A (en) 1996-07-08 2000-09-19 Alcan International Limited Cast aluminum alloy for can stock and process for producing the alloy
US5988187A (en) * 1996-07-09 1999-11-23 Lam Research Corporation Chemical vapor deposition system with a plasma chamber having separate process gas and cleaning gas injection ports
US5736021A (en) 1996-07-10 1998-04-07 Applied Materials, Inc. Electrically floating shield in a plasma reactor
US5846332A (en) 1996-07-12 1998-12-08 Applied Materials, Inc. Thermally floating pedestal collar in a chemical vapor deposition chamber
US6090210A (en) * 1996-07-24 2000-07-18 Applied Materials, Inc. Multi-zone gas flow control in a process chamber
US5810931A (en) 1996-07-30 1998-09-22 Applied Materials, Inc. High aspect ratio clamp ring
JP3619330B2 (ja) 1996-07-31 2005-02-09 京セラ株式会社 プラズマプロセス装置用部材
US5914018A (en) 1996-08-23 1999-06-22 Applied Materials, Inc. Sputter target for eliminating redeposition on the target sidewall
US6143432A (en) 1998-01-09 2000-11-07 L. Pierre deRochemont Ceramic composites with improved interfacial properties and methods to make such composites
US5916454A (en) 1996-08-30 1999-06-29 Lam Research Corporation Methods and apparatus for reducing byproduct particle generation in a plasma processing chamber
US5942041A (en) 1996-09-16 1999-08-24 Mosel-Vitelic, Inc. Non-sticking semi-conductor wafer clamp and method of making same
JP3363040B2 (ja) * 1996-09-30 2003-01-07 株式会社荏原製作所 高速原子線源
US5830327A (en) 1996-10-02 1998-11-03 Intevac, Inc. Methods and apparatus for sputtering with rotating magnet sputter sources
US6007673A (en) 1996-10-02 1999-12-28 Matsushita Electronics Corporation Apparatus and method of producing an electronic device
US6254737B1 (en) 1996-10-08 2001-07-03 Applied Materials, Inc. Active shield for generating a plasma for sputtering
US6190513B1 (en) * 1997-05-14 2001-02-20 Applied Materials, Inc. Darkspace shield for improved RF transmission in inductively coupled plasma sources for sputter deposition
US6036587A (en) * 1996-10-10 2000-03-14 Applied Materials, Inc. Carrier head with layer of conformable material for a chemical mechanical polishing system
US5930661A (en) 1996-10-15 1999-07-27 Vanguard International Semiconductor Corporation Substrate clamp design for minimizing substrate to clamp sticking during thermal processing of thermally flowable layers
US5685959A (en) 1996-10-25 1997-11-11 Hmt Technology Corporation Cathode assembly having rotating magnetic-field shunt and method of making magnetic recording media
SG54602A1 (en) 1996-11-26 1998-11-16 Applied Materials Inc Coated deposition chamber equipment
US6284093B1 (en) * 1996-11-29 2001-09-04 Applied Materials, Inc. Shield or ring surrounding semiconductor workpiece in plasma chamber
US5885428A (en) * 1996-12-04 1999-03-23 Applied Materials, Inc. Method and apparatus for both mechanically and electrostatically clamping a wafer to a pedestal within a semiconductor wafer processing system
US5939146A (en) 1996-12-11 1999-08-17 The Regents Of The University Of California Method for thermal spraying of nanocrystalline coatings and materials for the same
US6152071A (en) 1996-12-11 2000-11-28 Canon Kabushiki Kaisha High-frequency introducing means, plasma treatment apparatus, and plasma treatment method
US5821166A (en) 1996-12-12 1998-10-13 Komatsu Electronic Metals Co., Ltd. Method of manufacturing semiconductor wafers
US6120640A (en) 1996-12-19 2000-09-19 Applied Materials, Inc. Boron carbide parts and coatings in a plasma reactor
DE59712307D1 (de) * 1996-12-21 2005-06-16 Singulus Technologies Ag Vorrichtung zur kathodenzerstäubung
KR20000069523A (ko) * 1997-01-16 2000-11-25 보텀필드 레인, 에프. 기상 증착 요소 및 기상 증착 방법
US5963778A (en) 1997-02-13 1999-10-05 Tosoh Smd, Inc. Method for producing near net shape planar sputtering targets and an intermediate therefor
US5808270A (en) 1997-02-14 1998-09-15 Ford Global Technologies, Inc. Plasma transferred wire arc thermal spray apparatus and method
US5844318A (en) 1997-02-18 1998-12-01 Micron Technology, Inc. Aluminum film for semiconductive devices
JPH10242255A (ja) * 1997-02-28 1998-09-11 Kyocera Corp 真空吸着装置
US5916378A (en) 1997-03-11 1999-06-29 Wj Semiconductor Equipment Group, Inc. Method of reducing metal contamination during semiconductor processing in a reactor having metal components
US6432203B1 (en) 1997-03-17 2002-08-13 Applied Komatsu Technology, Inc. Heated and cooled vacuum chamber shield
US5893643A (en) 1997-03-25 1999-04-13 Applied Materials, Inc. Apparatus for measuring pedestal temperature in a semiconductor wafer processing system
US6103069A (en) 1997-03-31 2000-08-15 Applied Materials, Inc. Chamber design with isolation valve to preserve vacuum during maintenance
DE19719133C2 (de) 1997-05-07 1999-09-02 Heraeus Quarzglas Glocke aus Quarzglas und Verfahren für ihre Herstellung
KR100246858B1 (ko) * 1997-05-07 2000-03-15 윤종용 건식 식각 장치
US6000415A (en) 1997-05-12 1999-12-14 Applied Materials, Inc. Method and apparatus for positioning a restrictor shield of a pump in response to an electric signal
US6210539B1 (en) 1997-05-14 2001-04-03 Applied Materials, Inc. Method and apparatus for producing a uniform density plasma above a substrate
US6103070A (en) 1997-05-14 2000-08-15 Applied Materials, Inc. Powered shield source for high density plasma
US5897752A (en) * 1997-05-20 1999-04-27 Applied Materials, Inc. Wafer bias ring in a sustained self-sputtering reactor
US6589407B1 (en) 1997-05-23 2003-07-08 Applied Materials, Inc. Aluminum deposition shield
JP3449459B2 (ja) 1997-06-02 2003-09-22 株式会社ジャパンエナジー 薄膜形成装置用部材の製造方法および該装置用部材
JP4023893B2 (ja) 1997-06-06 2007-12-19 沖電気工業株式会社 発光素子アレイ及び発光素子
US6051114A (en) 1997-06-23 2000-04-18 Applied Materials, Inc. Use of pulsed-DC wafer bias for filling vias/trenches with metal in HDP physical vapor deposition
US5846330A (en) * 1997-06-26 1998-12-08 Celestech, Inc. Gas injection disc assembly for CVD applications
US6063440A (en) 1997-07-11 2000-05-16 Applied Materials, Inc. Method for aligning a wafer
US5985033A (en) 1997-07-11 1999-11-16 Applied Materials, Inc. Apparatus and method for delivering a gas
US6186092B1 (en) 1997-08-19 2001-02-13 Applied Materials, Inc. Apparatus and method for aligning and controlling edge deposition on a substrate
US6051122A (en) 1997-08-21 2000-04-18 Applied Materials, Inc. Deposition shield assembly for a semiconductor wafer processing system
JP3269993B2 (ja) 1997-08-28 2002-04-02 本田技研工業株式会社 車両の運動制御装置
US6162297A (en) 1997-09-05 2000-12-19 Applied Materials, Inc. Embossed semiconductor fabrication parts
US6010583A (en) * 1997-09-09 2000-01-04 Sony Corporation Method of making unreacted metal/aluminum sputter target
FR2768158B1 (fr) 1997-09-10 2001-06-01 Seb Sa Revetement de couche antiadherent a durete amelioree pour support en aluminium, articles et ustensiles culinaires comportant ce revetement
US6258170B1 (en) 1997-09-11 2001-07-10 Applied Materials, Inc. Vaporization and deposition apparatus
US5922133A (en) 1997-09-12 1999-07-13 Applied Materials, Inc. Multiple edge deposition exclusion rings
WO1999014788A1 (en) 1997-09-16 1999-03-25 Applied Materials, Inc. Shield or ring surrounding semiconductor workpiece in plasma chamber
US5903428A (en) 1997-09-25 1999-05-11 Applied Materials, Inc. Hybrid Johnsen-Rahbek electrostatic chuck having highly resistive mesas separating the chuck from a wafer supported thereupon and method of fabricating same
US5879523A (en) 1997-09-29 1999-03-09 Applied Materials, Inc. Ceramic coated metallic insulator particularly useful in a plasma sputter reactor
US5920764A (en) 1997-09-30 1999-07-06 International Business Machines Corporation Process for restoring rejected wafers in line for reuse as new
US6364957B1 (en) 1997-10-09 2002-04-02 Applied Materials, Inc. Support assembly with thermal expansion compensation
US6068685A (en) 1997-10-15 2000-05-30 Saes Pure Gas, Inc. Semiconductor manufacturing system with getter safety device
US6379575B1 (en) 1997-10-21 2002-04-30 Applied Materials, Inc. Treatment of etching chambers using activated cleaning gas
US5953827A (en) 1997-11-05 1999-09-21 Applied Materials, Inc. Magnetron with cooling system for process chamber of processing system
US6106625A (en) 1997-12-02 2000-08-22 Applied Materials, Inc. Reactor useful for chemical vapor deposition of titanium nitride
US6063441A (en) 1997-12-02 2000-05-16 Applied Materials, Inc. Processing chamber and method for confining plasma
US5976327A (en) 1997-12-12 1999-11-02 Applied Materials, Inc. Step coverage and overhang improvement by pedestal bias voltage modulation
JP3179075B2 (ja) 1997-12-22 2001-06-25 旭化成株式会社 電気植毛用の繊維及び電気植毛品
US6340415B1 (en) * 1998-01-05 2002-01-22 Applied Materials, Inc. Method and apparatus for enhancing a sputtering target's lifetime
US6579431B1 (en) 1998-01-14 2003-06-17 Tosoh Smd, Inc. Diffusion bonding of high purity metals and metal alloys to aluminum backing plates using nickel or nickel alloy interlayers
KR100265289B1 (ko) 1998-01-26 2000-09-15 윤종용 플라즈마식각장치의 캐소우드 제조방법 및 이에 따라 제조되는 캐소우드
JP3271658B2 (ja) 1998-03-23 2002-04-02 信越半導体株式会社 半導体シリコン単結晶ウェーハのラップ又は研磨方法
JP3483494B2 (ja) 1998-03-31 2004-01-06 キヤノン株式会社 真空処理装置および真空処理方法、並びに該方法によって作成される電子写真感光体
JP3540936B2 (ja) 1998-03-31 2004-07-07 京セラ株式会社 真空容器
US6015465A (en) * 1998-04-08 2000-01-18 Applied Materials, Inc. Temperature control system for semiconductor process chamber
USH2087H1 (en) 1998-05-19 2003-11-04 H. C. Starck, Inc. Pickling of refractory metals
US6323055B1 (en) 1998-05-27 2001-11-27 The Alta Group, Inc. Tantalum sputtering target and method of manufacture
US6086735A (en) 1998-06-01 2000-07-11 Praxair S.T. Technology, Inc. Contoured sputtering target
KR100290781B1 (ko) 1998-06-30 2001-06-01 박종섭 반도체 소자 및 그 제조방법
US6014979A (en) * 1998-06-22 2000-01-18 Applied Materials, Inc. Localizing cleaning plasma for semiconductor processing
DE19830817B4 (de) 1998-07-09 2011-06-09 Leifeld Metal Spinning Gmbh Verfahren zum Umformen eines Werkstücks durch Drückwalzen
US6096135A (en) 1998-07-21 2000-08-01 Applied Materials, Inc. Method and apparatus for reducing contamination of a substrate in a substrate processing system
US6280584B1 (en) 1998-07-29 2001-08-28 Applied Materials, Inc. Compliant bond structure for joining ceramic to metal
US6132566A (en) 1998-07-30 2000-10-17 Applied Materials, Inc. Apparatus and method for sputtering ionized material in a plasma
US6183686B1 (en) * 1998-08-04 2001-02-06 Tosoh Smd, Inc. Sputter target assembly having a metal-matrix-composite backing plate and methods of making same
US6071389A (en) 1998-08-21 2000-06-06 Tosoh Smd, Inc. Diffusion bonded sputter target assembly and method of making
JP4213790B2 (ja) 1998-08-26 2009-01-21 コバレントマテリアル株式会社 耐プラズマ部材およびそれを用いたプラズマ処理装置
US6749103B1 (en) 1998-09-11 2004-06-15 Tosoh Smd, Inc. Low temperature sputter target bonding method and target assemblies produced thereby
US6170429B1 (en) * 1998-09-30 2001-01-09 Lam Research Corporation Chamber liner for semiconductor process chambers
US6238528B1 (en) 1998-10-13 2001-05-29 Applied Materials, Inc. Plasma density modulator for improved plasma density uniformity and thickness uniformity in an ionized metal plasma source
JP2000124092A (ja) 1998-10-16 2000-04-28 Shin Etsu Handotai Co Ltd 水素イオン注入剥離法によってsoiウエーハを製造する方法およびこの方法で製造されたsoiウエーハ
JP2002529594A (ja) 1998-10-29 2002-09-10 アプライド マテリアルズ インコーポレイテッド 半導体ウエハ処理システムにおいて加工物を貫通して電力を結合する装置
JP2000144399A (ja) * 1998-10-30 2000-05-26 Applied Materials Inc スパッタリング装置
US6365010B1 (en) 1998-11-06 2002-04-02 Scivac Sputtering apparatus and process for high rate coatings
JP3937004B2 (ja) * 1998-11-18 2007-06-27 日本発条株式会社 ヒータユニット
US6168668B1 (en) * 1998-11-25 2001-01-02 Applied Materials, Inc. Shadow ring and guide for supporting the shadow ring in a chamber
US6447853B1 (en) 1998-11-30 2002-09-10 Kawasaki Microelectronics, Inc. Method and apparatus for processing semiconductor substrates
JP3919409B2 (ja) 1998-11-30 2007-05-23 川崎マイクロエレクトロニクス株式会社 プラズマ処理装置および半導体製造装置のフォーカスリング
JP3865349B2 (ja) 1998-12-21 2007-01-10 アプライド マテリアルズ インコーポレイテッド イオン注入装置のウェハ支持台
US6276997B1 (en) 1998-12-23 2001-08-21 Shinhwa Li Use of chemical mechanical polishing and/or poly-vinyl-acetate scrubbing to restore quality of used semiconductor wafers
JP4141560B2 (ja) * 1998-12-28 2008-08-27 日本メクトロン株式会社 回路基板のプラズマ処理装置
JP3164559B2 (ja) 1998-12-28 2001-05-08 太平洋セメント株式会社 処理容器用部材
US6159299A (en) 1999-02-09 2000-12-12 Applied Materials, Inc. Wafer pedestal with a purge ring
US6183614B1 (en) * 1999-02-12 2001-02-06 Applied Materials, Inc. Rotating sputter magnetron assembly
US6123804A (en) 1999-02-22 2000-09-26 Applied Materials, Inc. Sectional clamp ring
JP2000243542A (ja) * 1999-02-24 2000-09-08 Nhk Spring Co Ltd ヒータユニット及びその製造方法
KR100343136B1 (ko) 1999-03-18 2002-07-05 윤종용 이중 연마저지층을 이용한 화학기계적 연마방법
KR20010014842A (ko) 1999-04-30 2001-02-26 조셉 제이. 스위니 반도체 장치를 제조하기 위한 장치 및 방법
US6617553B2 (en) 1999-05-19 2003-09-09 Applied Materials, Inc. Multi-zone resistive heater
US6500321B1 (en) 1999-05-26 2002-12-31 Novellus Systems, Inc. Control of erosion profile and process characteristics in magnetron sputtering by geometrical shaping of the sputtering target
US6146509A (en) 1999-06-11 2000-11-14 Scivac Inverted field circular magnetron sputtering device
US6156124A (en) 1999-06-18 2000-12-05 Applied Materials, Inc. Wafer transfer station for a chemical mechanical polisher
US6352620B2 (en) 1999-06-28 2002-03-05 Applied Materials, Inc. Staged aluminum deposition process for filling vias
US6444083B1 (en) 1999-06-30 2002-09-03 Lam Research Corporation Corrosion resistant component of semiconductor processing equipment and method of manufacturing thereof
US6235163B1 (en) 1999-07-09 2001-05-22 Applied Materials, Inc. Methods and apparatus for ionized metal plasma copper deposition with enhanced in-film particle performance
US6162336A (en) 1999-07-12 2000-12-19 Chartered Semiconductor Manufacturing Ltd. Clamping ring design to reduce wafer sticking problem in metal deposition
US6436303B1 (en) * 1999-07-21 2002-08-20 Applied Materials, Inc. Film removal employing a remote plasma source
US6500299B1 (en) * 1999-07-22 2002-12-31 Applied Materials Inc. Chamber having improved gas feed-through and method
KR100613919B1 (ko) 1999-07-26 2006-08-18 동경 엘렉트론 주식회사 기판세정구, 기판세정장치 및 기판세정방법
US6689252B1 (en) * 1999-07-28 2004-02-10 Applied Materials, Inc. Abatement of hazardous gases in effluent
US6583364B1 (en) * 1999-08-26 2003-06-24 Sony Chemicals Corp. Ultrasonic manufacturing apparatuses, multilayer flexible wiring boards and processes for manufacturing multilayer flexible wiring boards
US6196532B1 (en) * 1999-08-27 2001-03-06 Applied Materials, Inc. 3 point vacuum chuck with non-resilient support members
KR100315088B1 (ko) 1999-09-29 2001-11-24 윤종용 포커스 링을 갖는 반도체 웨이퍼 제조 장치
KR100331555B1 (ko) * 1999-09-30 2002-04-06 윤종용 복수의 통공이 형성된 배플 및 그를 구비한 반도체소자 제조장비
US6190516B1 (en) * 1999-10-06 2001-02-20 Praxair S.T. Technology, Inc. High magnetic flux sputter targets with varied magnetic permeability in selected regions
US6423175B1 (en) 1999-10-06 2002-07-23 Taiwan Semiconductor Manufacturing Co., Ltd Apparatus and method for reducing particle contamination in an etcher
US6398929B1 (en) 1999-10-08 2002-06-04 Applied Materials, Inc. Plasma reactor and shields generating self-ionized plasma for sputtering
US6149784A (en) 1999-10-22 2000-11-21 Applied Materials, Inc. Sputtering chamber shield promoting reliable plasma ignition
US6299740B1 (en) 2000-01-19 2001-10-09 Veeco Instrument, Inc. Sputtering assembly and target therefor
US6780794B2 (en) 2000-01-20 2004-08-24 Honeywell International Inc. Methods of bonding physical vapor deposition target materials to backing plate materials
US6277249B1 (en) 2000-01-21 2001-08-21 Applied Materials Inc. Integrated process for copper via filling using a magnetron and target producing highly energetic ions
US6227435B1 (en) 2000-02-02 2001-05-08 Ford Global Technologies, Inc. Method to provide a smooth paintable surface after aluminum joining
US6627056B2 (en) * 2000-02-16 2003-09-30 Applied Materials, Inc. Method and apparatus for ionized plasma deposition
TW503442B (en) * 2000-02-29 2002-09-21 Applied Materials Inc Coil and coil support for generating a plasma
JP2002181050A (ja) 2000-03-16 2002-06-26 Nsk Ltd 転がり摺動部材とその製造方法及び転がり摺動ユニット
US6391146B1 (en) 2000-04-11 2002-05-21 Applied Materials, Inc. Erosion resistant gas energizer
US6623595B1 (en) 2000-03-27 2003-09-23 Applied Materials, Inc. Wavy and roughened dome in plasma processing reactor
US6394023B1 (en) 2000-03-27 2002-05-28 Applied Materials, Inc. Process kit parts and method for using same
US6416634B1 (en) 2000-04-05 2002-07-09 Applied Materials, Inc. Method and apparatus for reducing target arcing during sputter deposition
TW503449B (en) 2000-04-18 2002-09-21 Ngk Insulators Ltd Halogen gas plasma-resistive members and method for producing the same, laminates, and corrosion-resistant members
US6329297B1 (en) 2000-04-21 2001-12-11 Applied Materials, Inc. Dilute remote plasma clean
JP4592916B2 (ja) * 2000-04-25 2010-12-08 東京エレクトロン株式会社 被処理体の載置装置
WO2001084624A2 (en) 2000-04-28 2001-11-08 Applied Materials, Inc. Semiconductor lift pin for dechucking substrates
US6401652B1 (en) 2000-05-04 2002-06-11 Applied Materials, Inc. Plasma reactor inductive coil antenna with flat surface facing the plasma
AU2001255373A1 (en) 2000-05-04 2001-11-12 Etec Systems, Inc. Method and apparatus for imaging a specimen using indirect in-column detection of secondary electrons in a microcolumn
US6287437B1 (en) 2000-05-05 2001-09-11 Alcatel Recessed bonding of target for RF diode sputtering
US20010035403A1 (en) * 2000-05-18 2001-11-01 Albert Wang Method and structure for producing flat wafer chucks
US6619537B1 (en) 2000-06-12 2003-09-16 Tosoh Smd, Inc. Diffusion bonding of copper sputtering targets to backing plates using nickel alloy interlayers
JP2004514272A (ja) * 2000-06-14 2004-05-13 アプライド マテリアルズ インコーポレイテッド 基板のクリーニング装置及び方法
US6358376B1 (en) * 2000-07-10 2002-03-19 Applied Materials, Inc. Biased shield in a magnetron sputter reactor
US6627050B2 (en) 2000-07-28 2003-09-30 Applied Materials, Inc. Method and apparatus for depositing a tantalum-containing layer on a substrate
US6506289B2 (en) * 2000-08-07 2003-01-14 Symmorphix, Inc. Planar optical devices and methods for their manufacture
AU2001286453A1 (en) * 2000-08-11 2002-02-25 Chem Trace Corporation System and method for cleaning semiconductor fabrication equipment parts
KR20030024868A (ko) 2000-08-17 2003-03-26 토소우 에스엠디, 인크 수명-종료-표시를 갖는 고순도 스퍼터 타켓과 이의 제조방법
US6497797B1 (en) 2000-08-21 2002-12-24 Honeywell International Inc. Methods of forming sputtering targets, and sputtering targets formed thereby
US6383459B1 (en) 2000-08-31 2002-05-07 Osram Sylvania Inc. Method for purifying a tantalum compound using a fluoride compound and sulfuric acid
JP3666375B2 (ja) 2000-09-05 2005-06-29 日本軽金属株式会社 表面処理アルミニウム材及びその製造方法
EP1322444A4 (en) * 2000-09-11 2008-01-23 Tosoh Smd Inc METHOD FOR MANUFACTURING CATHODIC SPUTTER TARGETS WITH INTERNAL COOLING CHANNELS
US6503331B1 (en) 2000-09-12 2003-01-07 Applied Materials, Inc. Tungsten chamber with stationary heater
US6475336B1 (en) 2000-10-06 2002-11-05 Lam Research Corporation Electrostatically clamped edge ring for plasma processing
JP3964177B2 (ja) * 2000-10-30 2007-08-22 大日本スクリーン製造株式会社 基板処理方法
US6716302B2 (en) 2000-11-01 2004-04-06 Applied Materials Inc. Dielectric etch chamber with expanded process window
WO2002040733A1 (fr) 2000-11-17 2002-05-23 Nikko Materials Company, Limited Cible de pulverisation produisant peu de particules, plaque support ou appareil de pulverisation, et procede de pulverisation produisant peu de particules
JP2004514066A (ja) 2000-11-27 2004-05-13 ユナキス・トレーディング・アクチェンゲゼルシャフト 厚さがならい削りされた、rfマグネトロン用ターゲット
US6887356B2 (en) * 2000-11-27 2005-05-03 Cabot Corporation Hollow cathode target and methods of making same
US20020090464A1 (en) 2000-11-28 2002-07-11 Mingwei Jiang Sputter chamber shield
US6800173B2 (en) 2000-12-15 2004-10-05 Novellus Systems, Inc. Variable gas conductance control for a process chamber
US7146703B2 (en) 2000-12-18 2006-12-12 Tosoh Smd Low temperature sputter target/backing plate method and assembly
US6805952B2 (en) 2000-12-29 2004-10-19 Lam Research Corporation Low contamination plasma chamber components and methods for making the same
JP2002220661A (ja) 2001-01-29 2002-08-09 Sharp Corp スパッタリング装置に用いられるバッキングプレートおよびスパッタリング方法
US6576909B2 (en) 2001-02-28 2003-06-10 International Business Machines Corp. Ion generation chamber
US6673199B1 (en) * 2001-03-07 2004-01-06 Applied Materials, Inc. Shaping a plasma with a magnetic field to control etch rate uniformity
TWI224626B (en) 2001-04-24 2004-12-01 Tosoh Smd Inc Method of optimizing a sputtering target profile for the purpose of extending target utilization life and targets made by such method
US6795292B2 (en) 2001-05-15 2004-09-21 Dennis Grimard Apparatus for regulating temperature of a process kit in a semiconductor wafer-processing chamber
US6638366B2 (en) 2001-05-15 2003-10-28 Northrop Grumman Corporation Automated spray cleaning apparatus for semiconductor wafers
US6599405B2 (en) 2001-05-30 2003-07-29 Praxair S.T. Technology, Inc. Recessed sputter target
US6777045B2 (en) 2001-06-27 2004-08-17 Applied Materials Inc. Chamber components having textured surfaces and method of manufacture
US20030000647A1 (en) * 2001-06-29 2003-01-02 Applied Materials, Inc. Substrate processing chamber
US7374636B2 (en) * 2001-07-06 2008-05-20 Applied Materials, Inc. Method and apparatus for providing uniform plasma in a magnetic field enhanced plasma reactor
US6974640B2 (en) 2001-07-09 2005-12-13 The University Of Connecticut Duplex coatings and bulk materials, and methods of manufacture thereof
US6620736B2 (en) 2001-07-24 2003-09-16 Tokyo Electron Limited Electrostatic control of deposition of, and etching by, ionized materials in semiconductor processing
US20030047464A1 (en) * 2001-07-27 2003-03-13 Applied Materials, Inc. Electrochemically roughened aluminum semiconductor processing apparatus surfaces
US6652713B2 (en) 2001-08-09 2003-11-25 Applied Materials, Inc. Pedestal with integral shield
KR100617402B1 (ko) 2001-09-17 2006-09-01 헤래우스 인코포레이티드 사용된 스퍼터링 타깃을 보수하는 방법 및 보수된 스퍼터타깃
US6682627B2 (en) * 2001-09-24 2004-01-27 Applied Materials, Inc. Process chamber having a corrosion-resistant wall and method
US20030072639A1 (en) * 2001-10-17 2003-04-17 Applied Materials, Inc. Substrate support
US6652716B2 (en) 2001-10-19 2003-11-25 Taiwan Semiconductor Manufacturing Co., Ltd Apparatus and method for self-aligning a cover ring in a sputter chamber
US6645357B2 (en) 2001-11-05 2003-11-11 Applied Materials, Inc. Mesh shield in a sputter reactor
US6454870B1 (en) 2001-11-26 2002-09-24 General Electric Co. Chemical removal of a chromium oxide coating from an article
US6667577B2 (en) 2001-12-18 2003-12-23 Applied Materials, Inc Plasma reactor with spoke antenna having a VHF mode with the spokes in phase
US6656535B2 (en) 2001-12-21 2003-12-02 Applied Materials, Inc Method of fabricating a coated process chamber component
US6899798B2 (en) 2001-12-21 2005-05-31 Applied Materials, Inc. Reusable ceramic-comprising component which includes a scrificial surface layer
US6824612B2 (en) 2001-12-26 2004-11-30 Applied Materials, Inc. Electroless plating system
US7371467B2 (en) 2002-01-08 2008-05-13 Applied Materials, Inc. Process chamber component having electroplated yttrium containing coating
US6821350B2 (en) 2002-01-23 2004-11-23 Applied Materials, Inc. Cleaning process residues on a process chamber component
KR100446623B1 (ko) 2002-01-30 2004-09-04 삼성에스디아이 주식회사 전계 방출 표시장치 및 그 제조방법
US6743340B2 (en) 2002-02-05 2004-06-01 Applied Materials, Inc. Sputtering of aligned magnetic materials and magnetic dipole ring used therefor
US20040048876A1 (en) * 2002-02-20 2004-03-11 Pfizer Inc. Ziprasidone composition and synthetic controls
KR20030071926A (ko) 2002-03-02 2003-09-13 엘지.필립스 엘시디 주식회사 스퍼터링 타겟 어셈블리 및 이를 이용한 스퍼터링 장비
US6623610B1 (en) 2002-03-02 2003-09-23 Shinzo Onishi Magnetron sputtering target for magnetic materials
US6730174B2 (en) 2002-03-06 2004-05-04 Applied Materials, Inc. Unitary removable shield assembly
US20030170486A1 (en) 2002-03-08 2003-09-11 David Austin Copper clad aluminum strips and a process for making copper clad aluminum strips
US6812471B2 (en) * 2002-03-13 2004-11-02 Applied Materials, Inc. Method of surface texturizing
US6933508B2 (en) 2002-03-13 2005-08-23 Applied Materials, Inc. Method of surface texturizing
US7026009B2 (en) 2002-03-27 2006-04-11 Applied Materials, Inc. Evaluation of chamber components having textured coatings
WO2003083918A1 (fr) 2002-04-03 2003-10-09 Toho Engineering Kabushiki Kaisha Tampon a polir et procede de fabrication de substrat a semi-conducteurs utilisant ce tampon a polir
US20030188685A1 (en) 2002-04-08 2003-10-09 Applied Materials, Inc. Laser drilled surfaces for substrate processing chambers
US20030194510A1 (en) * 2002-04-16 2003-10-16 Applied Materials, Inc. Methods used in fabricating gates in integrated circuit device structures
US7041200B2 (en) 2002-04-19 2006-05-09 Applied Materials, Inc. Reducing particle generation during sputter deposition
US6676812B2 (en) * 2002-05-09 2004-01-13 Taiwan Semiconductor Manufacturing Co., Ltd. Alignment mark shielding ring without arcing defect and method for using
TWI269815B (en) 2002-05-20 2007-01-01 Tosoh Smd Inc Replaceable target sidewall insert with texturing
US20030217693A1 (en) 2002-05-22 2003-11-27 Applied Materials, Inc. Substrate support assembly having an edge protector
US6708870B2 (en) * 2002-05-24 2004-03-23 Praxair S.T. Technology, Inc. Method for forming sputter target assemblies
US6565984B1 (en) 2002-05-28 2003-05-20 Applied Materials Inc. Clean aluminum alloy for semiconductor processing equipment
WO2003101762A1 (en) 2002-05-28 2003-12-11 Advanced Technology Materials, Inc. Process for cleaning and repassivating semiconductor equipment parts
US6652668B1 (en) 2002-05-31 2003-11-25 Praxair S.T. Technology, Inc. High-purity ferromagnetic sputter targets and method of manufacture
US6955748B2 (en) 2002-07-16 2005-10-18 Honeywell International Inc. PVD target constructions comprising projections
FR2842648B1 (fr) 2002-07-18 2005-01-14 Commissariat Energie Atomique Procede de transfert d'une couche mince electriquement active
US7223323B2 (en) * 2002-07-24 2007-05-29 Applied Materials, Inc. Multi-chemistry plating system
WO2004012242A1 (en) 2002-07-26 2004-02-05 Applied Materials, Inc. Hydrophilic components for a spin-rinse-dryer
US6846396B2 (en) * 2002-08-08 2005-01-25 Applied Materials, Inc. Active magnetic shielding
US20040027781A1 (en) 2002-08-12 2004-02-12 Hiroji Hanawa Low loss RF bias electrode for a plasma reactor with enhanced wafer edge RF coupling and highly efficient wafer cooling
US7141138B2 (en) 2002-09-13 2006-11-28 Applied Materials, Inc. Gas delivery system for semiconductor processing
US20040231798A1 (en) 2002-09-13 2004-11-25 Applied Materials, Inc. Gas delivery system for semiconductor processing
US20040069223A1 (en) 2002-10-10 2004-04-15 Taiwan Semiconductor Manufacturing Co., Ltd. Wall liner and slot liner for process chamber
WO2004038062A2 (en) 2002-10-21 2004-05-06 Cabot Corporation Method of forming a sputtering target assembly and assembly made therefrom
US20050028838A1 (en) * 2002-11-25 2005-02-10 Karl Brueckner Cleaning tantalum-containing deposits from process chamber components
US6902628B2 (en) 2002-11-25 2005-06-07 Applied Materials, Inc. Method of cleaning a coated process chamber component
US7270713B2 (en) * 2003-01-07 2007-09-18 Applied Materials, Inc. Tunable gas distribution plate assembly
US20040134427A1 (en) 2003-01-09 2004-07-15 Derderian Garo J. Deposition chamber surface enhancement and resulting deposition chambers
US6811657B2 (en) 2003-01-27 2004-11-02 Micron Technology, Inc. Device for measuring the profile of a metal film sputter deposition target, and system and method employing same
KR101352995B1 (ko) 2003-02-14 2014-01-21 어플라이드 머티어리얼스, 인코포레이티드 수소-함유 라디칼을 이용한 자연 산화물 세정
US6942753B2 (en) * 2003-04-16 2005-09-13 Applied Materials, Inc. Gas distribution plate assembly for large area plasma enhanced chemical vapor deposition
JP2007525591A (ja) 2003-04-18 2007-09-06 アプライド マテリアルズ インコーポレイテッド 複数の化学物質メッキシステム
US20060105182A1 (en) 2004-11-16 2006-05-18 Applied Materials, Inc. Erosion resistant textured chamber surface
US20040261946A1 (en) 2003-04-24 2004-12-30 Tokyo Electron Limited Plasma processing apparatus, focus ring, and susceptor
US7297247B2 (en) 2003-05-06 2007-11-20 Applied Materials, Inc. Electroformed sputtering target
US7097744B2 (en) 2003-06-12 2006-08-29 Applied Materials, Inc. Method and apparatus for controlling darkspace gap in a chamber
EP1639620A2 (en) 2003-06-20 2006-03-29 Cabot Corporation Method and design for sputter target attachment to a backing plate
US6992261B2 (en) * 2003-07-15 2006-01-31 Cabot Corporation Sputtering target assemblies using resistance welding
US7425093B2 (en) 2003-07-16 2008-09-16 Cabot Corporation Thermography test method and apparatus for bonding evaluation in sputtering targets
US20050022736A1 (en) * 2003-07-29 2005-02-03 Lam Research Inc., A Delaware Corporation Method for balancing return currents in plasma processing apparatus
US20050048876A1 (en) * 2003-09-02 2005-03-03 Applied Materials, Inc. Fabricating and cleaning chamber components having textured surfaces
US20050061857A1 (en) * 2003-09-24 2005-03-24 Hunt Thomas J. Method for bonding a sputter target to a backing plate and the assembly thereof
US7431195B2 (en) * 2003-09-26 2008-10-07 Praxair S.T. Technology, Inc. Method for centering a sputter target onto a backing plate and the assembly thereof
US7910218B2 (en) 2003-10-22 2011-03-22 Applied Materials, Inc. Cleaning and refurbishing chamber components having metal coatings
US20050098427A1 (en) 2003-11-11 2005-05-12 Taiwan Semiconductor Manufacturing Co., Ltd. RF coil design for improved film uniformity of an ion metal plasma source
US7294224B2 (en) 2003-12-01 2007-11-13 Applied Materials, Inc. Magnet assembly for plasma containment
US20050150452A1 (en) 2004-01-14 2005-07-14 Soovo Sen Process kit design for deposition chamber
EP1711646A4 (en) 2004-02-03 2008-05-28 Honeywell Int Inc TARGET STRUCTURES FOR VAPOR PHYSICAL DEPOSITION
US6983892B2 (en) * 2004-02-05 2006-01-10 Applied Materials, Inc. Gas distribution showerhead for semiconductor processing
US7264679B2 (en) 2004-02-11 2007-09-04 Applied Materials, Inc. Cleaning of chamber components
US20050229849A1 (en) 2004-02-13 2005-10-20 Applied Materials, Inc. High productivity plasma processing chamber
US20050178653A1 (en) 2004-02-17 2005-08-18 Charles Fisher Method for elimination of sputtering into the backing plate of a target/backing plate assembly
US7049612B2 (en) 2004-03-02 2006-05-23 Applied Materials Electron beam treatment apparatus
US7504008B2 (en) * 2004-03-12 2009-03-17 Applied Materials, Inc. Refurbishment of sputtering targets
US7018515B2 (en) 2004-03-24 2006-03-28 Applied Materials, Inc. Selectable dual position magnetron
US20050238807A1 (en) 2004-04-27 2005-10-27 Applied Materials, Inc. Refurbishment of a coated chamber component
US20050252449A1 (en) 2004-05-12 2005-11-17 Nguyen Son T Control of gas flow and delivery to suppress the formation of particles in an MOCVD/ALD system
US7618769B2 (en) 2004-06-07 2009-11-17 Applied Materials, Inc. Textured chamber surface
US20060005767A1 (en) * 2004-06-28 2006-01-12 Applied Materials, Inc. Chamber component having knurled surface
US20060188742A1 (en) 2005-01-18 2006-08-24 Applied Materials, Inc. Chamber component having grooved surface
US20060021870A1 (en) * 2004-07-27 2006-02-02 Applied Materials, Inc. Profile detection and refurbishment of deposition targets
US7429410B2 (en) * 2004-09-20 2008-09-30 Applied Materials, Inc. Diffuser gravity support
US7670436B2 (en) 2004-11-03 2010-03-02 Applied Materials, Inc. Support ring assembly
JP4666576B2 (ja) * 2004-11-08 2011-04-06 東京エレクトロン株式会社 セラミック溶射部材の洗浄方法、該方法を実行するためのプログラム、記憶媒体、及びセラミック溶射部材
US7736599B2 (en) 2004-11-12 2010-06-15 Applied Materials, Inc. Reactor design to reduce particle deposition during process abatement
ATE546561T1 (de) 2004-11-19 2012-03-15 Applied Materials Gmbh & Co Kg Trägerplatte mit einer darauf aufgesetzten gekühlten rückenplatte
US7579067B2 (en) 2004-11-24 2009-08-25 Applied Materials, Inc. Process chamber component with layered coating and method
JP2006156486A (ja) * 2004-11-25 2006-06-15 Tokyo Electron Ltd 基板処理方法および半導体装置の製造方法
US20060130767A1 (en) * 2004-12-22 2006-06-22 Applied Materials, Inc. Purged vacuum chuck with proximity pins
US20060228490A1 (en) * 2005-04-07 2006-10-12 Applied Materials, Inc. Gas distribution uniformity improvement by baffle plate with multi-size holes for large size PECVD systems
JP4624856B2 (ja) * 2005-05-30 2011-02-02 東京エレクトロン株式会社 プラズマ処理装置
US7644745B2 (en) 2005-06-06 2010-01-12 Applied Materials, Inc. Bonding of target tiles to backing plate with patterned bonding agent
JP4762064B2 (ja) * 2005-07-04 2011-08-31 京セラ株式会社 接合体とこれを用いたウェハ支持部材及びウェハ処理方法
JP4530933B2 (ja) * 2005-07-21 2010-08-25 大日本スクリーン製造株式会社 基板熱処理装置
US7762114B2 (en) 2005-09-09 2010-07-27 Applied Materials, Inc. Flow-formed chamber component having a textured surface
KR101066450B1 (ko) * 2005-09-28 2011-09-23 가부시키가이샤 오쿠텍 시료 유지구와 이것을 사용한 시료 흡착 장치 및 시료 처리방법
US20070084408A1 (en) 2005-10-13 2007-04-19 Applied Materials, Inc. Batch processing chamber with diffuser plate and injector assembly
US9127362B2 (en) 2005-10-31 2015-09-08 Applied Materials, Inc. Process kit and target for substrate processing chamber
US20070113783A1 (en) 2005-11-19 2007-05-24 Applied Materials, Inc. Band shield for substrate processing chamber
US7658802B2 (en) 2005-11-22 2010-02-09 Applied Materials, Inc. Apparatus and a method for cleaning a dielectric film
US7704887B2 (en) 2005-11-22 2010-04-27 Applied Materials, Inc. Remote plasma pre-clean with low hydrogen pressure
US20070125646A1 (en) 2005-11-25 2007-06-07 Applied Materials, Inc. Sputtering target for titanium sputtering chamber
JP2007247061A (ja) 2006-03-14 2007-09-27 Applied Materials Inc スパッタリング前のスパッタリングターゲットの前調整
US20070283884A1 (en) 2006-05-30 2007-12-13 Applied Materials, Inc. Ring assembly for substrate processing chamber
JP5666133B2 (ja) 2006-12-19 2015-02-12 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 非接触型処理キット
US8221602B2 (en) 2006-12-19 2012-07-17 Applied Materials, Inc. Non-contact process kit
US7981262B2 (en) 2007-01-29 2011-07-19 Applied Materials, Inc. Process kit for substrate processing chamber
CN100577866C (zh) * 2007-02-27 2010-01-06 中微半导体设备(上海)有限公司 应用于等离子体反应室中的气体喷头组件、其制造方法及其翻新再利用的方法
US20080257263A1 (en) 2007-04-23 2008-10-23 Applied Materials, Inc. Cooling shield for substrate processing chamber
US7942969B2 (en) * 2007-05-30 2011-05-17 Applied Materials, Inc. Substrate cleaning chamber and components
US8968536B2 (en) 2007-06-18 2015-03-03 Applied Materials, Inc. Sputtering target having increased life and sputtering uniformity
US20090084317A1 (en) 2007-09-28 2009-04-02 Applied Materials, Inc. Atomic layer deposition chamber and components
CN101971287A (zh) 2007-11-08 2011-02-09 应用材料公司 具有可移动遮蔽的电极设置结构

Cited By (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN103890911B (zh) * 2011-10-28 2016-11-02 应用材料公司 气体分散设备
CN103890911A (zh) * 2011-10-28 2014-06-25 应用材料公司 气体分散设备
CN107058974A (zh) * 2011-10-28 2017-08-18 应用材料公司 气体分散设备
CN104995723B (zh) * 2013-02-20 2017-09-08 国际电气高丽株式会社 气相蚀刻装置
CN104995723A (zh) * 2013-02-20 2015-10-21 国际电气高丽株式会社 气相蚀刻装置
CN111211074B (zh) * 2013-04-30 2023-09-22 应用材料公司 具有空间分布的气体通道的气流控制衬垫
CN111211074A (zh) * 2013-04-30 2020-05-29 应用材料公司 具有空间分布的气体通道的气流控制衬垫
CN104952683B (zh) * 2014-03-26 2017-06-13 株式会社日立国际电气 衬底处理装置及半导体器件的制造方法
CN104952683A (zh) * 2014-03-26 2015-09-30 株式会社日立国际电气 衬底处理装置及半导体器件的制造方法
CN105405801B (zh) * 2014-09-11 2018-02-06 沈阳芯源微电子设备有限公司 一种半导体热盘上的陶瓷球微调装置
CN105405801A (zh) * 2014-09-11 2016-03-16 沈阳芯源微电子设备有限公司 一种半导体热盘上的陶瓷球微调装置
CN107078082A (zh) * 2014-10-31 2017-08-18 沃特洛电气制造公司 用于加热器的热动态响应感测系统
CN109155251B (zh) * 2016-05-19 2023-08-15 应用材料公司 用于改良的半导体蚀刻及部件保护的系统与方法
CN109155251A (zh) * 2016-05-19 2019-01-04 应用材料公司 用于改良的半导体蚀刻及部件保护的系统与方法
CN109155250A (zh) * 2016-05-19 2019-01-04 应用材料公司 用于改良的半导体蚀刻及部件保护的系统与方法
CN107768223A (zh) * 2016-08-16 2018-03-06 Fei 公司 与等离子清洁机一起使用的磁体
CN110468377B (zh) * 2018-05-11 2022-04-22 北京北方华创微电子装备有限公司 腔室及半导体加工设备
CN110468377A (zh) * 2018-05-11 2019-11-19 北京北方华创微电子装备有限公司 腔室及半导体加工设备

Also Published As

Publication number Publication date
WO2008153785A2 (en) 2008-12-18
JP2016076716A (ja) 2016-05-12
KR20100037060A (ko) 2010-04-08
TWI474387B (zh) 2015-02-21
JP5844722B2 (ja) 2016-01-20
WO2008153785A3 (en) 2009-03-19
TW201203332A (en) 2012-01-16
JP2018050059A (ja) 2018-03-29
JP5726521B2 (ja) 2015-06-03
JP2010528488A (ja) 2010-08-19
CN101730921B (zh) 2011-12-21
TW200908109A (en) 2009-02-16
KR20150027848A (ko) 2015-03-12
US8980045B2 (en) 2015-03-17
TWI359450B (en) 2012-03-01
KR101593461B1 (ko) 2016-02-12
US20080295872A1 (en) 2008-12-04
KR101550579B1 (ko) 2015-09-07
JP2013080940A (ja) 2013-05-02
US20110232845A1 (en) 2011-09-29
US20150144263A1 (en) 2015-05-28
US7942969B2 (en) 2011-05-17

Similar Documents

Publication Publication Date Title
CN101730921B (zh) 基板清洁腔室与其部件
US7697260B2 (en) Detachable electrostatic chuck
CN1230868C (zh) 具有改善的颗粒污染性能的半导体处理设备
US6641697B2 (en) Substrate processing using a member comprising an oxide of a group IIIB metal
US7589950B2 (en) Detachable electrostatic chuck having sealing assembly
US20080023029A1 (en) Silicon carbide components of semiconductor substrate processing apparatuses treated to remove free-carbon
CN103774142A (zh) 等离子体反应器室的碳化钨涂布的金属部件及涂布方法
KR20100099137A (ko) 고수명의 소모성 실리콘 질화물-실리콘 이산화물 플라즈마 프로세싱 콤포넌트
CN1922724A (zh) 可降低污染物的衬底传送及支撑系统
US20230057432A1 (en) Ceramic coated quartz lid for processing chamber
US20210366727A1 (en) Processing of Workpieces Using Ozone Gas and Hydrogen Radicals
KR20140051280A (ko) 플라즈마 처리 장치

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
C56 Change in the name or address of the patentee
CP01 Change in the name or title of a patent holder

Address after: American California

Patentee after: Applied Materials Inc.

Address before: American California

Patentee before: Applied Materials Inc.