CN101802984B - 在基板上形成氧化硅层的方法 - Google Patents

在基板上形成氧化硅层的方法 Download PDF

Info

Publication number
CN101802984B
CN101802984B CN200880107123.8A CN200880107123A CN101802984B CN 101802984 B CN101802984 B CN 101802984B CN 200880107123 A CN200880107123 A CN 200880107123A CN 101802984 B CN101802984 B CN 101802984B
Authority
CN
China
Prior art keywords
annealing
silicon
predecessor
oxide layer
oxygen
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
CN200880107123.8A
Other languages
English (en)
Other versions
CN101802984A (zh
Inventor
斯里尼瓦斯·D·内曼尼
阿布海杰特·巴苏·马利克
怡利·Y·叶
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of CN101802984A publication Critical patent/CN101802984A/zh
Application granted granted Critical
Publication of CN101802984B publication Critical patent/CN101802984B/zh
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/045Coating cavities or hollow spaces, e.g. interior of tubes; Infiltration of porous substrates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31608Deposition of SiO2
    • H01L21/31612Deposition of SiO2 on a silicon body
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • C23C16/452Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials by activating reactive gas streams before their introduction into the reaction chamber, e.g. by ionisation or addition of reactive species
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen
    • H01L21/02216Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen the compound being a molecule comprising at least one silicon-oxygen bond and the compound having hydrogen or an organic group attached to the silicon or oxygen, e.g. a siloxane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02219Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and nitrogen
    • H01L21/02222Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and nitrogen the compound being a silazane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/312Organic layers, e.g. photoresist
    • H01L21/3121Layers comprising organo-silicon compounds
    • H01L21/3122Layers comprising organo-silicon compounds layers comprising polysiloxane compounds
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31633Deposition of carbon doped silicon oxide, e.g. SiOC

Abstract

一种在基板上沉积氧化硅层的方法,包括提供基板至沉积室。第一含硅前驱物、第二含硅前驱物与氨气(NH3)等离子体反应以形成氧化硅层。第一含硅前驱物包括Si-H和Si-Si键的至少其中之一。第二含硅前驱物包括至少一Si-N键。沉积的氧化硅层经过退火处理。

Description

在基板上形成氧化硅层的方法
相关申请的交叉引用
本申请涉及共同转让的美国临时专利申请No.60/803,499、其由Lubomirsky等人提出,于2006年5月30日申请,名称为“PROCESS CHAMBER FOR DIELECTRICGAPFILL”。本申请涉及共同转让的美国专利No.6,387,207,其由Janakiraman等人提出,于2002年5月14日申请,名称为等离子体“INTEGRATION OF REMOTE PLASMAGENERATOR WITH SEMICONDUCTOR PROCESSING CHAMBER”。本申请涉及共同转让的美国专利No.6,830,624,其由Janakiraman等人提出,于2004年12月14日申请,名称为等离子体“BLOCKER PLATE BY-PASS FOR REMOTE PLASMA CLEAN”。本申请还涉及共同转让的美国专利No.5,558,717,其由Zhao等人提出,名称为“CVDPROCESSING CHAMBER”。这些相关申请案将一并引用供作参考。
发明背景
自从数十年前半导体器件问世以来,其几何形状已大幅缩小。现今半导体制造设备惯常制造特征结构(feature)尺寸为250纳米(nm)、180nm与65nm的器件,很快即发展新设备来制造更小几何尺寸的器件。然而尺寸缩小意味着器件元件必须靠得更近,以致增加电干扰的机会,包括串扰(cross-talk)和寄生电容(parasitic capacitance)。
为降低电干扰的程度,使用介电绝缘材料来填充间隙、沟槽、和器件元件、金属线与其它器件特征结构间的其它空间。选择介电材料是由于它们容易在器件特征结构间的空间内形成且它们的介电常数(即“k值”)低。k值越低的介电质越能使串扰和RC时间延迟最小,及降低器件的整体功率消耗。传统介电材料包括氧化硅,氧化硅在以传统化学气相沉积(CVD)技术沉积时,平均k值为4.0-4.2。
尽管传统CVD氧化硅的k值适用于许多器件结构,但随着尺寸不断缩减且器件元件的密度不断增加,半导体制造者仍持续寻找k值更低的介电材料。方法之一为将氟掺入氧化硅而产生掺氟氧化硅膜(即“FSG”膜),掺氟氧化硅膜的介电常数为约3.4-3.6。另一方法为旋涂(spin-on)玻璃技术,该技术将如氢基硅倍半氧烷(hydrogen silsesquioxane;HSQ)的高流动性前驱物涂到基板上而形成多孔的低k膜。
近年来,已开发出k值为3.0或更低的硅-氧-碳(Si-O-C)膜。这些低k膜通常利用化学气相沉积碳硅前驱物与氧前驱物而沉积于基板上。虽然Si-O-C膜的k值比纯氧化硅和掺氟氧化硅膜低,但也容易变得多孔,而造成其它负面影响。多孔膜易增加水分吸收,导致膜的k值增加。多孔膜还具有较大的“湿式蚀刻速率比(WERR)”,使得膜较易被侵蚀及受压破裂。因此需要新方法来沉积低k碳基(carbon-based)膜,该膜有较低的孔隙度(porosity)、较小的WERR值,且较不易破裂。本发明的实施例将着重于这些以及其它课题。
发明内容
本发明的实施例包括在基板上沉积氧化硅层的方法。所述方法包括提供基板至沉积室。使第一含硅前驱物、第二含硅前驱物与NH3等离子体反应以形成氧化硅层。第一含硅前驱物包括Si-H键和Si-Si键的至少其中之一。第二含硅前驱物包括至少一Si-N键。沉积的氧化硅层经过退火处理。
本发明的实施例还包括在基板上沉积氧化硅层的方法。所述方法包括提供基板至沉积室。在沉积室外面产生原子氧前驱物并将所述原子氧前驱物引入腔室。硅前驱物被引进沉积室。硅前驱物的C∶Si原子比为约8或以下。硅前驱物和原子氧前驱物可先在腔室内混合。硅前驱物与原子氧前驱物反应,以在基板上形成氧化硅层。沉积的氧化硅层经过退火处理。
本发明的实施例更包括在基板上沉积氧化硅层的方法。所述方法包括提供基板至沉积室。在沉积室外面通过等离子体解离含臭氧的前驱物而产生原子氧前驱物并将所述原子氧前驱物引入腔室。硅前驱物被引进沉积室。硅前驱物的C∶Si原子比为约8或以下。硅前驱物和原子氧前驱物可先在腔室内混合。硅前驱物与原子氧前驱物在约100托耳至约760托耳的处理压力下反应,以在基板上形成氧化硅层。沉积的氧化硅层经过退火处理。
其它实施例和特征将部分说明于下,且它们在本领域技术人员知晓了说明书或实践本发明后将变得明显易懂。通过说明书所述的手段、组合和方法可实现并获得本发明的特征与优点。
附图简要说明
本发明的本质和优点在参阅说明书其余部分与附图后将更清楚易懂,其中,各附图中相同的组件符号表示类似的组件。在某些例子中,与组件符号相关的下标(sublabel)与连字号(hyphen)代表多个类似组件的其中一个。若文中指称组件符号,而未特定指出存在的下标,则表示指所有此类的类似组件。
图1为在基板上形成氧化物层的示例性方法100的流程图;
图2A-2D绘示在基板上形成氧化物层的示例性方法的横截面视图;
图3为根据另一示例性实施例的形成氧化硅层的方法的流程图;
图4A绘示示例性薄膜沉积系统的垂直剖面视图;以及
图4B为示例性薄膜沉积系统的系统监视器/控制器部件的简化示意图。
发明详细说明
在此描述用于沉积具有期望流动性的氧化硅层的系统和方法,该氧化硅层接着经退火处理(即固化)成具有期望质量的氧化物层或填充层。最初形成的氧化物所具有的期望流动性可使该氧化物填满高深宽比的间隙和沟槽(如深宽比大于5∶1),且不会形成空隙或裂缝。退火步骤接着驱除水气而留下密实的氧化物膜,所述氧化物膜的湿式蚀刻速率比
(WERR)接近氧化硅膜的实际极限(例如,WERR降为约1.8至约1.4)。
示例性方法包括在沉积室/反应室外远程产生反应性(reactive)原子氧。原子氧先在沉积室内与C∶Si原子比为约8或以下的硅前驱物混合,在此即便是在低温低压下,二者仍会反应并在基板上沉积氧化硅。形成的氧化物具有期望量的与硅键结的羟基,使得氧化物具可流动性。一旦沉积,于填充间隙或沟槽期间,即便在低温下,氧化物仍将如期望地流动而填充初生的空隙与裂缝。沉积后,退火步骤将一定量的Si-OH基团转化成二氧化硅和待驱离沉积膜的水蒸气。
形成氧化物层的示例方法
图1示出在基板上形成氧化物层的示例性方法100的流程图。方法100可以包括在步骤102中,向沉积室提供基板200。基板200可为硅基板、III-V族化合物基板、硅/锗(SiGe)基板、外延(epi-)基板、绝缘物上覆硅(SOI)基板、显示基板,例如液晶显示器(LCD)、等离子体显示器、电致发光(EL)灯显示器或发光二极管(LED)基板。在一些实施例中,基板200可包括至少一个结构,例如沟槽结构、井(well)、结、二极管、晶体管、金属氧化物半导体场效晶体管(MOSFET)、层间介电层(ILD)结构、金属间介电层(IMD)结构、电路、其它半导体结构或它们的多种组合。基板200可为半导体晶圆(如200毫米(mm)、300mm、400mm等硅晶圆)。在一些实施例中,基板200可具有至少一个沟槽,例如图2A的沟槽210。在一些实施例中,基板200可为半导体晶圆(如200mm、300mm、400mm等硅晶圆),且包括先前工艺形成的结构、器件部件等。例如,基板可包括具高的高度与宽度比的沟槽210(如高深宽比为5∶1或以上、6∶1或以上、7∶1或以上、8∶1或以上、9∶1或以上、10∶1或以上、11∶1或以上、12∶1或以上等)。
在一些实施例中,方法100包括在步骤104中,于沉积室外的位置远程产生原子氧前驱物。原子氧前驱物可通过解离含氧前驱物而产生,该含氧前驱物例如分子氧(O2)、臭氧(O3)、氮氧化合物(如NO、NO2、N2O等)、氢氧化合物(如H2O、H2O2等)、碳氧化合物(如CO、CO2等)和其它含氧前驱物及前驱物组合。
在一些实施例中,原子氧前驱物可通过解离含臭氧的前驱物而产生。含臭氧的前驱物可为氧气与臭氧的混合气体。例如,可向臭氧产生器供应氧气。在臭氧产生器内,至少一部分的氧气经臭氧化而变成臭氧。在一些实施例中,氧气的流速为每分钟约3标准升(slm)至约20slm。臭氧化后,氧气中的臭氧占约6重量%至约20重量%。
在一些实施例中,解离含氧前驱物来产生原子氧的方法可通过热解离、紫外光解离、和/或等离子体解离等方法完成。等离子体解离可包括在远程等离子体产生室中点燃来自氦气、氩气等的等离子体以及引进氧前驱物至等离子体中以产生原子氧前驱物。
在步骤106中,将原子氧前驱物引到沉积室中,在此原子氧前驱物可与引入腔室的硅前驱物(步骤108)第一次混合。在步骤110中,原子氧前驱物会在约-10℃至约200℃的温度和总室压约10托耳至约760托耳的压力下,与硅前驱物(和反应室内的其它沉积前驱物)反应形成氧化硅膜220(图2B)。氧化硅膜220可将沟槽210的深宽比降至沟槽210a的深宽比。
硅前驱物的C∶Si原子比为约8或以下(如C∶Si的比例为7、6、5、4、3、2、1或以下)。这意味着硅前驱物分子中,每一硅原子搭配8个以下的碳原子。
在一些实施例中,硅前驱物可为硅氧烷(siloxane)化合物,例如三乙氧基硅氧烷(TRIES)、四甲氧基硅氧烷(TMOS)、三甲氧基硅氧烷(TRIMOS)、六甲氧基二硅氧烷(HMODS)、八甲氧基三硅氧烷(OMOTS)、和/或八甲氧基十二硅氧烷(OMODDS)、和其它硅氧化合物等:
Figure GSB00000761574300041
三乙氧基硅氧烷    四甲氧基硅氧烷    三甲氧基硅氧烷
   (TRIES)           (TMOS)             (TRIMOS)
Figure GSB00000761574300042
六甲氧基二硅氧烷    八甲氧基三硅氧烷
   (HMODS)               (OMOTS)
八甲氧基十二硅氧烷    八甲氧基环硅氧烷
     (OMODDS)
在其它实施例中,硅前驱物也可为包括一或多个氮基的硅氮烷(silazoxane)化合物。硅氮烷包括六甲氧基二硅氮烷(HMDS-H)、甲基六甲氧基二硅氮烷(HMDS-CH3)、氯代六甲氧基二硅氮烷(HMDS-Cl)、六乙氧基二硅氮烷(HEDS-H)、九甲氧基三硅氮烷(NMTS)、八甲氧环硅氮烷(OMCS)和其它硅氮化合物等:
Figure GSB00000761574300052
六甲氧基二硅氮烷        甲基六甲氧基二硅氮烷
    (HMDS-H)               (HMDS-CH3)
Figure GSB00000761574300053
氯代六甲氧基二硅氮烷    六乙氧基二硅氮烷
    (HMDS-Cl)               (HEDS-H)
Figure GSB00000761574300054
九甲氧基三硅氮烷        八甲氧基环硅氮烷
    (NMTS)                   (OMCS)
在另些实施例中,硅前驱物可为卤化硅氧烷化合物,该卤化硅氧烷化合物包括一或多种卤素基团(如氟化物、氯化物、溴化物或碘化物基团)。例如,卤化硅氧烷可为氯化硅氧烷化合物,例如四氯硅烷(TECS)、二氯二乙氧基硅氧烷(DCDES)、氯代三乙氧基硅氧烷(CTES)、六氯代二硅氧烷(HCDS)、和/或八氯代三硅氧烷(OCTS)和其它氯化硅氧化合物等:
Figure GSB00000761574300061
四氯硅烷          二氯二乙氧基硅氧烷        氯代三乙氧基硅氧烷
 (TECS)                (DCDES)                 (CTES)
Figure GSB00000761574300062
六氯代二硅氧烷    八氯代三硅氧烷
    (HCDS)             (OCTS)
硅前驱物的O∶Si比可为约0、0.5、1、2、3、4、5、6等或以上。例如,TMOS的O∶Si原子比为约4。其它如TRIES与TRIMOS的硅前驱物的O∶Si比为约3。其它如HCDS的O∶Si比为0.5,TECS的O∶Si比为0。
硅前驱物可包括Si-O-Si键(见HMODS、OMOTS、OMODDS、HCDS、OCTS或其它有机硅化合物)。硅前驱物的此键有利于形成具有降低的碳基和羟基污染的SiOx膜。
在一些实施例中,硅前驱物包括氨基硅烷,例如三硅烷胺(TSA)、六甲基二硅氮烷(HMDS)、杂氮硅三环(silatrane)、四(二甲氨基)硅烷、双(二乙氨基)硅烷、双-叔-丁氨基硅烷、双(二甲氨基)硅烷(BDMAS)、三(二甲氨基)氯代硅烷、甲基杂氮硅三环和其它化合物等:
三硅烷胺    六甲基二硅氮烷    杂氮硅三环
  (TSA)         (HMDS)
Figure GSB00000761574300071
四(二甲氨基)硅烷双(二乙氨基)硅烷    三(二甲氨基)氯硅烷
Figure GSB00000761574300072
甲基杂氮硅三环
在其它实施例中,硅前驱物包括二硅烷,包括烷氧基二硅烷、烷氧基烷基二硅烷、和烷氧基乙酰氧基二硅烷。烷氧基二硅烷可包括:
Figure GSB00000761574300073
其中R1-6可各自为C1-3的烷氧基。例如,烷氧基二硅烷可包括六甲氧基二硅烷、六乙氧基二硅烷和其它烷氧基二硅烷等。
烷氧基二硅烷可包括烷氧基与Si单元键结的环二硅烷化合物。例如,烷氧基环硅烷可包括八乙氧基环丁硅烷、十丁氧基环戊硅烷和十二甲氧基环己硅烷等。烷氧基二硅烷的部分例子如下所示:
Figure GSB00000761574300074
六甲氧基二硅烷            六乙氧基二硅烷
Figure GSB00000761574300075
十二甲氧基环己硅烷
烷氧基烷基二硅烷可包括:
Figure GSB00000761574300081
其中R7-12可各自为C1-3的烷基或C1-3的烷氧基,且R7-12的至少其一为烷基,R7-12的至少其一为烷氧基。烷氧基烷基二硅烷还可包括环二硅烷,该环二硅烷具有与至少一个烷基和烷氧基键结的烷基与烷氧基单元,例如丁硅烷、戊硅烷、己硅烷、庚硅烷、辛硅烷等。例子包括八甲基-1,4-二氧杂-2,3,5,6-四硅环己烷、1,4-二氧杂-2,3,5,6-四硅环己烷、1,2,3,4,5,6-六甲氧基-1,2,3,4,5,6-六甲基环己硅烷和其它烷氧基烷基环硅烷等。烷氧基烷基二硅烷的部分例子如下所示:
Figure GSB00000761574300082
八甲基-1,4-二氧杂-2,3,5,6-四硅环己烷    1,4-二氧杂-2,3,5,6-四硅环己烷
Figure GSB00000761574300083
1,1,2,2-四乙氧基-1,2-二甲基二硅烷
烷氧基乙酰氧基二硅烷可包括:
Figure GSB00000761574300084
其中R13-18可各自为C1-3的烷基、C1-3的烷氧基或乙酰氧基,且R13-18的至少其一为烷氧基,R13-18的至少其一为乙酰氧基。
在另些实施例中,硅前驱物包括有机环硅烷,例如环丁硅烷、环戊硅烷、环己硅烷、环庚硅烷、环辛硅烷等。
在一些实施例中,硅前驱物可在引入沉积室前或期间与载气混合。载气可为实质上不会干扰在基板200上形成氧化硅层220的非活性气体(inactive gas)。载气的例子包括氦气、氖气、氩气、氢气(HX)和其它气体等。
在方法100的实施例中,原子氧前驱物与硅前驱物在引进沉积室前先不混合。前驱物可经由设于反应室周围的单独的空间上间隔开的前驱物入口进入腔室。例如,原子氧前驱物可从腔室顶部且位于基板正上方的入口进入。入口引导氧前驱物以基本垂直于基板沉积面的方向流动。同时,硅前驱物可从沉积室侧边附近的一或多个入口进入。入口可引导硅前驱物以近乎平行沉积面的方向流动。
在一些实施例中,可透过多口喷洒头(multi-port showerhead)的单独的口输送原子氧前驱物和硅前驱物。例如,位于基板上方的喷洒头可包括供前驱物进入沉积室的开口图案。一开口副组(subset)可供原子氧前驱物使用,而第二开口副组可供硅前驱物使用。流经不同组开口的前驱物在进入沉积室前互相隔离。前驱物操作设备相关的类型与设计细节描述于共同转让的美国临时专利申请No.60/803,499、其由Lubomirsky等人提出,于2006年5月30日申请、名称为“PROCESS CHAMBER FOR DIELECTRIC GAPFILL”,一并引用该申请供作参考。
由于原子氧前驱物可与硅前驱物在沉积室内反应,它们在基板沉积面上形成氧化硅层220(步骤110)。此初始氧化物层具有期望的流动性,并可如期望地流入沉积面的结构中的间隙、沟槽、空隙、裂缝等。如此,方法100可提供氧化物填充,基本上使得在间隙、沟槽和其它具高深宽比(AR)(如AR为约5∶1、6∶1、7∶1、8∶1、9∶1、10∶1、11∶1、和12∶1或以上)的表面结构中不会产生空隙与裂缝。
再次参照图1,沉积的氧化硅层220(图2B)的沉积后退火处理112可在一步或多步中进行。一步退火方法例如可包括在基本干燥的氛围(如干燥氮气、氦气、氩气等)中加热沉积层达约300℃至约1000℃(如约600℃至约900℃)。退火处理可以移除沉积层的水气,且将Si-OH基团转化成氧化硅。经退火处理的氧化硅层具有改进的膜质量(如WERR为约6至约3、或以下)和介电性质(如k值近似或等于纯二氧化硅)。在一些实施例中,退火步骤112可在氮气环境下以约900℃进行约1小时。
在一些实施例中,多步退火方法可包括二步退火,其中膜层先进行湿式退火阶段,例如在水蒸气存在下加热膜层达约650℃。接着进行干式退火阶段,此时在基本上不含水气的氛围(如干燥N2)中加热膜层至更高温度(如约900℃)。
除了湿式和干式热退火外,其它退火技术(单独或结合使用)皆可用来退火处理氧化硅层。所述其它退火技术包括水蒸气退火(steam anneal)、热退火、感应耦合等离子体(ICP)退火、紫外光退火、电子束退火、酸性蒸汽催化退火、碱性蒸汽催化退火和/或微波退火等。
图2D为示例的STI结构的横截面图。在图2D中,在经退火处理后的氧化硅层220a上形成如高密度等离子体化学气相沉积(HDP-CVD)层的介电层230。由于经退火处理的氧化硅层220a在沟槽210底部的厚度比在沟槽210侧壁的厚度厚,因此可如期望地降低沟槽210a的深宽比。故可形成介电层230并用该介电层230填入沟槽210a,且基本上又不会在介电层230中形成裂缝、间隙或空隙。在一些实施例中,若氧化硅层220可如期望地填满沟槽210(图2A),则不必须形成介电层230。
在一些实施例中,介电层230经过热处理,例如退火工艺(未示出)。热处理可如期望地使介电层230变得密实(densify)。在一些实施例中,形成介电层230和热处理的方法是非必须的。可形成氧化硅层220(图2B)且基本上用该氧化硅层220填充沟槽210。
形成氧化物层的另一示例方法
图3为根据另一实施例的形成氧化硅层的方法流程图。参照图3,形成氧化硅层的方法300包括步骤302、304和306。步骤302为向沉积室中提供基板(未示出)。基板类似上述参照图1所说明的基板。
在步骤304中,第一含硅前驱物、第二含硅前驱物与氨气(NH3)等离子体反应形成氧化硅层。在一些实施例中,第一含硅前驱物包括Si-H键和Si-Si键的至少其中之一。在其它实施例中,第一含硅前驱物可与NH3等离子体反应。在另些实施例中,第一含硅前驱物包括TMDSO、三甲氧硅氧(TRIMOS)、六氯代二硅氧(HCDS)、DMTMDS、SAM24、TMCTS和BTBAS的至少其中之一。在一些实施例中,第一含硅前驱物的C∶Si原子比为约8或以下。
在一些实施例中,第二含硅前驱物包括至少一个Si-N键。第二含硅前驱物可如期望地降低氧化硅层的收缩。在一些实施例中,第二含硅前驱物可扩张氧化硅层的原子结构。例如,氧化硅层的收缩可为约32%或以下。在一些实施例中,第二含硅前驱物可将氧化硅层扩张11%或以下。
在一些实施例中,第二含硅前驱物包括TSA。在一些实施例中,TSA的至少一个Si-H键被Si-CH3键取代。例如,每个SiH3的Si-H键被Si-CH3键取代。
需注意NH3等离子体可由外部等离子体产生器产生或于沉积室内产生。第一含硅前驱物和第二含硅前驱物可先混合或分别引进沉积室,以与NH3等离子体反应。
在一些采用TMDSO与TSA的实施例中,步骤304的处理温度为约0℃或以上。在一些实施例中,处理温度介于约10℃至约25℃之间。TSA的流速为约10每分钟标准毫升(sccm)至约550sccm。在一些实施例中,TSA的流速为约400sccm至约430sccm。TMDSO的流速为每分钟约10毫克(mgm)至约1500mgm。在一些实施例中,TMDSO的流速为约1000mgm。在一些实施例中,产生NH3等离子体的前驱物的流速介于约1000sccm至约1250sccm之间。在一些实施例中,NH3的流速介于约1000sccm至约1250sccm之间。
再次参照图3,步骤306为固化该沉积的氧化硅层。在一些实施例中,步骤306类似上述参照图1说明的步骤112。
在一些形成氧化硅层的实施例中,TSA的流速为约400sccm。TMDSO的流速为约1000mgm。产生NH3等离子体的前驱物的流速为约1250sccm。处理温度为约10℃。处理压力为约1.5托耳。在一些实施例中,可省略固化步骤306。可向沉积的氧化硅层施退火工艺(未示出)用。退火工艺可为二步蒸汽退火。该二步蒸汽退火中一步的处理温度为约600℃,另一步的处理温度为约850℃。
示例的基板处理系统
可用于本发明实施例的沉积系统包括高密度等离子体化学气相沉积(HDP-CVD)系统、等离子体增强化学气相沉积(PECVD)系统、次大气压化学气相沉积(SACVD)系统、热化学气相沉积系统和其它类型的系统等。可用于本发明实施例的CVD系统实例包括CENTURA ULTIMATM HDP-CVD室/系统和PRODUCERTM PECVD室/系统,它们皆可购自美国加州圣克拉拉市的应用材料公司(Applied Materials,Inc.)。
可施行本发明示例性方法的基板处理系统的例子包括共同转让美国临时专利申请No.60/803,499,其由Lubomirsky等人提出,于2006年5月30日申请,名称为“PROCESSCHAMBERFORDIELECTRIC GAPFILL”所述的系统,一并引用该申请供作参考。其它系统实例包括美国专利No.6,387,207与6,830,624所述的系统,也一并引用该申请供作参考。
参照图4A,其绘示CVD系统10的垂直剖面,CVD系统10包括具有腔室壁15a和腔室盖组件15b的真空室或处理室15。CVD系统10包含气体分配歧管11,用以分散工艺气体至放置在处理室15中间的加热基座12上的基板(未示出)。气体分配歧管11可由导电材料组成,以作为形成电容性等离子体的电极。处理时,将基板(如半导体晶圆)置于基座12的平坦(或稍许凸起)的表面12a上。基座12在较低的装载/卸载位置(如图4A所示)与较高的处理位置(以图4A的虚线14表示)之间可控制地移动,而处理位置14邻近歧管11。中央板(未示出)包括传感器,用以提供晶圆位置的信息。
沉积气体和载气透过常规平的环形气体分配面板13a的穿孔13b引进处理室15。特别是,沉积工艺气体经由入口歧管11、常规穿孔阻隔板42、和气体分配面板13a的穿孔13b流入腔室。
到达歧管11前,沉积气体与载气自气源7经由气体供应管线8输入到混合系统9,沉积气体与载气在此结合再输送到歧管11。各工艺气体的供应管线一般包括(i)数个安全关闭阀(未示出),所述安全关闭阀可用来自动或手动停止工艺气体流入腔室,以及(ii)质流控制器(亦未示出),用以测量气体流经供应管线的流量。若工艺使用有毒气体,则按常规配置将数个安全关闭阀设在各气体供应管线。
CVD系统10执行的沉积工艺可为热工艺或等离子体增强工艺。就等离子体增强工艺而言,RF功率供应器44向气体分配面板13a与基座12之间施加功率,以激发工艺混合气体而在面板13a与基座12间的圆柱形区域(此区域将称为“反应区域”)形成等离子体。等离子体的组成进行反应以在基座12上所支撑的半导体晶圆的表面上沉积期望膜。RF功率供应器44为混频射频(RF)功率供应器,该功率供应器一般以13.56MHz的RF高频(RF1)与360kHz的RF低频(RF2)供应功率来促进被引入真空室15的反应性物种的分解。就热工艺而言,不采用RF功率供应器44,且工艺混合气体将进行热反应而在支撑在基座12上的半导体晶圆的表面上沉积期望膜,基座12为电阻式加热来提供反应热能。
等离子体增强沉积工艺期间,等离子体加热整个处理室15,包括围住排气通道23与关闭阀24的腔室主体壁15a。当未开启等离子体或进行热沉积工艺时,热液体循环遍及处理室15的壁15a,以保持腔室的升温状态。壁15a中的其它通道则未示出。用来加热腔室壁15a的流体包括典型的流体类型,即基于水的乙二醇或基于油的传热流体。此加热方式(指通过“热交换器”进行加热)可有利地降低或消除不期望存在的反应产物凝结,并有助于减少工艺气体的挥发性产物与其它污染物,倘若它们凝结在冷却真空通道壁且在未通入气体时流回处理室,则可能会污染工艺。
其余未沉积成层的混合气体(包括反应副产物)由真空泵(未示出)排出处理室15。特别是,气体经由围绕反应区域的环状狭长孔16排放到环状排放气室(plenum)17。环状狭长孔16和气室17由圆柱形壁15a顶部(包括壁上的上介电内衬19)与圆形腔室盖20底部间的间隙所限定。360度环形对称且均匀配置的狭长孔16和气室17对于使工艺气体均匀流到晶圆上方以在晶圆上沉积出均匀的膜是重要的。
离开排放气室17后,气体流经排放气室17的侧向延伸部21下方、经过一观察口(未示出),并流过向下延伸的气体通道23、经过真空关闭阀24(该真空关闭阀24的主体整合于下腔室壁15a),且流入透过前置管线(未示出)连接外部真空泵(未示出)的排放出口25。
基座12的晶圆支撑盘(优选铝、陶瓷或它们的组合)利用单一循环的嵌设加热器元件来进行电阻式加热,该加热器元件以平行同心圆形式排列成两个全幅转弯(full turn)。加热器元件的外部毗邻支撑盘周围延伸,内部则沿着半径较小的同心圆延伸。加热器元件的接线穿过基座12的轴柄。
一般来说,任一或所有的腔室内衬、气体入口歧管面板和各种反应器硬件是由诸如铝、阳极电镀(anodized)铝或陶瓷构成。此类CVD设备的例子描述于共同转让的美国专利No.5,558,717、其名称为“CVD PROCESSING CHAMBER”、且授予Zhao等人,一并引用该申请供作参考。
当机械叶片(未示出)经由腔室15侧面的插入/移出开口26传送晶圆进出腔室15的主体时,升降机构与马达32(图4A)使加热基座组件12及加热基座组件12的晶圆举升销12b升高和降低。马达32使基座12在处理位置14与较低的晶圆装载位置之间升高及降低。马达、连接供应管线8的阀或流量控制器、气体输送系统、节流阀、RF功率供应器44和腔室与基板加热系统全受控于控制线路36上的系统控制器,图中仅示出部分。控制器34依据光学传感器的反馈信号判别可动式机构组件的位置,例如节流阀和基底(susceptor),它们由适当的马达在控制器34的控制下移动。
在此实施例中,系统控制器包括硬盘驱动(内存38)、软盘驱动和处理器37。处理器含有单板计算机(SBC)、模拟与数字输入/输出板、接口板和步进马达控制器板。CVD系统10的各种零件皆符合规范板、卡片机架(card cage)和连接器尺寸与种类的VersaModular European(VME)标准。VME标准也定义了具有16位数据总线与24位地址总线的总线结构。
系统控制器34控制CVD机器的所有动作。系统控制器执行系统控制软件,该软件为储存于计算机可读取介质(如内存38)的计算机程序。优选地,内存38为硬盘驱动,但内存38也可为其它类型的内存。计算机程序包括指定特定工艺的时序、混合气体、腔室压力、腔室温度、RF功率大小、基座位置和其它参数的指令集。其它储存于它种内存装置(例如包括软盘或其它适合的驱动)的计算机程序也可用来操作控制器34。
在基板上沉积膜的工艺或清洁腔室15的工艺可使用控制器34所执行的计算机程序产品来进行。计算机程序码可以任一传统计算机可读取编程语言编写,例如68000汇编语言、C、C++、Pascal、Fortran或其它语言。适当的程序代码利用传统文字编辑器输入单一文件或多个文件,并储存或收录在计算机可用介质中,如计算机的记忆系统。若输入码文本为高级语言,则对编码进行编译,产生的编译程序代码接着连结预先编译的Microsoft
Figure GSB00000761574300131
例程库的目标码。为执行连结的经编译的目标码,系统使用者启用目标码,因而使计算机系统加载内存中的编码。CPU接着读取并执行编码,以进行程序中所识别的任务。
如图4B所示,使用者与控制器34间的接口为CRT屏幕50a和光笔50b;图4B为基板处理系统的系统监视器和CVD系统10的示意图,其可包括一或多个腔室。在一优选实施例中为采用两个屏幕50a,一个屏幕放置于无尘室壁供操作员使用,另一个屏幕放置于壁后方供维修技师使用。两个屏幕50a同时显示相同的信息,但只有一个光笔50b有用。光笔50b利用笔尖的感光器监测CRT显示器发射的光线。为选择特定画面或功能,操作员触碰显示画面的指定区域,并按压光笔50b上的按钮。触碰区域改变其亮度或显示新的选单或画面,以确定光笔与显示画面的沟通无碍。其它诸如键盘、鼠标或者其它点触或通信装置等输入装置也可附加使用或代替光笔50b,以联系使用者与控制器34。
图4A显示装设于处理室15的盖组件15b的远程等离子体产生器60,处理室15包括气体分配面板13a和气体分配歧管11。最佳如图4A所示,架设转接器64将远程等离子体产生器60装设在盖组件15b上。转接器64通常由金属构成。混合装置70耦接至气体分配歧管11的上游处(图4A)。混合装置70包括位于用于混合工艺气体的混合区块的狭缝74内的混合插入件72。陶瓷隔绝件66放置在架设转接器64与混合装置70之间(图4A)。陶瓷隔绝件66可由陶瓷材料组成,例如氧化铝(Al203)(纯度99%)、
Figure GSB00000761574300141
等。安装时,混合装置70和陶瓷隔绝件66可构成部分盖组件15b。隔绝件66将金属转接器64和混合装置70与气体分配歧管11隔开,以减少盖组件15b中形成二次等离子体的可能性,这将进一步详述于下。三向阀77控制工艺气体直接或经由远程等离子体产生器60流入处理室15。
远程等离子体产生器60期望地为小型、独立的(self-contained)单元,该远程等离子体产生器60可方便地装设在盖组件15b上,又不费时费工即可更新安装至现有腔室。适合的单元之一为可购自美国马萨诸塞州Woburn的应用科技公司(Applied Science andTechnology,Inc.)的
Figure GSB00000761574300142
产生器。
Figure GSB00000761574300143
产生器利用低场超环面等离子体来解离工艺气体。在一实施例中,等离子体解离工艺气体(包括如NF3的含氟气体)和如氩气的载气,以产生游离的氟来清洁处理室15内的沉积膜。
根据上述数个实施例,本领域技术人员将可理解,各种修饰、更动和等效物皆不脱离本发明的精神与范围。此外,一些公知的工艺和元件并未提及是为了避免不必要的限制本发明。因此,以上说明不应局限本发明的保护范围。
应理解除非内文特别指明,提供的数值范围到下限单位的十分之一亦明确揭露出介于此范围上限与下限的中间值。论述范围内的任一论述值或中间值与其它论述值或中间值间的较小范围也包含在内。较小范围的上限与下限可各自涵盖在此范围内或排除在外,且本发明也包含每一种包含较小范围的上限及/或下限的范围,取决于论述范围中特别排除的限制。当论述范围包括限制之一或二者时,排除这些限制的范围亦包含在内。
除非文中另有清楚指明,在此和所附权利要求中使用的单数形式(“一”与“该”)包括复数。例如,指“一工艺”包括复数个此类工艺,“该前驱物”包括一或多个前驱物和本领域技术人员已知的等效物等。
再者,本说明书和以下申请专利范围采用的“包含”与“包括”等字词意指存在所述的特征、整体、组件或步骤,但并不排除另有一或多个其它特征、整体、组件、步骤、动作或群组。

Claims (21)

1.一种在基板上沉积可流动的氧化硅层的方法,该方法包括:
提供基板至沉积室;
解离含臭氧的前驱物以形成原子氧,其中解离该含臭氧的前驱物包括将该含臭氧的前驱物引入远程等离子体产生室中并且点燃在该远程等离子体产生室中的等离子体;
将原子氧从远程等离子体产生室转移到沉积室中;
向该沉积室中引入C:Si原子比为8以下的硅前驱物,该硅前驱物与该原子氧首先在该沉积室内混合;
使该硅前驱物与该原子氧反应,以在该基板上形成该可流动的氧化硅层;以及
对沉积的该氧化硅层进行退火处理,
其中,该硅前驱物是卤代硅氧烷化合物。
2.权利要求1所述的方法,其中该含臭氧的前驱物为臭氧与氧气的混合气体,且该臭氧占6重量%至20重量%。
3.权利要求2所述的方法,其中该臭氧是由该氧气产生。
4.权利要求3所述的方法,其中该氧气的流速为3slm至20slm。
5.权利要求1所述的方法,其中该硅前驱物与该原子氧的摩尔比为1:10至100:1。
6.权利要求1所述的方法,其中使该硅前驱物与该原子氧反应的步骤的处理压力介于100Torr至760Torr之间。
7.权利要求1所述的方法,其中使该硅前驱物与该原子氧反应的步骤的处理温度介于-10℃至200℃之间。
8.权利要求1所述的方法,其中该硅前驱物的O:Si比为3以上。
9.权利要求1所述的方法,其中该卤代硅氧烷选自由二氯二乙氧基硅氧烷、氯代三乙氧基硅氧烷、六氯代二硅氧烷和八氯代三硅氧烷所组成的组。
10.权利要求1所述的方法,其中对沉积的该氧化硅层进行退火处理的步骤包含下列至少其中之一:进行水蒸气退火、热退火、感应耦合等离子体(ICP)退火和电子束退火。
11.权利要求10所述的方法,其中该热退火包括紫外光退火和微波退火中的至少一种。
12.权利要求10所述的方法,其中该水蒸气退火包括酸性蒸汽催化退火和碱性蒸汽催化退火中的至少一种。
13.一种在基板上沉积可流动的氧化硅层的方法,该方法包括:
提供基板至沉积室;
解离臭氧和氧气的混合物而形成原子氧,其中解离臭氧和氧气的混合物包括将该混合物引入到远程等离子体产生室中并点燃在该沉积室外的远程等离子体产生室中的等离子体;
将原子氧从该远程等离子体产生室转移到沉积室中;
向该沉积室中引入C:Si原子比为8以下的硅前驱物,该硅前驱物和该原子氧首先在该沉积室内混合;
使该硅前驱物与该原子氧在100托耳至760托耳的处理压力下反应,以在该基板上形成该可流动的氧化硅层;以及
对沉积的该氧化硅层进行退火处理,
其中,该硅前驱物是卤代硅氧烷化合物。
14.权利要求13所述的方法,其中该臭氧占6重量%至20重量%。
15.权利要求14所述的方法,其中该氧气的流速为3slm至20slm。
16.权利要求13所述的方法,其中该硅前驱物与该原子氧的摩尔比为1:10至100:1。
17.权利要求13所述的方法,其中使该硅前驱物与该原子氧反应的步骤的处理温度介于-10℃至200℃之间。
18.权利要求13所述的方法,其中该卤代硅氧烷选自由二氯二乙氧基硅氧烷、氯代三乙氧基硅氧烷、六氯代二硅氧烷和八氯代三硅氧烷所组成的组。
19.权利要求13所述的方法,其中对沉积的该氧化硅层进行退火处理的步骤包含下列至少其中之一:进行水蒸气退火、热退火、感应耦合等离子体(ICP)退火和电子束退火。
20.权利要求19所述的方法,其中该热退火包括紫外光退火和微波退火中的至少一种。
21.权利要求19所述的方法,其中该水蒸气退火包括酸性蒸汽催化退火和碱性蒸汽催化退火中的至少一种。
CN200880107123.8A 2007-10-22 2008-10-20 在基板上形成氧化硅层的方法 Expired - Fee Related CN101802984B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US11/876,664 2007-10-22
US11/876,664 US7943531B2 (en) 2007-10-22 2007-10-22 Methods for forming a silicon oxide layer over a substrate
PCT/US2008/080518 WO2009055340A1 (en) 2007-10-22 2008-10-20 Methods for forming a silicon oxide layer over a substrate

Publications (2)

Publication Number Publication Date
CN101802984A CN101802984A (zh) 2010-08-11
CN101802984B true CN101802984B (zh) 2014-03-12

Family

ID=40563910

Family Applications (1)

Application Number Title Priority Date Filing Date
CN200880107123.8A Expired - Fee Related CN101802984B (zh) 2007-10-22 2008-10-20 在基板上形成氧化硅层的方法

Country Status (7)

Country Link
US (1) US7943531B2 (zh)
EP (1) EP2208222A4 (zh)
JP (3) JP2011504651A (zh)
KR (1) KR101161074B1 (zh)
CN (1) CN101802984B (zh)
TW (1) TWI373074B (zh)
WO (1) WO2009055340A1 (zh)

Families Citing this family (106)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7825038B2 (en) * 2006-05-30 2010-11-02 Applied Materials, Inc. Chemical vapor deposition of high quality flow-like silicon dioxide using a silicon containing precursor and atomic oxygen
US8232176B2 (en) * 2006-06-22 2012-07-31 Applied Materials, Inc. Dielectric deposition and etch back processes for bottom up gapfill
KR100748559B1 (ko) * 2006-08-09 2007-08-10 삼성전자주식회사 플래시 메모리 장치 및 그 제조 방법
US7867923B2 (en) * 2007-10-22 2011-01-11 Applied Materials, Inc. High quality silicon oxide films by remote plasma CVD from disilane precursors
US8357435B2 (en) 2008-05-09 2013-01-22 Applied Materials, Inc. Flowable dielectric equipment and processes
KR101096449B1 (ko) 2009-06-30 2011-12-20 주식회사 하이닉스반도체 반도체 소자의 제조방법
US8980382B2 (en) * 2009-12-02 2015-03-17 Applied Materials, Inc. Oxygen-doping for non-carbon radical-component CVD films
US8741788B2 (en) * 2009-08-06 2014-06-03 Applied Materials, Inc. Formation of silicon oxide using non-carbon flowable CVD processes
US7989365B2 (en) * 2009-08-18 2011-08-02 Applied Materials, Inc. Remote plasma source seasoning
US8329587B2 (en) * 2009-10-05 2012-12-11 Applied Materials, Inc. Post-planarization densification
US20110136347A1 (en) * 2009-10-21 2011-06-09 Applied Materials, Inc. Point-of-use silylamine generation
US8449942B2 (en) 2009-11-12 2013-05-28 Applied Materials, Inc. Methods of curing non-carbon flowable CVD films
US8528224B2 (en) 2009-11-12 2013-09-10 Novellus Systems, Inc. Systems and methods for at least partially converting films to silicon oxide and/or improving film quality using ultraviolet curing in steam and densification of films using UV curing in ammonia
US20110151677A1 (en) * 2009-12-21 2011-06-23 Applied Materials, Inc. Wet oxidation process performed on a dielectric material formed from a flowable cvd process
US20110159213A1 (en) * 2009-12-30 2011-06-30 Applied Materials, Inc. Chemical vapor deposition improvements through radical-component modification
SG181670A1 (en) * 2009-12-30 2012-07-30 Applied Materials Inc Dielectric film growth with radicals produced using flexible nitrogen/hydrogen ratio
US8329262B2 (en) * 2010-01-05 2012-12-11 Applied Materials, Inc. Dielectric film formation using inert gas excitation
KR101528832B1 (ko) * 2010-01-06 2015-06-15 어플라이드 머티어리얼스, 인코포레이티드 유동성 유전체 층의 형성 방법
JP2013516788A (ja) 2010-01-07 2013-05-13 アプライド マテリアルズ インコーポレイテッド ラジカル成分cvd用のインサイチュオゾン硬化
US8101522B2 (en) * 2010-02-25 2012-01-24 National Taiwan University Silicon substrate having nanostructures and method for producing the same and application thereof
WO2011109148A2 (en) 2010-03-05 2011-09-09 Applied Materials, Inc. Conformal layers by radical-component cvd
US8236708B2 (en) * 2010-03-09 2012-08-07 Applied Materials, Inc. Reduced pattern loading using bis(diethylamino)silane (C8H22N2Si) as silicon precursor
JP2011199003A (ja) * 2010-03-19 2011-10-06 Tokyo Electron Ltd シリコン酸化膜の形成方法、及びプラズマ処理装置
US7994019B1 (en) 2010-04-01 2011-08-09 Applied Materials, Inc. Silicon-ozone CVD with reduced pattern loading using incubation period deposition
WO2011125395A1 (ja) * 2010-04-09 2011-10-13 株式会社日立国際電気 半導体装置の製造方法、基板処理方法および基板処理装置
US8476142B2 (en) 2010-04-12 2013-07-02 Applied Materials, Inc. Preferential dielectric gapfill
US8524004B2 (en) 2010-06-16 2013-09-03 Applied Materials, Inc. Loadlock batch ozone cure
WO2012002995A2 (en) * 2010-07-02 2012-01-05 Matheson Tri-Gas, Inc. Thin films and methods of making them using cyclohexasilane
US8318584B2 (en) 2010-07-30 2012-11-27 Applied Materials, Inc. Oxide-rich liner layer for flowable CVD gapfill
US9285168B2 (en) 2010-10-05 2016-03-15 Applied Materials, Inc. Module for ozone cure and post-cure moisture treatment
US8664127B2 (en) 2010-10-15 2014-03-04 Applied Materials, Inc. Two silicon-containing precursors for gapfill enhancing dielectric liner
JP5588856B2 (ja) * 2010-12-27 2014-09-10 東京エレクトロン株式会社 カーボン膜上への酸化物膜の成膜方法及び成膜装置
US20120177846A1 (en) * 2011-01-07 2012-07-12 Applied Materials, Inc. Radical steam cvd
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8450191B2 (en) 2011-01-24 2013-05-28 Applied Materials, Inc. Polysilicon films by HDP-CVD
US8716154B2 (en) 2011-03-04 2014-05-06 Applied Materials, Inc. Reduced pattern loading using silicon oxide multi-layers
WO2012128044A1 (ja) * 2011-03-23 2012-09-27 株式会社日立国際電気 半導体装置の製造方法、基板処理方法および基板処理装置
US8445078B2 (en) 2011-04-20 2013-05-21 Applied Materials, Inc. Low temperature silicon oxide conversion
US8466073B2 (en) 2011-06-03 2013-06-18 Applied Materials, Inc. Capping layer for reduced outgassing
US9404178B2 (en) 2011-07-15 2016-08-02 Applied Materials, Inc. Surface treatment and deposition for reduced outgassing
JP5977002B2 (ja) * 2011-08-25 2016-08-24 東京エレクトロン株式会社 トレンチの埋め込み方法および半導体集積回路装置の製造方法
US8617989B2 (en) 2011-09-26 2013-12-31 Applied Materials, Inc. Liner property improvement
US8993072B2 (en) 2011-09-27 2015-03-31 Air Products And Chemicals, Inc. Halogenated organoaminosilane precursors and methods for depositing films comprising same
US8551891B2 (en) 2011-10-04 2013-10-08 Applied Materials, Inc. Remote plasma burn-in
US9200167B2 (en) 2012-01-27 2015-12-01 Air Products And Chemicals, Inc. Alkoxyaminosilane compounds and applications thereof
US9677178B2 (en) 2012-01-27 2017-06-13 Versum Materials Us, Llc Alkoxyaminosilane compounds and applications thereof
TWI474392B (zh) * 2012-03-03 2015-02-21 Production method of silicon solar cell substrate
KR102140719B1 (ko) 2012-03-09 2020-08-03 에어 프로덕츠 앤드 케미칼스, 인코오포레이티드 디스플레이 디바이스를 위한 배리어 물질
JP5981206B2 (ja) * 2012-04-20 2016-08-31 株式会社東芝 半導体装置の製造方法および半導体製造装置
TWI522490B (zh) 2012-05-10 2016-02-21 應用材料股份有限公司 利用微波電漿化學氣相沈積在基板上沈積膜的方法
KR101361454B1 (ko) 2012-08-23 2014-02-21 이근수 반도체 소자의 실리콘 산화막 형성 방법
US8889566B2 (en) 2012-09-11 2014-11-18 Applied Materials, Inc. Low cost flowable dielectric films
KR20140059107A (ko) 2012-11-07 2014-05-15 주식회사 유피케미칼 실리콘 질화물 박막 제조 방법
US8927388B2 (en) * 2012-11-15 2015-01-06 United Microelectronics Corp. Method of fabricating dielectric layer and shallow trench isolation
US10279959B2 (en) 2012-12-11 2019-05-07 Versum Materials Us, Llc Alkoxysilylamine compounds and applications thereof
JP6415808B2 (ja) 2012-12-13 2018-10-31 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置およびプログラム
US9018108B2 (en) 2013-01-25 2015-04-28 Applied Materials, Inc. Low shrinkage dielectric films
MY171887A (en) 2013-02-19 2019-11-06 Applied Materials Inc Hdd patterning using flowable cvd film
US9343293B2 (en) * 2013-04-04 2016-05-17 Applied Materials, Inc. Flowable silicon—carbon—oxygen layers for semiconductor processing
US20140302690A1 (en) * 2013-04-04 2014-10-09 Applied Materials, Inc. Chemical linkers to impart improved mechanical strength to flowable films
US9012336B2 (en) * 2013-04-08 2015-04-21 Applied Materials, Inc. Method for conformal treatment of dielectric films using inductively coupled plasma
US20140329027A1 (en) * 2013-05-02 2014-11-06 Applied Materials, Inc. Low temperature flowable curing for stress accommodation
CN104425343B (zh) * 2013-08-28 2018-12-21 中芯国际集成电路制造(上海)有限公司 浅沟槽隔离结构的形成方法
CN104637766B (zh) * 2013-11-14 2017-02-08 中微半导体设备(上海)有限公司 反应腔结构及半导体等离子处理系统
US10804094B2 (en) 2016-05-06 2020-10-13 Applied Materials, Inc. Methods of depositing SiCON with C, O and N compositional control
US11549181B2 (en) 2013-11-22 2023-01-10 Applied Materials, Inc. Methods for atomic layer deposition of SiCO(N) using halogenated silylamides
US9412581B2 (en) 2014-07-16 2016-08-09 Applied Materials, Inc. Low-K dielectric gapfill by flowable deposition
US10407771B2 (en) * 2014-10-06 2019-09-10 Applied Materials, Inc. Atomic layer deposition chamber with thermal lid
TWI670756B (zh) * 2014-12-22 2019-09-01 美商應用材料股份有限公司 藉由沉積調整來解決fcvd的線條彎曲
US10421766B2 (en) 2015-02-13 2019-09-24 Versum Materials Us, Llc Bisaminoalkoxysilane compounds and methods for using same to deposit silicon-containing films
US10566187B2 (en) 2015-03-20 2020-02-18 Lam Research Corporation Ultrathin atomic layer deposition film accuracy thickness control
US9871100B2 (en) * 2015-07-29 2018-01-16 Taiwan Semiconductor Manufacturing Co., Ltd. Trench structure of semiconductor device having uneven nitrogen distribution liner
US20170125241A1 (en) * 2015-10-30 2017-05-04 Applied Materials, Inc. Low temp single precursor arc hard mask for multilayer patterning application
US9786491B2 (en) * 2015-11-12 2017-10-10 Asm Ip Holding B.V. Formation of SiOCN thin films
US9786492B2 (en) 2015-11-12 2017-10-10 Asm Ip Holding B.V. Formation of SiOCN thin films
CN108369896A (zh) * 2015-12-10 2018-08-03 应用材料公司 利用空间原子层沉积的原位膜退火
EP3394315A4 (en) * 2015-12-21 2019-10-30 Versum Materials US, LLC COMPOSITIONS AND METHODS USING SAME FOR DEPOSITION OF SILICON-CONTAINING FILM
WO2017111815A1 (en) * 2015-12-26 2017-06-29 Intel Corporation Transmitter with improved substrate thicknesses and interfaces
CN114231947A (zh) * 2016-02-26 2022-03-25 弗萨姆材料美国有限责任公司 组合物和使用其沉积含硅膜的方法
CN106908990A (zh) * 2016-03-16 2017-06-30 宁波长阳科技股份有限公司 一种量子点膜及其制备方法
KR102378021B1 (ko) 2016-05-06 2022-03-23 에이에스엠 아이피 홀딩 비.브이. SiOC 박막의 형성
US10858727B2 (en) 2016-08-19 2020-12-08 Applied Materials, Inc. High density, low stress amorphous carbon film, and process and equipment for its deposition
US10468244B2 (en) 2016-08-30 2019-11-05 Versum Materials Us, Llc Precursors and flowable CVD methods for making low-K films to fill surface features
US11017998B2 (en) 2016-08-30 2021-05-25 Versum Materials Us, Llc Precursors and flowable CVD methods for making low-K films to fill surface features
CN106433454A (zh) * 2016-09-12 2017-02-22 上海至纯洁净系统科技股份有限公司 在物体表面形成保护层的方法及表面形成有保护层的产品
WO2018052476A1 (en) 2016-09-14 2018-03-22 Applied Materials, Inc. Steam oxidation initiation for high aspect ratio conformal radical oxidation
US9882051B1 (en) * 2016-09-15 2018-01-30 Qualcomm Incorporated Fin field effect transistors (FETs) (FinFETs) employing dielectric material layers to apply stress to channel regions
JP7061432B2 (ja) * 2017-02-16 2022-04-28 レール・リキード-ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード Si含有膜の成膜方法
US10847529B2 (en) 2017-04-13 2020-11-24 Asm Ip Holding B.V. Substrate processing method and device manufactured by the same
US10504901B2 (en) 2017-04-26 2019-12-10 Asm Ip Holding B.V. Substrate processing method and device manufactured using the same
JP7249952B2 (ja) 2017-05-05 2023-03-31 エーエスエム アイピー ホールディング ビー.ブイ. 酸素含有薄膜の制御された形成のためのプラズマ増強堆積プロセス
US10872762B2 (en) * 2017-11-08 2020-12-22 Taiwan Semiconductor Manufacturing Company, Ltd. Methods of forming silicon oxide layer and semiconductor structure
TWI761636B (zh) 2017-12-04 2022-04-21 荷蘭商Asm Ip控股公司 電漿增強型原子層沉積製程及沉積碳氧化矽薄膜的方法
US20200003937A1 (en) * 2018-06-29 2020-01-02 Applied Materials, Inc. Using flowable cvd to gap fill micro/nano structures for optical components
US20200090980A1 (en) * 2018-09-13 2020-03-19 Nanya Technology Corporation Method for preparing semiconductor structures
JP2020047729A (ja) * 2018-09-18 2020-03-26 トヨタ自動車株式会社 半導体装置の製造方法
CN110970287B (zh) * 2018-09-28 2022-12-02 长鑫存储技术有限公司 制备非晶硅薄膜的方法
US11211243B2 (en) * 2018-11-21 2021-12-28 Taiwan Semiconductor Manufacturing Company, Ltd. Method of filling gaps with carbon and nitrogen doped film
KR102364476B1 (ko) * 2020-05-08 2022-02-18 주식회사 한솔케미칼 실리콘 전구체 및 이를 이용한 실리콘 함유 박막의 제조방법
US11447865B2 (en) * 2020-11-17 2022-09-20 Applied Materials, Inc. Deposition of low-κ films
JP2022099123A (ja) * 2020-12-22 2022-07-04 東京エレクトロン株式会社 絶縁膜の形成方法及び処理装置
JP2022111764A (ja) * 2021-01-20 2022-08-01 東京エレクトロン株式会社 シリコン含有膜の形成方法及び処理装置
KR20230159564A (ko) * 2021-03-22 2023-11-21 어플라이드 머티어리얼스, 인코포레이티드 기판을 프로세싱하기 위한 방법들 및 장치
TWI768801B (zh) * 2021-03-31 2022-06-21 世界先進積體電路股份有限公司 半導體結構及其製作方法
US20230017874A1 (en) * 2021-06-24 2023-01-19 Asm Ip Holding B.V. Cyclical deposition methods and structures formed using the methods
US20230416909A1 (en) * 2022-06-27 2023-12-28 Applied Materials, Inc. Method for formation of conformal ald sio2 films

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4916091A (en) * 1987-11-05 1990-04-10 Texas Instruments Incorporated Plasma and plasma UV deposition of SiO2
CN1049764C (zh) * 1996-02-14 2000-02-23 台湾茂矽电子股份有限公司 集成电路中介电层的制造方法
US6787191B2 (en) * 2000-04-04 2004-09-07 Asahi Kasei Kabushiki Kaisha Coating composition for the production of insulating thin films

Family Cites Families (171)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4147571A (en) 1977-07-11 1979-04-03 Hewlett-Packard Company Method for vapor epitaxial deposition of III/V materials utilizing organometallic compounds and a halogen or halide in a hot wall system
FR2598520B1 (fr) 1986-01-21 1994-01-28 Seiko Epson Corp Pellicule protectrice minerale
JP2633551B2 (ja) * 1987-03-18 1997-07-23 株式会社東芝 薄膜形成方法
US4818326A (en) 1987-07-16 1989-04-04 Texas Instruments Incorporated Processing apparatus
US4816098A (en) 1987-07-16 1989-03-28 Texas Instruments Incorporated Apparatus for transferring workpieces
US4931354A (en) 1987-11-02 1990-06-05 Murata Manufacturing Co., Ltd. Multilayer printed circuit board
JP2763100B2 (ja) * 1988-02-03 1998-06-11 株式会社東芝 薄膜形成方法
JPH0793298B2 (ja) * 1988-10-11 1995-10-09 日本電気株式会社 半導体装置の形成方法
JPH03257182A (ja) 1990-03-07 1991-11-15 Hitachi Ltd 表面加工装置
US5016332A (en) 1990-04-13 1991-05-21 Branson International Plasma Corporation Plasma reactor and process with wafer temperature control
US5436172A (en) 1991-05-20 1995-07-25 Texas Instruments Incorporated Real-time multi-zone semiconductor wafer temperature and process uniformity control system
US5426076A (en) 1991-07-16 1995-06-20 Intel Corporation Dielectric deposition and cleaning process for improved gap filling and device planarization
JP3216266B2 (ja) * 1992-09-22 2001-10-09 ソニー株式会社 平坦化絶縁膜の製造方法
US5393708A (en) 1992-10-08 1995-02-28 Industrial Technology Research Institute Inter-metal-dielectric planarization process
JP3103241B2 (ja) * 1993-03-26 2000-10-30 川崎製鉄株式会社 半導体装置の製造方法
JP2508581B2 (ja) * 1993-05-28 1996-06-19 日本電気株式会社 化学気相成長法
JP2641385B2 (ja) * 1993-09-24 1997-08-13 アプライド マテリアルズ インコーポレイテッド 膜形成方法
JP3631269B2 (ja) * 1993-09-27 2005-03-23 株式会社東芝 励起酸素の供給方法
US5587014A (en) 1993-12-22 1996-12-24 Sumitomo Chemical Company, Limited Method for manufacturing group III-V compound semiconductor crystals
US5679152A (en) 1994-01-27 1997-10-21 Advanced Technology Materials, Inc. Method of making a single crystals Ga*N article
JPH07335643A (ja) * 1994-06-06 1995-12-22 Hitachi Electron Eng Co Ltd 成膜方法
JP3396791B2 (ja) * 1994-08-30 2003-04-14 富士通株式会社 絶縁膜の形成方法
US5576071A (en) 1994-11-08 1996-11-19 Micron Technology, Inc. Method of reducing carbon incorporation into films produced by chemical vapor deposition involving organic precursor compounds
US5558717A (en) 1994-11-30 1996-09-24 Applied Materials CVD Processing chamber
US5786263A (en) 1995-04-04 1998-07-28 Motorola, Inc. Method for forming a trench isolation structure in an integrated circuit
JP2820070B2 (ja) * 1995-08-11 1998-11-05 日本電気株式会社 プラズマ化学気相成長法とその装置
JPH0982696A (ja) * 1995-09-18 1997-03-28 Toshiba Corp 半導体装置の製造方法および半導体製造装置
JPH09237785A (ja) 1995-12-28 1997-09-09 Toshiba Corp 半導体装置およびその製造方法
JPH09260369A (ja) * 1996-03-25 1997-10-03 Toshiba Corp 絶縁膜の形成方法
US6070551A (en) 1996-05-13 2000-06-06 Applied Materials, Inc. Deposition chamber and method for depositing low dielectric constant films
US5827783A (en) 1996-08-23 1998-10-27 Mosel Vitelic, Inc. Stacked capacitor having improved charge storage capacity
US5873781A (en) 1996-11-14 1999-02-23 Bally Gaming International, Inc. Gaming machine having truly random results
US6090723A (en) 1997-02-10 2000-07-18 Micron Technology, Inc. Conditioning of dielectric materials
FR2759362B1 (fr) 1997-02-10 1999-03-12 Saint Gobain Vitrage Substrat transparent muni d'au moins une couche mince a base de nitrure ou d'oxynitrure de silicium et son procede d'obtention
US5937308A (en) 1997-03-26 1999-08-10 Advanced Micro Devices, Inc. Semiconductor trench isolation structure formed substantially within a single chamber
US5937323A (en) 1997-06-03 1999-08-10 Applied Materials, Inc. Sequencing of the recipe steps for the optimal low-k HDP-CVD processing
US6207587B1 (en) 1997-06-24 2001-03-27 Micron Technology, Inc. Method for forming a dielectric
TW416100B (en) 1997-07-02 2000-12-21 Applied Materials Inc Control of oxygen to silane ratio in a seasoning process to improve particle performance in an HDP-CVD system
US6024044A (en) 1997-10-09 2000-02-15 Applied Komatsu Technology, Inc. Dual frequency excitation of plasma for film deposition
US6087243A (en) 1997-10-21 2000-07-11 Advanced Micro Devices, Inc. Method of forming trench isolation with high integrity, ultra thin gate oxide
US6009830A (en) 1997-11-21 2000-01-04 Applied Materials Inc. Independent gas feeds in a plasma reactor
KR100253079B1 (ko) 1997-12-01 2000-04-15 윤종용 반도체 장치의 트렌치 격리 형성 방법
US6627532B1 (en) * 1998-02-11 2003-09-30 Applied Materials, Inc. Method of decreasing the K value in SiOC layer deposited by chemical vapor deposition
US6068884A (en) 1998-04-28 2000-05-30 Silcon Valley Group Thermal Systems, Llc Method of making low κ dielectric inorganic/organic hybrid films
US6165834A (en) 1998-05-07 2000-12-26 Micron Technology, Inc. Method of forming capacitors, method of processing dielectric layers, method of forming a DRAM cell
US6509283B1 (en) 1998-05-13 2003-01-21 National Semiconductor Corporation Thermal oxidation method utilizing atomic oxygen to reduce dangling bonds in silicon dioxide grown on silicon
US6146970A (en) 1998-05-26 2000-11-14 Motorola Inc. Capped shallow trench isolation and method of formation
US6302964B1 (en) 1998-06-16 2001-10-16 Applied Materials, Inc. One-piece dual gas faceplate for a showerhead in a semiconductor wafer processing system
US6406677B1 (en) 1998-07-22 2002-06-18 Eltron Research, Inc. Methods for low and ambient temperature preparation of precursors of compounds of group III metals and group V elements
US6197658B1 (en) 1998-10-30 2001-03-06 Taiwan Semiconductor Manufacturing Company Sub-atmospheric pressure thermal chemical vapor deposition (SACVD) trench isolation method with attenuated surface sensitivity
US6245690B1 (en) * 1998-11-04 2001-06-12 Applied Materials, Inc. Method of improving moisture resistance of low dielectric constant films
JP4724146B2 (ja) * 1999-01-08 2011-07-13 株式会社東芝 半導体装置
US6290774B1 (en) 1999-05-07 2001-09-18 Cbl Technology, Inc. Sequential hydride vapor phase epitaxy
US6180490B1 (en) 1999-05-25 2001-01-30 Chartered Semiconductor Manufacturing Ltd. Method of filling shallow trenches
US6204201B1 (en) 1999-06-11 2001-03-20 Electron Vision Corporation Method of processing films prior to chemical vapor deposition using electron beam processing
US6524931B1 (en) 1999-07-20 2003-02-25 Motorola, Inc. Method for forming a trench isolation structure in an integrated circuit
US6383954B1 (en) 1999-07-27 2002-05-07 Applied Materials, Inc. Process gas distribution for forming stable fluorine-doped silicate glass and other films
JP2001144325A (ja) 1999-11-12 2001-05-25 Sony Corp 窒化物系iii−v族化合物半導体の製造方法および半導体素子の製造方法
FI118804B (fi) 1999-12-03 2008-03-31 Asm Int Menetelmä oksidikalvojen kasvattamiseksi
US6348420B1 (en) 1999-12-23 2002-02-19 Asm America, Inc. Situ dielectric stacks
US6541367B1 (en) 2000-01-18 2003-04-01 Applied Materials, Inc. Very low dielectric constant plasma-enhanced CVD films
US6461980B1 (en) 2000-01-28 2002-10-08 Applied Materials, Inc. Apparatus and process for controlling the temperature of a substrate in a plasma reactor chamber
NL1014274C2 (nl) * 2000-02-03 2001-08-16 Tele Atlas Bv Stelsel voor het beveiligen van op een datadrager aanwezige data.
EP1124252A2 (en) 2000-02-10 2001-08-16 Applied Materials, Inc. Apparatus and process for processing substrates
EP1130633A1 (en) 2000-02-29 2001-09-05 STMicroelectronics S.r.l. A method of depositing silicon oxynitride polimer layers
US7335584B2 (en) * 2000-02-29 2008-02-26 Stmicroelectronics S.R.L. Method of using SACVD deposition and corresponding deposition reactor
US7419903B2 (en) 2000-03-07 2008-09-02 Asm International N.V. Thin films
US6558755B2 (en) 2000-03-20 2003-05-06 Dow Corning Corporation Plasma curing process for porous silica thin film
US6630413B2 (en) 2000-04-28 2003-10-07 Asm Japan K.K. CVD syntheses of silicon nitride materials
US6387207B1 (en) 2000-04-28 2002-05-14 Applied Materials, Inc. Integration of remote plasma generator with semiconductor processing chamber
US6559026B1 (en) 2000-05-25 2003-05-06 Applied Materials, Inc Trench fill with HDP-CVD process including coupled high power density plasma deposition
JP4371543B2 (ja) 2000-06-29 2009-11-25 日本電気株式会社 リモートプラズマcvd装置及び膜形成方法
US6614181B1 (en) 2000-08-23 2003-09-02 Applied Materials, Inc. UV radiation source for densification of CVD carbon-doped silicon oxide films
US6566278B1 (en) 2000-08-24 2003-05-20 Applied Materials Inc. Method for densification of CVD carbon-doped silicon oxide films through UV irradiation
JP4232330B2 (ja) 2000-09-22 2009-03-04 東京エレクトロン株式会社 励起ガス形成装置、処理装置及び処理方法
JP3712356B2 (ja) 2000-10-23 2005-11-02 アプライド マテリアルズ インコーポレイテッド 成膜方法および半導体装置の製造方法
US20020060322A1 (en) 2000-11-20 2002-05-23 Hiroshi Tanabe Thin film transistor having high mobility and high on-current and method for manufacturing the same
DE10063688A1 (de) * 2000-12-20 2002-07-18 Infineon Technologies Ag Schaltungsanordnung zur Ansteuerung einer programmierbaren Verbindung
US20020081817A1 (en) 2000-12-22 2002-06-27 Jayendra Bhakta Void reduction and increased throughput in trench fill processes
US6660662B2 (en) 2001-01-26 2003-12-09 Applied Materials, Inc. Method of reducing plasma charge damage for plasma processes
US6447651B1 (en) 2001-03-07 2002-09-10 Applied Materials, Inc. High-permeability magnetic shield for improved process uniformity in nonmagnetized plasma process chambers
WO2002077320A1 (en) 2001-03-23 2002-10-03 Dow Corning Corporation Method for producing hydrogenated silicon oxycarbide films
US6596576B2 (en) 2001-04-10 2003-07-22 Applied Materials, Inc. Limiting hydrogen ion diffusion using multiple layers of SiO2 and Si3N4
US6528332B2 (en) 2001-04-27 2003-03-04 Advanced Micro Devices, Inc. Method and system for reducing polymer build up during plasma etch of an intermetal dielectric
US6780499B2 (en) 2001-05-03 2004-08-24 International Business Machines Corporation Ordered two-phase dielectric film, and semiconductor device containing the same
US6596653B2 (en) 2001-05-11 2003-07-22 Applied Materials, Inc. Hydrogen assisted undoped silicon oxide deposition process for HDP-CVD
US6716770B2 (en) * 2001-05-23 2004-04-06 Air Products And Chemicals, Inc. Low dielectric constant material and method of processing by CVD
KR100421046B1 (ko) 2001-07-13 2004-03-04 삼성전자주식회사 반도체 장치 및 그 제조방법
US6548416B2 (en) 2001-07-24 2003-04-15 Axcelis Technolgoies, Inc. Plasma ashing process
US6596654B1 (en) 2001-08-24 2003-07-22 Novellus Systems, Inc. Gap fill for high aspect ratio structures
AU2002323040A1 (en) 2001-08-06 2003-02-24 Advanced Technology Material, Inc. Low-k dielectric thin films and chemical vapor deposition method of making same
US6756085B2 (en) 2001-09-14 2004-06-29 Axcelis Technologies, Inc. Ultraviolet curing processes for advanced low-k materials
US6770521B2 (en) 2001-11-30 2004-08-03 Texas Instruments Incorporated Method of making multiple work function gates by implanting metals with metallic alloying additives
US6794290B1 (en) 2001-12-03 2004-09-21 Novellus Systems, Inc. Method of chemical modification of structure topography
JP3891267B2 (ja) * 2001-12-25 2007-03-14 キヤノンアネルバ株式会社 シリコン酸化膜作製方法
US20030124873A1 (en) 2001-12-28 2003-07-03 Guangcai Xing Method of annealing an oxide film
AU2003238853A1 (en) 2002-01-25 2003-09-02 Applied Materials, Inc. Apparatus for cyclical deposition of thin films
US6911391B2 (en) 2002-01-26 2005-06-28 Applied Materials, Inc. Integration of titanium and titanium nitride layers
TW536775B (en) 2002-04-18 2003-06-11 Nanya Technology Corp Manufacturing method of shallow trench isolation structure
AU2003235305A1 (en) 2002-04-19 2003-11-03 Tokyo Electron Limited Method of treating substrate and process for producing semiconductor device
US6936551B2 (en) * 2002-05-08 2005-08-30 Applied Materials Inc. Methods and apparatus for E-beam treatment used to fabricate integrated circuit devices
US7307273B2 (en) 2002-06-07 2007-12-11 Amberwave Systems Corporation Control of strain in device layers by selective relaxation
TWI283899B (en) 2002-07-09 2007-07-11 Applied Materials Inc Capacitively coupled plasma reactor with magnetic plasma control
US6900881B2 (en) 2002-07-11 2005-05-31 Molecular Imprints, Inc. Step and repeat imprint lithography systems
US7456116B2 (en) 2002-09-19 2008-11-25 Applied Materials, Inc. Gap-fill depositions in the formation of silicon containing dielectric materials
US7335609B2 (en) 2004-08-27 2008-02-26 Applied Materials, Inc. Gap-fill depositions introducing hydroxyl-containing precursors in the formation of silicon containing dielectric materials
US6828211B2 (en) 2002-10-01 2004-12-07 Taiwan Semiconductor Manufacturing Co., Ltd. Shallow trench filled with two or more dielectrics for isolation and coupling or for stress control
US6833322B2 (en) * 2002-10-17 2004-12-21 Applied Materials, Inc. Apparatuses and methods for depositing an oxide film
US7080528B2 (en) 2002-10-23 2006-07-25 Applied Materials, Inc. Method of forming a phosphorus doped optical core using a PECVD process
US6900067B2 (en) 2002-12-11 2005-05-31 Lumileds Lighting U.S., Llc Growth of III-nitride films on mismatched substrates without conventional low temperature nucleation layers
US6808748B2 (en) 2003-01-23 2004-10-26 Applied Materials, Inc. Hydrogen assisted HDP-CVD deposition process for aggressive gap-fill technology
US7205248B2 (en) 2003-02-04 2007-04-17 Micron Technology, Inc. Method of eliminating residual carbon from flowable oxide fill
US6884685B2 (en) 2003-02-14 2005-04-26 Freescale Semiconductors, Inc. Radical oxidation and/or nitridation during metal oxide layer deposition process
US7084076B2 (en) * 2003-02-27 2006-08-01 Samsung Electronics, Co., Ltd. Method for forming silicon dioxide film using siloxane
US7098149B2 (en) 2003-03-04 2006-08-29 Air Products And Chemicals, Inc. Mechanical enhancement of dense and porous organosilicate materials by UV exposure
US7429540B2 (en) 2003-03-07 2008-09-30 Applied Materials, Inc. Silicon oxynitride gate dielectric formation using multiple annealing steps
US6867086B1 (en) 2003-03-13 2005-03-15 Novellus Systems, Inc. Multi-step deposition and etch back gap fill process
JP2004283065A (ja) * 2003-03-20 2004-10-14 Ushio Inc 化学走性機能制御膜の製造方法および人工材料並びに人工材料の製造方法
JP3976703B2 (ja) 2003-04-30 2007-09-19 エルピーダメモリ株式会社 半導体装置の製造方法
US6830624B2 (en) 2003-05-02 2004-12-14 Applied Materials, Inc. Blocker plate by-pass for remote plasma clean
US6958112B2 (en) 2003-05-27 2005-10-25 Applied Materials, Inc. Methods and systems for high-aspect-ratio gapfill using atomic-oxygen generation
US8137764B2 (en) * 2003-05-29 2012-03-20 Air Products And Chemicals, Inc. Mechanical enhancer additives for low dielectric films
US7399388B2 (en) 2003-07-25 2008-07-15 Applied Materials, Inc. Sequential gas flow oxide deposition technique
US7192891B2 (en) 2003-08-01 2007-03-20 Samsung Electronics, Co., Ltd. Method for forming a silicon oxide layer using spin-on glass
US6818517B1 (en) 2003-08-29 2004-11-16 Asm International N.V. Methods of depositing two or more layers on a substrate in situ
US7361991B2 (en) 2003-09-19 2008-04-22 International Business Machines Corporation Closed air gap interconnect structure
JP4285184B2 (ja) 2003-10-14 2009-06-24 東京エレクトロン株式会社 成膜方法及び成膜装置
CA2540039A1 (en) 2003-12-17 2005-07-28 Cedraeus Inc. Method for a random-based decision-making process
US7030468B2 (en) 2004-01-16 2006-04-18 International Business Machines Corporation Low k and ultra low k SiCOH dielectric films and methods to form the same
KR101157683B1 (ko) 2004-02-17 2012-07-06 도아고세이가부시키가이샤 실리콘 산화막의 제조 방법
US7067438B2 (en) 2004-02-19 2006-06-27 Micron Technology, Inc. Atomic layer deposition method of forming an oxide comprising layer on a substrate
US7524735B1 (en) 2004-03-25 2009-04-28 Novellus Systems, Inc Flowable film dielectric gap fill process
US7115508B2 (en) * 2004-04-02 2006-10-03 Applied-Materials, Inc. Oxide-like seasoning for dielectric low k films
US7109114B2 (en) 2004-05-07 2006-09-19 Applied Materials, Inc. HDP-CVD seasoning process for high power HDP-CVD gapfil to improve particle performance
WO2005121397A2 (en) * 2004-06-04 2005-12-22 Applied Microstructures, Inc. Controlled vapor deposition of multilayered coatings adhered by an oxide layer
US7297608B1 (en) 2004-06-22 2007-11-20 Novellus Systems, Inc. Method for controlling properties of conformal silica nanolaminates formed by rapid vapor deposition
US7129187B2 (en) * 2004-07-14 2006-10-31 Tokyo Electron Limited Low-temperature plasma-enhanced chemical vapor deposition of silicon-nitrogen-containing films
US7642171B2 (en) 2004-08-04 2010-01-05 Applied Materials, Inc. Multi-step anneal of thin films for film densification and improved gap-fill
US7629270B2 (en) 2004-08-27 2009-12-08 Asm America, Inc. Remote plasma activated nitridation
KR100550351B1 (ko) 2004-09-07 2006-02-08 삼성전자주식회사 반도체 장치의 막 형성방법 및 이를 수행하기 위한 반도체장치의 막 형성 장치
US20060084283A1 (en) * 2004-10-20 2006-04-20 Paranjpe Ajit P Low temperature sin deposition methods
US7148155B1 (en) 2004-10-26 2006-12-12 Novellus Systems, Inc. Sequential deposition/anneal film densification method
KR100782369B1 (ko) 2004-11-11 2007-12-07 삼성전자주식회사 반도체 제조장치
US20060162661A1 (en) 2005-01-22 2006-07-27 Applied Materials, Inc. Mixing energized and non-energized gases for silicon nitride deposition
US20060228903A1 (en) 2005-03-30 2006-10-12 Mcswiney Michael L Precursors for the deposition of carbon-doped silicon nitride or silicon oxynitride films
US7972441B2 (en) 2005-04-05 2011-07-05 Applied Materials, Inc. Thermal oxidation of silicon using ozone
US7651955B2 (en) * 2005-06-21 2010-01-26 Applied Materials, Inc. Method for forming silicon-containing materials during a photoexcitation deposition process
US7601652B2 (en) * 2005-06-21 2009-10-13 Applied Materials, Inc. Method for treating substrates and films with photoexcitation
JP4860953B2 (ja) 2005-07-08 2012-01-25 富士通株式会社 シリカ系被膜形成用材料、シリカ系被膜及びその製造方法、多層配線及びその製造方法、並びに、半導体装置及びその製造方法
US7427570B2 (en) 2005-09-01 2008-09-23 Micron Technology, Inc. Porous organosilicate layers, and vapor deposition systems and methods for preparing same
US7901743B2 (en) 2005-09-30 2011-03-08 Tokyo Electron Limited Plasma-assisted vapor phase treatment of low dielectric constant films using a batch processing system
US7498270B2 (en) 2005-09-30 2009-03-03 Tokyo Electron Limited Method of forming a silicon oxynitride film with tensile stress
JP5154009B2 (ja) 2005-10-21 2013-02-27 株式会社ジャパンディスプレイイースト 有機シロキサン系絶縁膜の製造方法、及び、この製造方法で製造した有機シロキサン系絶縁膜を層間絶縁として用いた液晶表示装置の製造方法
US20070128862A1 (en) 2005-11-04 2007-06-07 Paul Ma Apparatus and process for plasma-enhanced atomic layer deposition
US7972954B2 (en) * 2006-01-24 2011-07-05 Infineon Technologies Ag Porous silicon dielectric
US7435661B2 (en) 2006-01-27 2008-10-14 Atmel Corporation Polish stop and sealing layer for manufacture of semiconductor devices with deep trench isolation
JP4984558B2 (ja) 2006-02-08 2012-07-25 富士通セミコンダクター株式会社 半導体装置の製造方法
US7601651B2 (en) 2006-03-31 2009-10-13 Applied Materials, Inc. Method to improve the step coverage and pattern loading for dielectric films
US7780865B2 (en) 2006-03-31 2010-08-24 Applied Materials, Inc. Method to improve the step coverage and pattern loading for dielectric films
US7790634B2 (en) 2006-05-30 2010-09-07 Applied Materials, Inc Method for depositing and curing low-k films for gapfill and conformal film applications
US7498273B2 (en) * 2006-05-30 2009-03-03 Applied Materials, Inc. Formation of high quality dielectric films of silicon dioxide for STI: usage of different siloxane-based precursors for harp II—remote plasma enhanced deposition processes
US7825038B2 (en) 2006-05-30 2010-11-02 Applied Materials, Inc. Chemical vapor deposition of high quality flow-like silicon dioxide using a silicon containing precursor and atomic oxygen
US7629273B2 (en) 2006-09-19 2009-12-08 Taiwan Semiconductor Manufacturing Company, Ltd. Method for modulating stresses of a contact etch stop layer
US20080102223A1 (en) * 2006-11-01 2008-05-01 Sigurd Wagner Hybrid layers for use in coatings on electronic devices or other articles
US7781352B2 (en) * 2007-06-06 2010-08-24 Asm Japan K.K. Method for forming inorganic silazane-based dielectric film
US7745352B2 (en) 2007-08-27 2010-06-29 Applied Materials, Inc. Curing methods for silicon dioxide thin films deposited from alkoxysilane precursor with harp II process
US7867923B2 (en) 2007-10-22 2011-01-11 Applied Materials, Inc. High quality silicon oxide films by remote plasma CVD from disilane precursors
US7541297B2 (en) 2007-10-22 2009-06-02 Applied Materials, Inc. Method and system for improving dielectric film quality for void free gap fill
JPWO2009054232A1 (ja) * 2007-10-22 2011-03-03 株式会社ナノマテリアル研究所 半導体製造装置、半導体製造方法及び電子機器
US7803722B2 (en) 2007-10-22 2010-09-28 Applied Materials, Inc Methods for forming a dielectric layer within trenches
US20090325391A1 (en) 2008-06-30 2009-12-31 Asm International Nv Ozone and teos process for silicon oxide deposition

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4916091A (en) * 1987-11-05 1990-04-10 Texas Instruments Incorporated Plasma and plasma UV deposition of SiO2
CN1049764C (zh) * 1996-02-14 2000-02-23 台湾茂矽电子股份有限公司 集成电路中介电层的制造方法
US6787191B2 (en) * 2000-04-04 2004-09-07 Asahi Kasei Kabushiki Kaisha Coating composition for the production of insulating thin films

Also Published As

Publication number Publication date
EP2208222A4 (en) 2013-01-30
WO2009055340A1 (en) 2009-04-30
US20090104791A1 (en) 2009-04-23
KR20100085993A (ko) 2010-07-29
US7943531B2 (en) 2011-05-17
TW200941578A (en) 2009-10-01
CN101802984A (zh) 2010-08-11
EP2208222A1 (en) 2010-07-21
JP2011504651A (ja) 2011-02-10
JP2014013905A (ja) 2014-01-23
TWI373074B (en) 2012-09-21
JP2013243375A (ja) 2013-12-05
KR101161074B1 (ko) 2012-07-13

Similar Documents

Publication Publication Date Title
CN101802984B (zh) 在基板上形成氧化硅层的方法
KR101329285B1 (ko) 에스티아이를 위한 실리콘 디옥사이드의 고품질 유전 필름의 제조: 하프 ⅱ― 원격 플라즈마 향상된 증착 공정을 위한 상이한 실록산―기재 전구체의 용도
CN101310039B (zh) 使用含硅前驱物和原子氧进行高质量流体状硅氧化物的化学气相沉积
CN101425458B (zh) 形成至少一层介电层的方法和系统
CN101454877B (zh) 提高二氧化硅膜品质的新颖沉积-等离子硬化循环方法
CN101299417B (zh) 薄膜处理的方法
KR101515082B1 (ko) 포토레지스트 또는 건식 에칭이 필요없는 패턴화된 하드 마스크 막의 형성(rfp)을 위한 공정 시퀀스
TWI395269B (zh) 以harpii 處理固化烷氧矽烷前驅物沉積之二氧化矽薄膜的方法
CN101304001A (zh) 在含有电介质材料的硅形成中改进的空隙填充沉积
JP2009539268A (ja) シリコン含有前駆物質と原子酸素を用いた高品質流動状二酸化シリコンの化学気相堆積
US20100022067A1 (en) Deposition methods for releasing stress buildup

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C53 Correction of patent of invention or patent application
CB02 Change of applicant information

Address after: American California

Applicant after: Applied Materials Inc.

Address before: American California

Applicant before: Applied Materials Inc.

GR01 Patent grant
GR01 Patent grant
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20140312

Termination date: 20151020

EXPY Termination of patent right or utility model