CN101903984A - 利用等离子体清洁处理形成钝化层以降低自然氧化物生长的方法 - Google Patents

利用等离子体清洁处理形成钝化层以降低自然氧化物生长的方法 Download PDF

Info

Publication number
CN101903984A
CN101903984A CN2008801219362A CN200880121936A CN101903984A CN 101903984 A CN101903984 A CN 101903984A CN 2008801219362 A CN2008801219362 A CN 2008801219362A CN 200880121936 A CN200880121936 A CN 200880121936A CN 101903984 A CN101903984 A CN 101903984A
Authority
CN
China
Prior art keywords
substrate
processing chamber
gas
temperature
watts
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN2008801219362A
Other languages
English (en)
Other versions
CN101903984B (zh
Inventor
杨海春
吕新亮
高建德
张梅
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of CN101903984A publication Critical patent/CN101903984A/zh
Application granted granted Critical
Publication of CN101903984B publication Critical patent/CN101903984B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/02068Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/76224Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/665Unipolar field-effect transistors with an insulated gate, i.e. MISFET using self aligned silicidation, i.e. salicide
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/6656Unipolar field-effect transistors with an insulated gate, i.e. MISFET using multiple spacer layers, e.g. multiple sidewall spacers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate

Abstract

本发明描述的实施例提供用于去除基板上的自然氧化物,同时将下方的基板表面予以钝化的方法。在一实施例中,提供一种方法,其包括:将包含氧化物层的基板放置于工艺腔室内;调整该基板的第一温度到约80℃或更小;在该工艺腔室内由气体混合物产生清洁等离子体,其中该气体混合物包含氨和三氟化氮且NH3/NF3摩尔比例为约10或更高;及使该清洁等离子体凝结到该基板上。在等离子体清洁工艺期间,部分地由自然氧化物形成含有六氟硅酸铵的薄膜。该方法还包括在该工艺腔室内加热该基板到约100℃或更高的第二温度,同时从该基板去除该薄膜且在该基板上形成钝化表面。

Description

利用等离子体清洁处理形成钝化层以降低自然氧化物生长的方法
技术领域
本发明的实施例大致上关于用以处理基板的方法,并且特别是关于等离子体清洁工艺期间的氧化物蚀刻的方法。
背景技术
在半导体、显示器、太阳能电池与其他电子装置制造中,当基板表面暴露于空气中的氧和水,典型地会形成自然氧化物。在大气或外界(ambient)条件下或在少量氧留置在工艺腔室中时移动基板于多个工艺腔室之间时发生氧的暴露。自然氧化物也可以由蚀刻工艺期间的污染造成。自然氧化物膜通常非常薄,例如介于
Figure BPA00001161578600011
之间,但厚到足以造成后续制造过程中的困难。所以,通常不乐见自然氧化物层,且需要在后续的制造过程之前将其去除。
这样的困难通常会影响形成在基板上的电子器件的电学性质。例如,特定问题出现在自然氧化硅膜形成于暴露的含硅层上时,尤其是在处理金属氧化物硅场效应晶体管(“MOSFET”)结构期间。氧化硅膜是电绝缘的,并且不乐见在与接触电极或互连电路径之间的界面,这是因为氧化硅膜会造成高的电接触电阻。在MOSFET结构中,电极与互连路径包括硅化物层,其中该些硅化物层是通过沉积耐熔金属于裸硅上及将该层退火以产生金属硅化物层来形成。位在基板与金属之间界面的自然氧化硅膜通过阻碍用以形成金属硅化物的扩散化学反应而降低金属硅化物层的组成均匀性。由于电接触处的过热,这会导致低的基板良率以及高的失效率。自然氧化硅膜也会阻碍其他CVD或溅射层的附着,其中该些CVD或溅射层后续地被沉积在基板上。
溅射蚀刻工艺已经用来减少深宽比小于约4∶1的大特征结构中或小特征结构中的污染物。然而,溅射蚀刻工艺会通过物理轰击损坏精致的硅层。对此,使用氢氟酸的湿法蚀刻工艺也已经用来去除自然氧化物。然而,湿法清洁蚀刻工艺对于深宽比超过4∶1且特别是深宽比超过10∶1的更小的器件是不利的。尤其,液体溶液具有穿透到通孔、接触、或其他形成在基板表面内的小特征结构的困难度。故,自然氧化物膜的去除并不完全。同样地,若蚀刻溶液成功地穿透小特征结构,一旦蚀刻完成后,湿法蚀刻溶液更加难以从特征结构去除。此外,湿法蚀刻工艺通常具有严格的时序控制、会在基板上产生不期望的水痕、并且因大量的有毒废水而具有环境考量。
另一种去除自然氧化物膜的方式是干法蚀刻工艺,例如使用氟(F2)气体的干法蚀刻工艺。但是,使用含氟气体的缺失即是氟典型地会残留在基板表面上。残留在基板表面上的氟原子或氟基团是有害的。例如,残留的氟原子会持续蚀刻基板,在基板内形成孔隙。
更近来的一种去除自然氧化物的方式是在基板表面形成含氟/硅盐,该盐随后由热退火工艺来去除。在此方式中,通过使含氟气体与氧化硅表面反应来形成薄的盐层。然后,盐层被加热到足以将盐层分解成挥发性副产物的高温,其中该副产物随后从工艺腔室来去除。反应性含氟气体的形成通常是由热力加成或由等离子体能量来辅助。通常在基板表面的冷却所需要的低温形成盐层。此种冷却而接着加热的顺序是通过将基板从冷却腔室(在此处基板被冷却)传送到独立的退火腔室或炉(在此处基板被加热)来达成。
基于各种理由,此反应性氟工艺顺序是不乐见的。也就是,由于其涉及基板传送的时间,产能会大幅地降低。此外,在多个腔室之间传送基板的期间,基板极容易遭受进一步的氧化或其他的污染。再者,因需要两个独立的腔室来完成氧化物去除工艺,这会使成本加倍。
因此,需要一种方法来去除或蚀刻自然氧化物,同时可钝化下方的基板表面,较佳是在单个工艺腔室内进行。
发明内容
本文描述的实施例提供用于去除基板上的自然氧化物,同时将下方的基板表面钝化的方法。在一实施例中,本发明提供一种用于从基板表面去除自然氧化物的方法,其包括:将表面上含有氧化物层的基板放置于工艺腔室内;调整该基板的第一温度到约80℃或更小;在该工艺腔室内由气体混合物产生清洁等离子体,其中该气体混合物包含氨和三氟化氮且NH3/NF3摩尔比例为约10或更高;及在等离子体清洁工艺期间,使该清洁等离子体凝结到该基板上且形成薄膜。该薄膜包含部分由自然氧化硅层的硅形成的六氟硅酸铵。该方法还包括在该工艺腔室内加热该基板到约100℃或更高的第二温度,同时从该基板去除该薄膜且在该基板上形成钝化表面。在一实例中,该基板的第一温度介于约20℃至约80℃之间,该基板的第二温度介于约100℃至约200℃之间。在另一实例中,该基板的第一温度介于约22℃至约40℃之间,该基板的第二温度介于约110℃至约150℃之间。
在另一实施例中,本文提供一种用于从基板表面去除自然氧化物的方法,其包括:将表面上含有氧化物层的基板放置于工艺腔室内;调整该基板的第一温度到小于约100℃;在该工艺腔室内由气体混合物产生清洁等离子体。该气体混合物包含氨和三氟化氮且NH3/NF3摩尔比例为约20或更高,并且该清洁等离子体以约5瓦至约50瓦之间的RF功率来产生。该方法还包括在等离子体清洁工艺期间,将该基板暴露于该清洁等离子体以形成含六氟硅酸铵的薄膜。该方法还包括在该工艺腔室内加热该基板到约100℃或更高的第二温度,同时从该基板去除该薄膜且在该基板上形成钝化表面。
在另一实施例中,本文提供一种用于从基板表面去除自然氧化物的方法,其包括:将表面上含有氧化物层的基板放置于工艺腔室内;调整该基板的第一温度到小于约100℃;在该工艺腔室内由气体混合物产生清洁等离子体。该气体混合物包含氨和三氟化氮且NH3/NF3摩尔比例为约10或更高,并且该清洁等离子体以约5瓦至约50瓦之间的RF功率来产生。该方法还包括:在等离子体清洁工艺期间,将该基板暴露于该清洁等离子体以形成薄膜,其中该薄膜包含部分由氧化硅层形成的六氟硅酸铵;在该工艺腔室内加热该基板到约100℃或更高的第二温度,同时从该基板去除该薄膜且在该基板上形成钝化表面;及在该基板的钝化表面上生长外延层。
本发明的实施例提供使NH3/NF3摩尔比例可以为约10、约15、约20或更高,同时该清洁等离子体以约5瓦至约50瓦之间(较佳为约15瓦至约30瓦)的RF功率来产生。该气体混合物是通过将氨和三氟化氮流到且结合到该工艺腔室内来形成的。氨的流速可以介于约20sccm至约300sccm之间,较佳为介于约40sccm至约200sccm之间,更佳为介于约60sccm至约150sccm之间,且更佳为介于约75sccm至约100sccm之间。三氟化氮的流速可以介于约1sccm至约60sccm之间,较佳为介于约2sccm至约50sccm之间,更佳为介于约3sccm至约25sccm之间,且更佳为介于约5sccm至约15sccm之间。
在随后暴露于该工艺腔室外面的外界条件时,该钝化表面限制了在该基板上额外的自然氧化物生长的进一步形成。例如,在外界环境中,后形成的自然氧化物层可以在约5小时至约25小时之间的时间内形成为具有约
Figure BPA00001161578600041
或更小的厚度。在另一实施例中,在外界环境中,后形成的自然氧化物层可以在约15小时至约30小时之间的时间内形成为具有约
Figure BPA00001161578600042
或更小的厚度。在另一实施例中,在去除自然氧化物层之后,可以在该基板的钝化表面上生长外延层。
附图说明
本发明的前述特征、详细说明可以通过参照实施例来详细地了解,其中一些实施例绘示在附图中。然而,值得注意的是附图仅示出本发明的典型实施例,并且因此不会限制本发明范围,本发明允许其他等效的实施例。
图1示出基板的部分透视图,其中该基板具有形成在其中的浅沟槽隔离区,如本文的实施例所述。
图2示出浅沟槽隔离区的部分截面图,如本文的实施例所述。
图3示出根据本发明实施例的工艺腔室的截面图。
图4A-4I示出根据本发明另一实施例的用于形成浅沟槽隔离区的工艺顺序的截面示意图。
图5A-5H示出用于形成以STI隔离的电子器件的工艺顺序的截面示意图,如本文的实施例所述。
图6显示在各种钝化基板表面上氧化物生长速率的图表,如本文的一些实施例所述。
具体实施方式
图1示出基板10的部分透视图,其中该基板10具有形成在其中的浅沟槽隔离区。图中显示的基板10仅部分地制造,并且具有形成在硅层1中的浅沟槽2。硅层1可以是含硅的底层或可以是实际的下层基板。浅沟槽2被填以氧化物,并用来隔离内建的电子器件(在此例中为晶体管)。源极3和漏极4可以通过注入离子到浅沟槽2内来形成在浅沟槽2中。多晶硅5设置在源极3与漏极4之间,而栅极氧化物层6设置在硅层1与多晶硅5之间。
图2示出沿着切线2-2的基板10的部分截面图。图2显示多晶硅5接触浅沟槽2之处。浅沟槽2是由热氧化物层7与沉积的氧化物层8来形成。预-多晶蚀刻/清洁步骤是通过使用HF的湿法蚀刻工艺来执行。因HF蚀刻热氧化物层7的速度比蚀刻沉积的氧化物层8更快,间隙9形成在浅沟槽2中。随后的多晶硅沉积使得多晶硅5填入间隙9且包覆源极3或漏极4,造成了寄生结(parasitic junctions)或漏电流。
图3示出根据本发明实施例的工艺腔室100的截面图。在此实施例中,工艺腔室100包括设置在腔室主体112的上端的盖组件200、以及至少部分设置在腔室主体112内的支撑组件300。工艺腔室也包括远程等离子体产生器140,远程等离子体产生器140具有U型截面的远程电极。较佳地,工艺腔室100与相关的部件是由一或多种与工艺相容的材料来形成,例如铝、阳极化铝、镀镍的铝、镀镍的铝6061-T6、不锈钢、以及前述组合和其合金。
支撑组件300部分地设置在腔室主体112中。支撑组件300由轴314来上升和下降,其中该轴314被折箱(bellow)333围绕。腔室主体112包括形成于其侧壁中的狭缝阀开口160,以提供进入工艺腔室100的内部的入口。选择性开启和关闭狭缝阀开口160,以便允许基板处理机器手臂(未示出)进出腔室主体112内部。在一实施例中,可透过狭缝阀开口160将基板传送进出工艺腔室100到邻近的传送腔室和/或负载锁定腔室(未示出),或群集工具内的其他腔室。示范的群集工具包括但不限于可从加州的圣克拉拉市的应用材料公司购得的PRODUCER@、CENTURA@、ENDURA@和ENDURA@SL平台。
腔室主体112还包括形成于其中的通道113,用于在其中流通传热流体。传热流体可以是加热流体或冷却剂,用于在工艺和基板传送期间控制腔室主体112的温度。腔室主体112的温度是重要的,以防止气体或副产物在腔室壁上的不期望凝结。示范性传热流体包括水、乙二醇或其混合物。示范性传热流体还可包括氮气。
腔室主体112进一步包括内衬133,内衬133围绕支撑组件300并且为了维护和清洗是可移除的。内衬133较佳由例如铝的金属或陶瓷材料所制成。然而,在工艺期间可以使用相容的其它材料。为了增加沉积于内衬133上的任何材料的附着,可喷砂处理内衬133,丛而避免导致工艺腔室100的污染的材料剥落。内衬133通常包括一或多个孔洞135和形成于其中的泵送通道129(其流体连通于真空系统)。孔洞135提供气体进入泵送通道129的流动路径,而泵送通道提供通过内衬133的流动路径,以便气体可以离开工艺腔室100。
真空系统可以包括真空泵125和节流阀127,以用于调节工艺腔室100内的气体流动。真空泵125连接到设置在腔室主体112上的真空口131,并且流体连通于形成在内衬133中的泵送通道129。为了调节工艺腔室100内的气体流动,可通过节流阀127选择性隔离真空泵125和腔室主体112。除非另外指出,可交替地使用术语“气体”和“多种气体”,且其指一或多种前驱物、反应物、催化剂、载体、净化剂(purge)、清洁剂、其组合、以及任何导入腔室主体112内的其他流体。
盖组件200包括堆叠在一起的多个部件。例如,盖组件200包括盖缘210、气体输送组件220和顶板250。盖缘210设计成支撑构成盖组件200的多个部件的重量,并耦接到腔室主体112的上表面,以提供对内部腔室部件的存取。气体输送组件220耦接到盖缘210的上表面,并布置成使其与盖缘的热接触达到最小。盖组件200的部件较佳由具有高热导率和低热阻的材料所制成,诸如具有高光滑度表面的铝合金。部件的热阻较佳小于约5×10-4m2K/W。
气体输送组件220可包括气体分布板225或喷头。通常用气体供应面板(未示出)向工艺腔室100提供一或多种气体。取决于将要在工艺腔室100内执行的工艺而使用特定的气体或数种气体。例如,典型的气体包括一或多种前驱物、还原剂、催化剂、载体、净化剂、清洁剂、或其混合物或组合。通常,使导入工艺腔室100的一或多种气体进入盖组件200并随后经由气体输送组件220进入腔室主体112。电子操作阀和/或流动控制机构(未示出)可用来控制从气体供应器到工艺腔室100内的气体流动。
一方面中,将气体从气体供应面板输送到工艺腔室100,其中气体路线分成两个独立的气体路线,该些独立的气体路线如上述般提供气体给腔室主体112。取决于工艺,可以用该方式来输送任何数目的气体,并可在工艺腔室100中或在将其传送到工艺腔室100之前将其混合。
仍然参照图3,盖组件200可以进一步包括电极240,用以在盖组件200内产生反应物种的等离子体。在此实施例中,电极240被支撑在顶板250上,并且与其电性隔离。隔离体填充环(未示出)设置在电极240的底部周围,使电极240与顶板250分离。环形隔离体(未示出)设置在隔离体填充环的上部周围并设置在顶板250的上表面上,如图3所示。接着将环形隔离体(未示出)设置在电极240的上部附近,以便让电极240与盖组件200的其他部件电性隔离。这些环、隔离体填充环和环形隔离体的每个可以由氧化铝或任何其他与工艺相容的电绝缘材料制成。
电极240耦接到功率源340,同时气体输送组件220接地。因此,一或多种工艺气体的等离子体可在电极240和气体输送组件220之间形成的空间内引燃。等离子体也可容纳于区隔板形成的空间中。在缺少区隔板组件的情况下,等离子体被引燃并被容纳于电极240和气体输送组件220之间。在任一实施例中,等离子体可良好地被限制或被容纳于盖组件200内。
可使用能够将气体活化成反应物种并保持反应物种的等离子体的任何功率源。例如,可使用射频(RF)、直流电流(DC)、交流电流(AC)或微波(MW)基功率放电技术。还可由热基技术、气体击穿技术、高强度光源(例如UV能量)、或暴露于x-射线源来产生活化。替代地,可使用例如远程等离子体产生器的远程活化源,来产生随后将传送到工艺腔室100中的反应物种的等离子体。示范性远程等离子体产生器可由诸如MKS Instruments,Inc.和Advanced EnergyIndustries,Inc.的供应商提供。较佳地,RF功率供应耦接至电极240。
取决于工艺气体和将要在工艺腔室100内执行的操作,可加热气体输送组件220。在一实施例中,例如电阻式加热器的加热构件270耦接至气体输送组件220。在一实施例中,加热构件270是管状构件,并且嵌入气体输送组件220的上表面。气体输送组件220的上表面包括具有略小于加热构件270的外径的宽度的沟槽或凹陷通道,以便利用过盈配合(interference fit)将加热构件270固持在沟槽中。
由于输送组件220(包括气体输送组件220和区隔元件230)的每个部件是彼此传导耦接的,因此加热构件270可调节气体输送组件220的温度。可以在2005年2月22日申请的美国专利申请案号11/063,645而公开为US2005-0230350中获得工艺腔室的额外细节,这里将其作为参考文献。
对于执行需要加热和冷却基板表面而不破坏真空的等离子体辅助干法蚀刻工艺而言,工艺腔室100是特别有用的。在一实施例中,工艺腔室100可用来选择性去除基板上的一或多种氧化物。
在一实例中,使用氨(NH3)和三氟化氮(NF3)的气体混合物来去除一或多种硅氧化物的干法蚀刻工艺可以执行于工艺腔室100内。相信除了均在单一工艺环境内的基板加热和冷却以外,对于可从等离子体工艺受益的任何干法蚀刻工艺(包括退火工艺)而言,工艺腔室100是有利的。
参照图3,干法蚀刻工艺开始于将基板110放入工艺腔室100中。基板通常通过狭缝阀开口160被放入腔室主体112中,并设置在支撑构件310的上表面上。可将基板110夹持到支撑构件310的上表面。较佳地,可通过抽取真空将基板110夹持到支撑构件310的上表面。接着,如果支撑构件310还没有处于工艺位置,则将支撑构件310上升至腔室主体112内的工艺位置。较佳地将腔室主体112加热至约50℃至约80℃之间的温度,例如约65℃。通过使传热介质流过通道113而保持腔室主体112的温度。
通过将传热介质或冷却剂流过形成于支撑组件300内的通道,基板110被冷却至约65℃以下,例如约15℃至约50℃之间。在一实施例中,将基板保持在室温或室温以下。在另一实施例中,将基板加热到约22℃至约40℃之间的温度下。通常,为了达到期望的基板温度,将支撑构件310保持在约22℃以下。为了冷却支撑构件310,使冷却剂流过形成于支撑组件300内的流体通道。为了更好地控制支撑构件310的温度,较佳地使用连续流动的冷却剂。在一实例中,冷却剂含有约50体积百分比(vol%)的乙二醇和50体积百分比(vol%)的水。只要能将基板保持在期望的温度,可以使用其他比例的水和乙二醇。
为了选择性去除基板110表面上的各种氧化物,将蚀刻气体混合物导入工艺室100。在一实施例中,接着将氨和三氟化氮气体导入工艺腔室100内以形成蚀刻气体混合物。导入腔室内的各气体的量是可变的并且可进行调整,以便相配于例如将要去除的氧化物层的厚度、被清洗的基板的几何形态、等离子体的体积容量、腔室主体112的体积容量、以及耦接至腔室主体112的真空系统的能力。
可预先决定蚀刻气体混合物的比例,以选择性去除基板表面上的多种氧化物。在一实施例中,可调整蚀刻气体混合物中的多种气体的比例,以去除诸如热氧化物、沉积氧化物、和/或自然氧化物的多种氧化物。在一实施例中,可设定蚀刻气体混合物中的氨与三氟化氮的摩尔比例(在本文中称为NH3/NF3摩尔比例)以去除自然氧化物。在一实施例中,添加气体以提供氨与三氟化氮的摩尔比例为至少1∶1的气体混合物。在另一实施例中,蚀刻气体混合物的NH3/NF3摩尔比例至少为约10,较佳为约15或更大,且更佳为约20或更大(例如约30)。
NH3/NF3摩尔比例正比于氨与三氟化氮的气体流速比例。在一实施例中,氨流入工艺腔室内的流速可以介于约20sccm至约300sccm之间,较佳为介于约40sccm至约200sccm之间,更佳为介于约60sccm至约150sccm之间,且更佳为介于约75sccm至约100sccm之间。三氟化氮流入工艺腔室内的流速可以介于约1sccm至约60sccm之间,较佳为介于约2sccm至约50sccm之间,更佳为介于约3sccm至约25sccm之间,且更佳为介于约5sccm至约15sccm之间。
也可将净化气体或载气加入蚀刻气体混合物中。可以使用任何合适的净化气体/载气,例如氩、氦、氢、氮、或其混合物。通常,整个蚀刻气体混合物的氨和三氟化氮为介于约0.05%至约20%体积百分比,其余为载气。载气流入工艺腔室内的流速可以介于约200sccm至约5000sccm之间,较佳为介于约500sccm至约4000sccm之间,更佳为介于约1000sccm至约3000sccm之间。在一实施例中,为了稳定腔室主体112内的压力,在反应气体前先将净化气体或载气导入腔室主体112中。腔室主体112内的操作压力是可变的。通常,腔室主体112的内部压力可以介于约500mTorr至约30Torr之间,较佳为约1Torr至约10Torr之间,且更佳为介于约3Torr至约6Torr之间。
为了在容纳于气体输送组件220中的空间261、262和263内引燃气体混合物的等离子体,可对电极240施加RF功率。RF功率可以介于约5瓦至约600瓦之间,较佳为小于约100瓦(例如约60瓦或更小),较佳为约50瓦或更小,且更佳为约40瓦或更小。在一实施例中,可在工艺期间使用较低的RF功率来点燃气体混合物并形成清洁等离子体。RF功率可以介于约5瓦至约50瓦之间,较佳为介于约15瓦至约30瓦之间。在一实例中,用约30瓦或更小的RF功率来产生等离子体。在另一实例中,用约15瓦或更小的RF功率来产生等离子体。通常,施加功率的频率是非常低的,例如小于100kHz。较佳地,频率可以介于约50kHz至约90kHz之间。
等离子体能量将氨和三氟化氮分解为反应物种,该些反应物种会结合而形成诸如氟化铵(NH4F)和/或氟化氢铵(NH4F·HF2)的反应气体。此气体混合物经由气体分布板225的孔洞225A流过气体输送组件220,以便与含有氧化物层(例如自然氧化硅层)的基板表面反应。在一实施例中,首先将载气导入工艺腔室100中,产生载气的等离子体,并且随后将反应气体、氨和三氟化氮加到等离子体中。
不希望受理论限制,相信蚀刻气体、氟化铵和/或氟化氢铵与氧化硅表面反应,以形成六氟硅酸铵((NH4)2SiF6)、氨和水。可由真空泵125将气体的氨和水从工艺腔室100中去除。特别地,在气体经由真空口131离开工艺腔室100进入真空泵125之前,挥发性气体流过形成于内衬133中的孔洞135而进入泵送通道129。含六氟硅酸铵的薄膜形成在基板表面上。可以如下概述该反应机制:
NF3+XSNH3→NH4F+NH4F·HF2+N2
2NH4F+2NH4F·HF2+SiO2→(NH4)2SiF6+2H2O+2NH3
(NH4)2SiF6+热量→2NH3+2HF+SiF4
在基板表面上形成薄膜之后,可将支撑构件310上升到紧靠加热的气体分布板225的退火位置。从气体分布板225辐射的热量可将六氟硅酸铵薄膜分解或升华为诸如四氟化硅、氨和氟化氢的挥发性化合物。如上所述,接着可透过真空泵125将这些挥发性产物从工艺腔室100中去除。通常,在约75℃或更大的温度下,较佳为约100℃或更大(例如介于约115℃至约200℃之间),将薄膜从基板分解且去除。
将六氟硅酸铵薄膜分解成挥发性成分的热能是由气体分布板225来对流或辐射。如上所述,将加热构件270直接耦接到分布板225,并且使加热构件270工作,以便将分布板225及与其热接触的部件加热至介于约75℃至约300℃之间的温度,较佳为介于约100℃至约200℃,更佳为介于约110℃至约150℃(例如约120℃)。
可以多种方式实现该上升变化。例如,升降机构330可以朝向分布板225的下表面上升支撑构件310。在此上升步骤期间,将基板110固定至支撑构件310,例如由如上所述的真空夹持或静电夹持。替代地,可以由穿过升降环320来上升升降梢325,将基板110自支撑构件310上升并且置于紧靠加热的分布板225之处。
其上具有薄膜的基板110的上表面与分布板225之间的距离不是决定性的,而是例行实验的结果。任何本领域技术人员可以轻易地决定可快速且有效地蒸发薄膜且不损伤下方基板所需的间隔。然而,相信约0.254mm(10mils)和5.08mm(200mils)之间的间隔是有效的。
一旦已经将薄膜从基板上去除,便净化(purge)并排空(evacuate)工艺腔室100。随后通过将基板支撑件300下降到传送位置、松持基板、以及经由狭缝阀开口160传送基板,而将处理的基板移出腔室主体112。
本发明的一实施例可用来在浅沟槽隔离区的制造期间均匀地去除多种氧化物。STI是用于亚-0.25微米工艺的器件隔离技术的主要形式。STI制造通常包括沟槽掩模和蚀刻、侧壁氧化、沟槽填充和平坦化。图4A-4I是根据本发明一实施例的用于形成浅沟槽隔离区的工艺顺序的截面示意图。
图4A示出形成氧化物势垒层402和沉积氮化物层403之后的半导体基板401。基板401可以是具有<100>晶相且直径为150mm(6英寸)、200mm(8英寸)或300mm(12英寸)的硅基板。可在高温氧化炉中在基板401上生长氧化物势垒层402。氧化物势垒层402的厚度可以为约
Figure BPA00001161578600111
在后续的氮化物剥离步骤期间,氧化物势垒层402可保护基板401免受污染。可在高温低压化学汽相沉积(LPCVD)炉中形成氮化物层403。氮化物层403一般是由氨和二氯硅烷气体反应所形成的氮化硅(例如Si3N4)的薄膜。氮化物层403是耐用的掩模材料,其在氧化物沉积期间保护基板401并且在后续化学机械研磨(CMP)期间作为研磨终止材料。
图4B示出在氮化物层403上形成、曝光和显影的光刻胶层404。可在光刻胶层404上形成沟槽图案。后续的氮化物蚀刻和氧化物蚀刻步骤可在氮化物层403和势垒层402中形成沟槽图案405,其暴露基板401中指定为隔离区域的位置。
图4C示出使用例如干法等离子体蚀刻的蚀刻工艺在基板401内形成浅沟槽406。浅沟槽406稍后将以介电质材料来填充且其将作为建构在基板401上的电子器件(例如,基板上金属场效应晶体管(MOSFET))间的隔离材料。
图4D示出在浅沟槽406内部形成的内衬氧化物层407。通常在高温氧化炉中生长内衬氧化物层407。内衬氧化物层407的目的是为了改善基板401与将要填充的沟槽氧化物之间的界面。
图4E示出在浅沟槽406内的内衬氧化物层407上形成的氮化物内衬408。可通过等离子体增强化学汽相沉积(PECVD)工艺由载气(诸如氮或氩)中的硅烷和氨形成氮化物内衬408。氮化物内衬408的目的是为了在浅沟槽406中产生应力并避免由受应力的氧化物引起的机械失效。
图4F示出填充在浅沟槽406和沟槽图案405内的沟槽氧化物409。通常通过CVD工艺以相当高的沉积速率来形成沟槽氧化物409。过度填充(overfill)沟槽氧化物409,以致沟槽氧化物409高于基板401的顶表面。
为了获得如图4G所示的平坦表面,可应用CMP工艺。CMP工艺可从沟槽氧化物409去除过量的氧化物。
为了去除氮化物层403及暴露多种氧化物、势垒层402的热氧化物、沟槽氧化物409的沉积氧化物、内衬氧化物层407的热氧化物、和氮化物内衬408的氮化氧化物,可执行氮化物剥离步骤,如图4H所示。
通常,将执行氧化物蚀刻步骤,以便使浅沟槽结构可用于后续工艺步骤,例如各种阱区离子注入。图4I示出在干法蚀刻工艺之后的STI。本发明的干法蚀刻工艺可用于蚀刻图4H中暴露的多种氧化物,以便在浅沟槽409上获得大致上平坦的顶表面并避免不期望的结或漏电流。在一实施例中,可在与工艺腔室100相似的工艺腔室内执行干法蚀刻工艺。可将基板400放置在工艺腔室内并将其加热到约100℃或更小的温度,较佳为约80℃或更小,且更佳为约60℃或更小,例如介于约20℃至约60℃之间,较佳为介于约25℃至约50℃之间,且更佳为介于约30℃至约40℃之间(例如约35℃)。
为了去除基板400表面上的多种氧化物,将蚀刻气体混合物导入工艺腔室100。在一实施例中,将包含氨和三氟化氮气体的蚀刻气体混合物导入工艺腔室中。为了相配于例如将要去除的氧化物层的厚度、基板400的几何形态、等离子体的体积容量、腔室的体积容量、真空系统的能力、以及基板400上不同氧化物的性质,可调整氨和三氟化氮的量和比例。还可将净化气体或载气加到蚀刻气体混合物中。接着引燃蚀刻气体混合物的等离子体。等离子体与氧化物反应而在基板400上留下含六氟硅酸铵的薄膜层。
然后,为了将薄膜升华,将基板400加热到约100℃或更大的温度,例如介于约100℃至约200℃之间,较佳为介于约100℃至约150℃之间,且更佳为介于约110℃至约125℃之间。接着可净化和排空工艺腔室,并且基板100做好进行后续步骤准备。在一实施例中,基板在蚀刻工艺期间介于约20℃至约80℃之间的第一温度,并且接着在升华工艺期间基板被加热到介于约100℃至约150℃之间的第二温度。在另一实例中,基板在蚀刻工艺期间系介于约22℃至约40℃之间的第一温度,并且接着在升华工艺期间基板被加热到介于约110℃至约125℃之间的第二温度。
此处描述的蚀刻工艺可用于制造期间的各种蚀刻步骤中,特别是用于去除一或多种氧化物的步骤中。例如,可用此处描述的蚀刻工艺进行注入和沉积之前的多种回蚀。在一实施例中,可在用来形成含硅材料的外延生长/沉积工艺、多晶硅沉积工艺、或硅化工艺之前使用此处描述的蚀刻工艺。
图5A-5H示出用于形成例如MOSFET结构500的电子器件的工艺顺序的截面示意图,包括此处描述的干法蚀刻工艺和工艺腔室100。可在例如硅或砷化锗基板525的半导体材料上形成MOSFET结构500。基板525较佳是具有<100>晶相且直径为150mm(6英寸)、200mm(8英寸)、或300mm(12英寸)的硅基板。通常,MOSFET结构500包括以下的组合:(i)介电质层,诸如二氧化硅、有机硅酸盐、碳掺杂的氧化硅、磷硅酸盐玻璃(PSG)、硼磷硅酸盐玻璃(BPSG)、氮化硅、或其组合;(ii)半导体层,诸如掺杂的多晶硅、n-型或p-型掺杂的单晶硅;以及(iii)由金属层或金属硅化物层(诸如钨、硅化钨、钛、硅化钛、硅化钴、硅化镍、或其组合)形成的电接触和互连线。
参照图5A,有源电子器件的制造开始于形成可使有源电子器件与其他器件电性隔离的电隔离区结构。存在几种类型的电隔离区结构,诸如场氧化物势垒、或浅沟槽隔离区。在此情况中,浅沟槽隔离区545A和545B围绕其中形成并制备器件的电子有源元件的暴露区域。STI可包括如图4A-4I所述的两种或多种氧化物。为了形成厚度约50到300埃的薄栅极氧化物层550,而热氧化暴露区域。接着沉积、图案化并蚀刻多晶硅层,以便形成栅极电极555。为了形成绝缘介电质层560,可以再氧化多晶硅栅极电极555的表面,以提供图5A所示结构。
图5B示出源极570A和漏极570B,其通过以适当的掺杂原子来掺杂合适区域来形成。例如,对于p-型基板525,使用包含砷或磷的n-型掺杂物。通常,掺杂通过离子注入机加以执行,并且可包括例如浓度为约1013原子/cm2且能量约30到80keV的磷、或剂量约1×1015到1×1017原子/cm2且能量约10到100keV的砷。在注入工艺之后,通过加热基板(例如在快速热工艺(RTP)设备中)促使掺杂物进入基板525。此后,通过如上所述的干法蚀刻工艺剥离覆盖源极570A和漏极570B区域的薄栅极氧化物层550,以便去除由注入工艺导致薄栅极氧化物层550中捕获的任何杂质。也可蚀刻浅沟槽隔离区545A和545B中的两种或多种氧化物。为了相配于不同氧化物所需的各种蚀刻速率,可调整蚀刻气体混合物。
参照图5C和5D,通过低压化学汽相沉积(LPCVD)使用硅烷(SiH4)、氯(Cl2)和氨(NH3)的气体混合物在栅极电极555上和基板525表面上沉积氮化硅层575。如图5D所示,为了在栅极电极555的侧壁上形成氮化物间隙壁580,随后使用反应离子蚀刻(RIE)技术来蚀刻氮化硅层575。间隙壁580将栅极电极555顶表面上形成的硅化物层与源极570A和漏极570B上沉积的其他硅化物层电性隔离。应该注意的是,可以由例如氧化硅的其他材料来制造电性隔离侧壁间隙壁580。通常通过CVD或PECVD用四乙氧硅烷(TEOS)的原料气在约600℃到约1000℃的温度下沉积用于形成侧壁间隙壁580的氧化硅层。虽然图中示出的是在注入和RTP活化之后形成间隙壁580,但是可在源极/漏极注入和RTP活化之前形成间隙壁580。
参照图5E,通常通过在工艺之前和之后将硅暴露于大气中,而在暴露的硅表面上形成自然氧化硅层585。为了改进所形成的金属硅化物的合金化反应和电导率,必须在形成栅极电极555、源极570A和漏极570B上的导电金属硅化物接触前去除自然氧化硅层585。自然氧化硅层585可增加半导体材料的电阻,且不良地影响接下来沉积的硅和金属层的硅化反应。因此,必须在形成用于将有源电子器件互连的金属硅化物接触或导体前使用所述的干法蚀刻工艺去除该自然氧化硅层585。上述的干法蚀刻工艺可用于去除自然氧化硅层585,以便暴露源极570A、漏极570B和栅极电极555的顶表面,如图5F所示。浅沟槽隔离区545A和545B中的氧化物同样暴露于干法蚀刻工艺。为了在不同表面获得均匀的去除速率,可对干法蚀刻工艺进行适当调整,例如反应气体比例。
此后,如图5G所示,为了沉积金属层590,使用物理汽相沉积(PVD)或溅射工艺。随后,为了在金属层590与硅接触的区域中形成金属硅化物,使用传统炉内退火来退火金属和硅层。通常在独立的处理系统中执行退火。因此,可在金属590上沉积保护覆盖层(未示出)。覆盖层通常是氮化物材料,且可以包括由氮化钛、氮化钨、氮化钽、氮化铪、氮化硅、其衍生物、其合金、或其组合所构成的群组中选择的一或多种材料。覆盖层可由任何沉积工艺加以沉积,较佳由PVD工艺。
退火通常包括在氮气环境中将MOSFET结构500加热至介于600℃与800℃之间的温度持续约30分钟。替代地,可使用将MOSFET结构500快速加热到约1000℃持续约30秒的快速热退火工艺来形成金属硅化物595。合适的导电金属包括钴、钛、镍、钨、铂、和具有低接触电阻且可以在多晶硅和单晶硅上形成可靠金属硅化物接触的任何其他金属。
可以通过使用不侵蚀金属硅化物595、间隙壁580或场氧化物545A和545B而去除金属的王水(HCl和HNO3)的湿法蚀刻来去除金属层590的未反应部分,由此在栅极电极555、源极570A和漏极570B上留下自对准(self-aligned)金属硅化物595,如图5H所示。此后,可以在电极结构上沉积包括例如氧化硅、BPSG或PSG的绝缘罩层。可以通过在CVD室中的化学汽相沉积来沉积该绝缘罩层,其中来自原料气的材料在低压或常压下凝结,例如如共同受让的美国专利US 5,500249所描述的,这里将其作为参考文献。然后,为了形成光滑的平坦表面,在玻璃化转变温度下退火MOSFET结构500。
虽然已经描述了有关MOSFET器件的形成的上述工艺顺序,此处描述的干法蚀刻工艺也可用于形成需要去除各种氧化物的其他半导体结构和器件。还可以在沉积包括例如铝、铜、钴、镍、硅、钛、钯、铪、硼、钨、钽、其合金、或其组合的不同金属层的沉积之前使用该干法蚀刻工艺。
在一实施例中,如实施例中描述的干法蚀刻工艺可以与液相腐蚀工艺相结合。例如,对于具有至少两种氧化物的氧化物结构,干法蚀刻工艺可以用于选择性去除第一氧化物,其相对于第二氧化物完全地或部分地减少第一氧化物特征。液相HF腐蚀工艺可接着用于去除第二氧化物。
为了提供前述描述的更好理解,给出下列非限制性实例。虽然此实例可能涉及特定实施例,但不能认为实例在任何特定方面限制了本发明。
实例:
将基板暴露于各种蚀刻工艺,以去除自然氧化物层且在基板上形成钝化表面。接着将基板在一时序期间暴露于外界条件,并且在钝化表面上形成次自然氧化物层。在将基板暴露于外界条件的同时,依时序来监测次自然氧化物层的厚度,如图6所绘示。该各种蚀刻工艺包括实验A-E,如下表所概述。
基板NH3流速(sccm)NF3流速(sccm)NH3/NF3摩尔比例等离子体功率(W)
  基板   NH3流速(sccm)   N F3流速(sccm)   NH3/N F3摩尔比例   等离子体功率(W)
  A   -   -   -   -
  B   70   14   5   30
  C   100   50   2   30
  D   100   5   20   30
  E   100   5   20   15
在实验A中,基板A暴露于HF湿法清洁溶液与工艺。在实验B与C中,基板B与C分别暴露于NH3/NF3摩尔比例为约5和约2的蚀刻气体混合物,并且皆暴露于以约30瓦的RF功率来引燃的等离子体。在实验D与E中,基板D与E皆暴露于NH3/NF3摩尔比例为约20的蚀刻气体混合物,但分别暴露于以约30瓦和15瓦的不同RF功率来引燃的等离子体。
对于实验B-E,还将氩以约3500sccm流速随着氨和三氟化氮导入工艺腔室。工艺腔室的内部压力为约3Torr,并且基板温度为约35℃。为了形成含六氟硅酸铵的膜,将基板蚀刻长达120秒。
在随后的退火工艺期间,基板表面与喷头间的间隔为约750mils。在腔室内以约1500sccm流速的氩进行载座净化,以约500sccm流速的氩进行边缘净化。为了通过升华与/或分解将膜去除同时将基板表面予以钝化,将盖加热到约120℃的温度且将基板退火长达约60秒。约
Figure BPA00001161578600161
的含自然氧化硅的材料从各基板表面去除。
一旦实验A-E完成了蚀刻工艺,将基板A-E退出工艺腔室且将其放置在外界环境中,从而使基板在室温(约22℃)下暴露于空气中的氧和水。在约5小时的等待时间后,基板A、B和C各包含有大于约
Figure BPA00001161578600162
的氧化物层,而基板D和E各包含有小于约
Figure BPA00001161578600163
的氧化物层。在约10小时的等待时间后,基板A、B和C各包含有大于约
Figure BPA00001161578600164
的氧化物层,而基板D和E各包含有小于约
Figure BPA00001161578600165
的氧化物层。在约15小时、20小时和25小时的等待时间后,基板A、B和C各包含有大于约
Figure BPA00001161578600171
的氧化物层,而基板D和E各包含有小于约
Figure BPA00001161578600172
的氧化物层。此外,在约30小时的等待时间后,基板A、B和C各包含有约
Figure BPA00001161578600173
或更大的氧化物层,而基板D和E各包含有小于约
Figure BPA00001161578600174
的氧化物层。
在实验D与E期间形成的钝化表面会于基板暴露于工艺腔室外面的外界条件时在约5小时至约25小时之间的时间内将在基板上进一步形成的另一自然氧化物的厚度限制到约或更小。此外,在实验D与E期间形成的钝化表面会于基板暴露于工艺腔室外面的外界条件时在约15小时至约30小时之间的时间内将在基板上进一步形成的另一自然氧化物的厚度限制到约或更小(较佳为约
Figure BPA00001161578600177
或更小,且更佳为约
Figure BPA00001161578600178
或更小)。
除非另外指出,否则在说明书和权利要求书中用来表示性质的量、反应条件等的所有数字应该理解为近似值。这些近似值是基于本发明欲获得的期望性质和测量误差,并且至少应该考虑记录的有效数字位数并应用一般的四舍五入技术来解读。另外,可以进一步最优化本文表示的任何数量,包括温度、压力、间隔、摩尔比例、流速等,以便获得期望的蚀刻选择性和性能。
虽然前述说明涉及本发明的实施例,但在不偏离其基本范围条件下可以设计出本发明的其他和额外实施例,因此其范围由权利要求所确定。

Claims (15)

1.一种用于从基板表面去除自然氧化物的方法,包含以下步骤:
将包含氧化物层的基板放置于工艺腔室内;
调整该基板的第一温度到约80℃或更小;
在该工艺腔室内由气体混合物产生清洁等离子体,其中该气体混合物包含氨和三氟化氮且NH3/NF3摩尔比例为约10或更高;
在等离子体清洁工艺期间,使该清洁等离子体凝结到该基板上且形成薄膜,其中该薄膜包含部分由该氧化物层形成的六氟硅酸铵;及
在该工艺腔室内加热该基板到约100℃或更高的第二温度,同时从该基板去除该薄膜且在该基板上形成钝化表面。
2.如权利要求1所述的方法,其中该NH3/NF3摩尔比例为约20或更高。
3.如权利要求2所述的方法,其中该清洁等离子体以约5瓦至约50瓦之间的RF功率来产生。
4.如权利要求3所述的方法,其中该RF功率介于约15瓦至约30瓦之间。
5.如权利要求1所述的方法,其中该气体混合物是通过结合流速为约40sccm至约200sccm的氨与流速为约2sccm至约50sccm的三氟化氮来形成的。
6.如权利要求5所述的方法,其中氨的流速介于约75sccm至约100sccm之间,三氟化氮的流速介于约5sccm至约15sccm之间。
7.如权利要求1所述的方法,其中该第一温度介于约20℃至约80℃之间,该第二温度介于约100℃至约200℃之间。
8.如权利要求7所述的方法,其中该第一温度介于约22℃至约40℃之间,该第二温度介于约110℃至约150℃之间。
9.如权利要求1所述的方法,还包含在该基板的钝化表面上生长外延层的步骤。
10.一种用于从基板表面去除自然氧化物的方法,包含以下步骤:
将包含氧化物层的基板放置于工艺腔室内;
调整该基板的第一温度到小于约100℃;
在该工艺腔室内由气体混合物产生清洁等离子体,其中该气体混合物包含氨和三氟化氮且NH3/NF3摩尔比例为约20或更高,并且该清洁等离子体以约5瓦至约50瓦之间的RF功率来产生;
在等离子体清洁工艺期间,将该基板暴露于该清洁等离子体以形成薄膜,其中该薄膜包含部分由该氧化物层形成的六氟硅酸铵;及
在该工艺腔室内加热该基板到约100℃或更高的第二温度,同时从该基板去除该薄膜且在该基板上形成钝化表面。
11.如权利要求10所述的方法,其中该RF功率介于约15瓦至约30瓦之间。
12.如权利要求10所述的方法,其中该气体混合物是通过结合流速为约1sccm至约10sccm的氨与流速为约50sccm至约200sccm的三氟化氮来形成的。
13.如权利要求10所述的方法,其中该第一温度介于约20℃至约80℃之间,该第二温度介于约100℃至约200℃之间。
14.如权利要求10所述的方法,其中于该基板暴露于该工艺腔室外面的外界条件时,该钝化表面在约5小时至约25小时之间的时间内将在该基板上进一步形成的另一自然氧化物层的厚度限制到约或更小。
15.一种用于从基板表面去除自然氧化物的方法,包含以下步骤:
将包含氧化物层的基板放置于工艺腔室内;
调整该基板的第一温度到小于约100℃;
在该工艺腔室内由气体混合物产生清洁等离子体,其中该气体混合物包含氨和三氟化氮且NH3/NF3摩尔比例为约10或更高,并且该清洁等离子体以约5瓦至约50瓦之间的RF功率来产生;
在等离子体清洁工艺期间,将该基板暴露于该清洁等离子体以形成薄膜,其中该薄膜包含部分由该氧化物层形成的六氟硅酸铵;
在该工艺腔室内加热该基板到约100℃或更高的第二温度,同时从该基板去除该薄膜且在该基板上形成钝化表面;及
在该基板的钝化表面上生长外延层。
CN2008801219362A 2007-12-21 2008-12-18 利用等离子体清洁处理形成钝化层以降低自然氧化物生长的方法 Active CN101903984B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US11/962,791 2007-12-21
US11/962,791 US7780793B2 (en) 2004-02-26 2007-12-21 Passivation layer formation by plasma clean process to reduce native oxide growth
PCT/US2008/087436 WO2009085958A2 (en) 2007-12-21 2008-12-18 Passivation layer formation by plasma clean process to reduce native oxide growth

Publications (2)

Publication Number Publication Date
CN101903984A true CN101903984A (zh) 2010-12-01
CN101903984B CN101903984B (zh) 2012-10-03

Family

ID=40825013

Family Applications (1)

Application Number Title Priority Date Filing Date
CN2008801219362A Active CN101903984B (zh) 2007-12-21 2008-12-18 利用等离子体清洁处理形成钝化层以降低自然氧化物生长的方法

Country Status (6)

Country Link
US (1) US7780793B2 (zh)
JP (1) JP2011508433A (zh)
KR (1) KR20100114503A (zh)
CN (1) CN101903984B (zh)
TW (1) TWI438839B (zh)
WO (1) WO2009085958A2 (zh)

Cited By (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN103752562A (zh) * 2014-02-13 2014-04-30 苏州众显电子科技有限公司 一种利用等离子清洗机洗液晶显示屏基板工艺
CN104733283A (zh) * 2013-12-23 2015-06-24 中芯国际集成电路制造(上海)有限公司 一种半导体器件表面预清洁方法
CN105702724A (zh) * 2014-11-27 2016-06-22 中芯国际集成电路制造(上海)有限公司 半导体器件及其形成方法
CN105719950A (zh) * 2014-12-19 2016-06-29 朗姆研究公司 硅蚀刻与清洁
CN106133883A (zh) * 2014-03-14 2016-11-16 应用材料公司 使用气体分配板热的温度跃升
CN107611007A (zh) * 2017-08-24 2018-01-19 长江存储科技有限责任公司 一种深沟槽的预清洗方法及3d nand制备工艺
CN109844904A (zh) * 2016-08-05 2019-06-04 应用材料公司 通过等离子体处理的氟化铝减少
CN110050324A (zh) * 2017-01-03 2019-07-23 韩国标准科学研究院 等离子体产生装置
CN110060944A (zh) * 2019-04-03 2019-07-26 长电科技(宿迁)有限公司 一种具有等离子清洗功能的包封预热台
RU193772U1 (ru) * 2019-09-17 2019-11-14 Сергей Иванович Алексеев Стол нейтральный для кофемашин
CN110945626A (zh) * 2017-05-26 2020-03-31 应用材料公司 金属硅化物的选择性沉积
CN111129145A (zh) * 2018-10-31 2020-05-08 台湾积体电路制造股份有限公司 FinFET器件及其形成方法
US10883171B2 (en) * 2016-09-09 2021-01-05 Aixtron Se CVD reactor and method for cleaning a CVD reactor
CN113348532A (zh) * 2018-11-13 2021-09-03 应用材料公司 金属硅化物的选择性沉积和选择性氧化物移除
CN115662924A (zh) * 2022-12-12 2023-01-31 广州湾区半导体产业集团有限公司 半导体基板的洁净控制系统及方法、洁净设备

Families Citing this family (191)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20090004850A1 (en) 2001-07-25 2009-01-01 Seshadri Ganguli Process for forming cobalt and cobalt silicide materials in tungsten contact applications
US20050230350A1 (en) 2004-02-26 2005-10-20 Applied Materials, Inc. In-situ dry clean chamber for front end of line fabrication
US7780793B2 (en) 2004-02-26 2010-08-24 Applied Materials, Inc. Passivation layer formation by plasma clean process to reduce native oxide growth
US20070123051A1 (en) * 2004-02-26 2007-05-31 Reza Arghavani Oxide etch with nh4-nf3 chemistry
US7494545B2 (en) * 2006-02-03 2009-02-24 Applied Materials, Inc. Epitaxial deposition process and apparatus
US7786016B2 (en) * 2007-01-11 2010-08-31 Micron Technology, Inc. Methods of uniformly removing silicon oxide and a method of removing a sacrificial oxide
US7867900B2 (en) * 2007-09-28 2011-01-11 Applied Materials, Inc. Aluminum contact integration on cobalt silicide junction
KR101431197B1 (ko) * 2008-01-24 2014-09-17 삼성전자주식회사 원자층 증착설비 및 그의 원자층 증착방법
US8252194B2 (en) * 2008-05-02 2012-08-28 Micron Technology, Inc. Methods of removing silicon oxide
US7968441B2 (en) * 2008-10-08 2011-06-28 Applied Materials, Inc. Dopant activation anneal to achieve less dopant diffusion (better USJ profile) and higher activation percentage
US20100099263A1 (en) * 2008-10-20 2010-04-22 Applied Materials, Inc. Nf3/h2 remote plasma process with high etch selectivity of psg/bpsg over thermal oxide and low density surface defects
US7994002B2 (en) 2008-11-24 2011-08-09 Applied Materials, Inc. Method and apparatus for trench and via profile modification
US7749917B1 (en) * 2008-12-31 2010-07-06 Applied Materials, Inc. Dry cleaning of silicon surface for solar cell applications
JP4968861B2 (ja) * 2009-03-19 2012-07-04 東京エレクトロン株式会社 基板のエッチング方法及びシステム
US8501629B2 (en) * 2009-12-23 2013-08-06 Applied Materials, Inc. Smooth SiConi etch for silicon-containing films
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
WO2012008179A1 (ja) * 2010-07-12 2012-01-19 住友精密工業株式会社 エッチング方法
US8492899B2 (en) 2010-10-14 2013-07-23 International Business Machines Corporation Method to electrodeposit nickel on silicon for forming controllable nickel silicide
US8741778B2 (en) * 2010-12-14 2014-06-03 Applied Materials, Inc. Uniform dry etch in two stages
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8771539B2 (en) 2011-02-22 2014-07-08 Applied Materials, Inc. Remotely-excited fluorine and water vapor etch
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US8912096B2 (en) * 2011-04-28 2014-12-16 Applied Materials, Inc. Methods for precleaning a substrate prior to metal silicide fabrication process
US8771536B2 (en) 2011-08-01 2014-07-08 Applied Materials, Inc. Dry-etch for silicon-and-carbon-containing films
US8679982B2 (en) 2011-08-26 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and oxygen
US8679983B2 (en) 2011-09-01 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and nitrogen
US8927390B2 (en) 2011-09-26 2015-01-06 Applied Materials, Inc. Intrench profile
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
WO2013070436A1 (en) 2011-11-08 2013-05-16 Applied Materials, Inc. Methods of reducing substrate dislocation during gapfill processing
JP5840505B2 (ja) * 2012-01-12 2016-01-06 株式会社東芝 半導体装置の製造方法
US8455352B1 (en) * 2012-05-24 2013-06-04 Applied Materials, Inc. Method for removing native oxide and associated residue from a substrate
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US8765574B2 (en) 2012-11-09 2014-07-01 Applied Materials, Inc. Dry etch process
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US9064816B2 (en) 2012-11-30 2015-06-23 Applied Materials, Inc. Dry-etch for selective oxidation removal
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US9224644B2 (en) 2012-12-26 2015-12-29 Intermolecular, Inc. Method to control depth profiles of dopants using a remote plasma source
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US8801952B1 (en) 2013-03-07 2014-08-12 Applied Materials, Inc. Conformal oxide dry etch
US10170282B2 (en) 2013-03-08 2019-01-01 Applied Materials, Inc. Insulated semiconductor faceplate designs
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US8748322B1 (en) * 2013-04-16 2014-06-10 Applied Materials, Inc. Silicon oxide recess etch
US8895449B1 (en) 2013-05-16 2014-11-25 Applied Materials, Inc. Delicate dry clean
US9114438B2 (en) 2013-05-21 2015-08-25 Applied Materials, Inc. Copper residue chamber clean
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9953975B2 (en) * 2013-07-19 2018-04-24 Taiwan Semiconductor Manufacturing Company, Ltd. Methods for forming STI regions in integrated circuits
US9543163B2 (en) * 2013-08-20 2017-01-10 Applied Materials, Inc. Methods for forming features in a material layer utilizing a combination of a main etching and a cyclical etching process
US20150064921A1 (en) * 2013-08-30 2015-03-05 Applied Materials, Inc. Low temperature plasma anneal process for sublimative etch processes
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US8956980B1 (en) 2013-09-16 2015-02-17 Applied Materials, Inc. Selective etch of silicon nitride
US8951429B1 (en) 2013-10-29 2015-02-10 Applied Materials, Inc. Tungsten oxide processing
US9236265B2 (en) 2013-11-04 2016-01-12 Applied Materials, Inc. Silicon germanium processing
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US8945414B1 (en) 2013-11-13 2015-02-03 Intermolecular, Inc. Oxide removal by remote plasma treatment with fluorine and oxygen radicals
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9117855B2 (en) 2013-12-04 2015-08-25 Applied Materials, Inc. Polarity control for remote plasma
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9263278B2 (en) 2013-12-17 2016-02-16 Applied Materials, Inc. Dopant etch selectivity control
US9190293B2 (en) 2013-12-18 2015-11-17 Applied Materials, Inc. Even tungsten etch for high aspect ratio trenches
US9245793B2 (en) 2013-12-19 2016-01-26 Intermolecular, Inc. Plasma treatment of low-K surface to improve barrier deposition
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9508561B2 (en) 2014-03-11 2016-11-29 Applied Materials, Inc. Methods for forming interconnection structures in an integrated cluster system for semicondcutor applications
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9299557B2 (en) 2014-03-19 2016-03-29 Asm Ip Holding B.V. Plasma pre-clean module and process
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9136273B1 (en) 2014-03-21 2015-09-15 Applied Materials, Inc. Flash gate air gap
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
JP6230954B2 (ja) * 2014-05-09 2017-11-15 東京エレクトロン株式会社 エッチング方法
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9847289B2 (en) 2014-05-30 2017-12-19 Applied Materials, Inc. Protective via cap for improved interconnect performance
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9159606B1 (en) 2014-07-31 2015-10-13 Applied Materials, Inc. Metal air gap
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9165786B1 (en) 2014-08-05 2015-10-20 Applied Materials, Inc. Integrated oxide and nitride recess for better channel contact in 3D architectures
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9653320B2 (en) 2014-09-12 2017-05-16 Applied Materials, Inc. Methods for etching a hardmask layer for an interconnection structure for semiconductor applications
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9478434B2 (en) 2014-09-24 2016-10-25 Applied Materials, Inc. Chlorine-based hardmask removal
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9359679B2 (en) 2014-10-03 2016-06-07 Applied Materials, Inc. Methods for cyclically etching a metal layer for an interconnection structure for semiconductor applications
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9474163B2 (en) 2014-12-30 2016-10-18 Asm Ip Holding B.V. Germanium oxide pre-clean module and process
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US10373850B2 (en) 2015-03-11 2019-08-06 Asm Ip Holding B.V. Pre-clean chamber and process with substrate tray for changing substrate temperature
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10008366B2 (en) 2015-09-08 2018-06-26 Applied Materials, Inc. Seasoning process for establishing a stable process and extending chamber uptime for semiconductor chip processing
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US9984870B2 (en) 2016-06-30 2018-05-29 International Business Machines Corporation Combined reactive gas species for high-mobility channel passivation
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10692724B2 (en) * 2016-12-23 2020-06-23 Lam Research Corporation Atomic layer etching methods and apparatus
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10224212B2 (en) * 2017-01-27 2019-03-05 Lam Research Corporation Isotropic etching of film with atomic layer control
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10586707B2 (en) 2017-05-26 2020-03-10 Applied Materials, Inc. Selective deposition of metal silicides
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
TWI766433B (zh) 2018-02-28 2022-06-01 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
CN112204713A (zh) * 2018-06-08 2021-01-08 株式会社爱发科 氧化膜除去方法及氧化膜除去装置
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
CN111211046B (zh) * 2019-07-08 2020-12-11 合肥晶合集成电路有限公司 预处理方法、金属硅化物的形成方法以及半导体处理装置

Family Cites Families (129)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4209357A (en) * 1979-05-18 1980-06-24 Tegal Corporation Plasma reactor apparatus
US4585920A (en) * 1982-05-21 1986-04-29 Tegal Corporation Plasma reactor removable insert
JPS6060060A (ja) * 1983-09-12 1985-04-06 株式会社日立製作所 鉄道車両の扉開閉装置
US4579618A (en) * 1984-01-06 1986-04-01 Tegal Corporation Plasma reactor apparatus
US4807016A (en) * 1985-07-15 1989-02-21 Texas Instruments Incorporated Dry etch of phosphosilicate glass with selectivity to undoped oxide
US4951601A (en) * 1986-12-19 1990-08-28 Applied Materials, Inc. Multi-chamber integrated process system
US4892753A (en) * 1986-12-19 1990-01-09 Applied Materials, Inc. Process for PECVD of silicon oxide using TEOS decomposition
US5000113A (en) * 1986-12-19 1991-03-19 Applied Materials, Inc. Thermal CVD/PECVD reactor and use for thermal chemical vapor deposition of silicon dioxide and in-situ multi-step planarized process
US5228501A (en) * 1986-12-19 1993-07-20 Applied Materials, Inc. Physical vapor deposition clamping mechanism and heater/cooler
US4872947A (en) * 1986-12-19 1989-10-10 Applied Materials, Inc. CVD of silicon oxide using TEOS decomposition and in-situ planarization process
US5198034A (en) * 1987-03-31 1993-03-30 Epsilon Technology, Inc. Rotatable substrate supporting mechanism with temperature sensing device for use in chemical vapor deposition equipment
EP0286306B1 (en) 1987-04-03 1993-10-06 Fujitsu Limited Method and apparatus for vapor deposition of diamond
US4886570A (en) 1987-07-16 1989-12-12 Texas Instruments Incorporated Processing apparatus and method
US5030319A (en) * 1988-12-27 1991-07-09 Kabushiki Kaisha Toshiba Method of oxide etching with condensed plasma reaction product
JP2981243B2 (ja) * 1988-12-27 1999-11-22 株式会社東芝 表面処理方法
US4985372A (en) * 1989-02-17 1991-01-15 Tokyo Electron Limited Method of forming conductive layer including removal of native oxide
US5186718A (en) * 1989-05-19 1993-02-16 Applied Materials, Inc. Staged-vacuum wafer processing system and method
DE69111493T2 (de) * 1990-03-12 1996-03-21 Ngk Insulators Ltd Wafer-Heizgeräte für Apparate, zur Halbleiterherstellung Heizanlage mit diesen Heizgeräten und Herstellung von Heizgeräten.
US5089441A (en) * 1990-04-16 1992-02-18 Texas Instruments Incorporated Low-temperature in-situ dry cleaning process for semiconductor wafers
US5238499A (en) * 1990-07-16 1993-08-24 Novellus Systems, Inc. Gas-based substrate protection during processing
US5549780A (en) * 1990-10-23 1996-08-27 Semiconductor Energy Laboratory Co., Ltd. Method for plasma processing and apparatus for plasma processing
US5578130A (en) 1990-12-12 1996-11-26 Semiconductor Energy Laboratory Co., Ltd. Apparatus and method for depositing a film
US5352636A (en) * 1992-01-16 1994-10-04 Applied Materials, Inc. In situ method for cleaning silicon surface and forming layer thereon in same chamber
JP3084497B2 (ja) * 1992-03-25 2000-09-04 東京エレクトロン株式会社 SiO2膜のエッチング方法
US5282925A (en) * 1992-11-09 1994-02-01 International Business Machines Corporation Device and method for accurate etching and removal of thin film
KR100238629B1 (ko) * 1992-12-17 2000-01-15 히가시 데쓰로 정전척을 가지는 재치대 및 이것을 이용한 플라즈마 처리장치
US5500249A (en) * 1992-12-22 1996-03-19 Applied Materials, Inc. Uniform tungsten silicide films produced by chemical vapor deposition
US5345999A (en) * 1993-03-17 1994-09-13 Applied Materials, Inc. Method and apparatus for cooling semiconductor wafers
US5695568A (en) * 1993-04-05 1997-12-09 Applied Materials, Inc. Chemical vapor deposition chamber
US5560779A (en) * 1993-07-12 1996-10-01 Olin Corporation Apparatus for synthesizing diamond films utilizing an arc plasma
EP0637063B1 (en) * 1993-07-30 1999-11-03 Applied Materials, Inc. Method for depositing silicon nitride on silicium surfaces
SE501888C2 (sv) * 1993-10-18 1995-06-12 Ladislav Bardos En metod och en apparat för generering av en urladdning i egna ångor från en radiofrekvenselektrod för kontinuerlig självförstoftning av elektroden
US5505816A (en) * 1993-12-16 1996-04-09 International Business Machines Corporation Etching of silicon dioxide selectively to silicon nitride and polysilicon
US5403434A (en) * 1994-01-06 1995-04-04 Texas Instruments Incorporated Low-temperature in-situ dry cleaning process for semiconductor wafer
US5451259A (en) * 1994-02-17 1995-09-19 Krogh; Ole D. ECR plasma source for remote processing
US5531835A (en) * 1994-05-18 1996-07-02 Applied Materials, Inc. Patterned susceptor to reduce electrostatic force in a CVD chamber
US5767373A (en) * 1994-06-16 1998-06-16 Novartis Finance Corporation Manipulation of protoporphyrinogen oxidase enzyme activity in eukaryotic organisms
US5683517A (en) * 1995-06-07 1997-11-04 Applied Materials, Inc. Plasma reactor with programmable reactant gas distribution
JP2814370B2 (ja) * 1995-06-18 1998-10-22 東京エレクトロン株式会社 プラズマ処理装置
US5716506A (en) * 1995-10-06 1998-02-10 Board Of Trustees Of The University Of Illinois Electrochemical sensors for gas detection
DE69739101D1 (de) * 1996-03-25 2008-12-24 S George Lesinski Microantriebsbefestigung für implantierbares hörhilfegerät
US6048798A (en) * 1996-06-05 2000-04-11 Lam Research Corporation Apparatus for reducing process drift in inductive coupled plasma etching such as oxide layer
US5846332A (en) 1996-07-12 1998-12-08 Applied Materials, Inc. Thermally floating pedestal collar in a chemical vapor deposition chamber
US5993916A (en) 1996-07-12 1999-11-30 Applied Materials, Inc. Method for substrate processing with improved throughput and yield
US6197116B1 (en) * 1996-08-29 2001-03-06 Fujitsu Limited Plasma processing system
US5846375A (en) 1996-09-26 1998-12-08 Micron Technology, Inc. Area specific temperature control for electrode plates and chucks used in semiconductor processing equipment
US5951776A (en) * 1996-10-25 1999-09-14 Applied Materials, Inc. Self aligning lift mechanism
KR100237825B1 (ko) * 1996-11-05 2000-01-15 윤종용 반도체장치 제조설비의 페디스탈
US5812403A (en) * 1996-11-13 1998-09-22 Applied Materials, Inc. Methods and apparatus for cleaning surfaces in a substrate processing system
US5855681A (en) * 1996-11-18 1999-01-05 Applied Materials, Inc. Ultra high throughput wafer vacuum processing system
US5844195A (en) 1996-11-18 1998-12-01 Applied Materials, Inc. Remote plasma source
US6152070A (en) * 1996-11-18 2000-11-28 Applied Materials, Inc. Tandem process chamber
US6035101A (en) * 1997-02-12 2000-03-07 Applied Materials, Inc. High temperature multi-layered alloy heater assembly and related methods
US6083344A (en) * 1997-05-29 2000-07-04 Applied Materials, Inc. Multi-zone RF inductively coupled source configuration
US6706334B1 (en) * 1997-06-04 2004-03-16 Tokyo Electron Limited Processing method and apparatus for removing oxide film
US6364957B1 (en) * 1997-10-09 2002-04-02 Applied Materials, Inc. Support assembly with thermal expansion compensation
KR100551980B1 (ko) * 1997-11-03 2006-02-20 에이에스엠 아메리카, 인코포레이티드 저질량 지지체를 이용한 웨이퍼의 처리방법 및 장치
US6635185B2 (en) * 1997-12-31 2003-10-21 Alliedsignal Inc. Method of etching and cleaning using fluorinated carbonyl compounds
US6406759B1 (en) * 1998-01-08 2002-06-18 The University Of Tennessee Research Corporation Remote exposure of workpieces using a recirculated plasma
US6179924B1 (en) * 1998-04-28 2001-01-30 Applied Materials, Inc. Heater for use in substrate processing apparatus to deposit tungsten
US6086677A (en) * 1998-06-16 2000-07-11 Applied Materials, Inc. Dual gas faceplate for a showerhead in a semiconductor wafer processing system
US6562128B1 (en) * 2001-11-28 2003-05-13 Seh America, Inc. In-situ post epitaxial treatment process
US6176198B1 (en) * 1998-11-02 2001-01-23 Applied Materials, Inc. Apparatus and method for depositing low K dielectric materials
JP4124543B2 (ja) 1998-11-11 2008-07-23 東京エレクトロン株式会社 表面処理方法及びその装置
US6364954B2 (en) * 1998-12-14 2002-04-02 Applied Materials, Inc. High temperature chemical vapor deposition chamber
US20020033233A1 (en) * 1999-06-08 2002-03-21 Stephen E. Savas Icp reactor having a conically-shaped plasma-generating section
JP4057198B2 (ja) 1999-08-13 2008-03-05 東京エレクトロン株式会社 処理装置及び処理方法
US6287643B1 (en) * 1999-09-30 2001-09-11 Novellus Systems, Inc. Apparatus and method for injecting and modifying gas concentration of a meta-stable or atomic species in a downstream plasma reactor
US6364949B1 (en) * 1999-10-19 2002-04-02 Applied Materials, Inc. 300 mm CVD chamber design for metal-organic thin film deposition
KR20010051575A (ko) 1999-11-09 2001-06-25 조셉 제이. 스위니 살리사이드 처리를 위한 화학적 플라즈마 세정
TW484170B (en) 1999-11-30 2002-04-21 Applied Materials Inc Integrated modular processing platform
US6342453B1 (en) * 1999-12-03 2002-01-29 Applied Materials, Inc. Method for CVD process control for enhancing device performance
US6238513B1 (en) * 1999-12-28 2001-05-29 International Business Machines Corporation Wafer lift assembly
KR100767762B1 (ko) * 2000-01-18 2007-10-17 에이에스엠 저펜 가부시기가이샤 자가 세정을 위한 원격 플라즈마 소스를 구비한 cvd 반도체 공정장치
US6656831B1 (en) 2000-01-26 2003-12-02 Applied Materials, Inc. Plasma-enhanced chemical vapor deposition of a metal nitride layer
US6494959B1 (en) 2000-01-28 2002-12-17 Applied Materials, Inc. Process and apparatus for cleaning a silicon surface
US6350320B1 (en) * 2000-02-22 2002-02-26 Applied Materials, Inc. Heater for processing chamber
US6319766B1 (en) * 2000-02-22 2001-11-20 Applied Materials, Inc. Method of tantalum nitride deposition by tantalum oxide densification
US6679981B1 (en) * 2000-05-11 2004-01-20 Applied Materials, Inc. Inductive plasma loop enhancing magnetron sputtering
US6603269B1 (en) * 2000-06-13 2003-08-05 Applied Materials, Inc. Resonant chamber applicator for remote plasma source
US6446572B1 (en) * 2000-08-18 2002-09-10 Tokyo Electron Limited Embedded plasma source for plasma density improvement
US6800830B2 (en) * 2000-08-18 2004-10-05 Hitachi Kokusai Electric, Inc. Chemistry for boron diffusion barrier layer and method of application in semiconductor device fabrication
US6372657B1 (en) * 2000-08-31 2002-04-16 Micron Technology, Inc. Method for selective etching of oxides
JP2002100578A (ja) 2000-09-25 2002-04-05 Crystage Co Ltd 薄膜形成装置
AUPR179500A0 (en) 2000-11-30 2000-12-21 Saintech Pty Limited Ion source
US6544340B2 (en) * 2000-12-08 2003-04-08 Applied Materials, Inc. Heater with detachable ceramic top plate
US6448537B1 (en) * 2000-12-11 2002-09-10 Eric Anton Nering Single-wafer process chamber thermal convection processes
US20020124867A1 (en) * 2001-01-08 2002-09-12 Apl Co., Ltd. Apparatus and method for surface cleaning using plasma
US6879981B2 (en) * 2001-01-16 2005-04-12 Corigin Ltd. Sharing live data with a non cooperative DBMS
US6878206B2 (en) * 2001-07-16 2005-04-12 Applied Materials, Inc. Lid assembly for a processing system to facilitate sequential deposition techniques
US6886491B2 (en) 2001-03-19 2005-05-03 Apex Co. Ltd. Plasma chemical vapor deposition apparatus
US6670278B2 (en) 2001-03-30 2003-12-30 Lam Research Corporation Method of plasma etching of silicon carbide
US20030019428A1 (en) * 2001-04-28 2003-01-30 Applied Materials, Inc. Chemical vapor deposition chamber
US6506291B2 (en) * 2001-06-14 2003-01-14 Applied Materials, Inc. Substrate support with multilevel heat transfer mechanism
JP2003019433A (ja) 2001-07-06 2003-01-21 Sekisui Chem Co Ltd 放電プラズマ処理装置及びそれを用いた処理方法
US20030029715A1 (en) * 2001-07-25 2003-02-13 Applied Materials, Inc. An Apparatus For Annealing Substrates In Physical Vapor Deposition Systems
US7138649B2 (en) 2001-08-09 2006-11-21 Amberwave Systems Corporation Dual-channel CMOS transistors with differentially strained channels
JP2003059914A (ja) 2001-08-21 2003-02-28 Hitachi Kokusai Electric Inc プラズマ処理装置
US6753506B2 (en) * 2001-08-23 2004-06-22 Axcelis Technologies System and method of fast ambient switching for rapid thermal processing
WO2003018867A1 (en) * 2001-08-29 2003-03-06 Applied Materials, Inc. Semiconductor processing using an efficiently coupled gas source
US20030072639A1 (en) * 2001-10-17 2003-04-17 Applied Materials, Inc. Substrate support
JP3954833B2 (ja) 2001-10-19 2007-08-08 株式会社アルバック バッチ式真空処理装置
US6916398B2 (en) * 2001-10-26 2005-07-12 Applied Materials, Inc. Gas delivery apparatus and method for atomic layer deposition
KR100443121B1 (ko) * 2001-11-29 2004-08-04 삼성전자주식회사 반도체 공정의 수행 방법 및 반도체 공정 장치
US20060051968A1 (en) * 2001-12-13 2006-03-09 Joshi Ajey M Self-aligned contact etch with high sensitivity to nitride shoulder
US20030116087A1 (en) * 2001-12-21 2003-06-26 Nguyen Anh N. Chamber hardware design for titanium nitride atomic layer deposition
US6827815B2 (en) * 2002-01-15 2004-12-07 Applied Materials, Inc. Showerhead assembly for a processing chamber
JP2003217898A (ja) 2002-01-16 2003-07-31 Sekisui Chem Co Ltd 放電プラズマ処理装置
US6866746B2 (en) 2002-01-26 2005-03-15 Applied Materials, Inc. Clamshell and small volume chamber with fixed substrate support
US7256370B2 (en) * 2002-03-15 2007-08-14 Steed Technology, Inc. Vacuum thermal annealer
US6500728B1 (en) 2002-05-24 2002-12-31 Taiwan Semiconductor Manufacturing Company Shallow trench isolation (STI) module to improve contact etch process window
US6767844B2 (en) * 2002-07-03 2004-07-27 Taiwan Semiconductor Manufacturing Co., Ltd Plasma chamber equipped with temperature-controlled focus ring and method of operating
EP1403131A3 (en) * 2002-09-27 2005-06-15 Combi Corporation Child car seat
KR100500852B1 (ko) 2002-10-10 2005-07-12 최대규 원격 플라즈마 발생기
CN101457338B (zh) 2003-02-14 2011-04-27 应用材料股份有限公司 利用含氢自由基清洁自生氧化物的方法和设备
CN100437970C (zh) 2003-03-07 2008-11-26 琥珀波系统公司 一种结构及用于形成半导体结构的方法
US20040182315A1 (en) * 2003-03-17 2004-09-23 Tokyo Electron Limited Reduced maintenance chemical oxide removal (COR) processing system
US6958286B2 (en) 2004-01-02 2005-10-25 International Business Machines Corporation Method of preventing surface roughening during hydrogen prebake of SiGe substrates
US7780793B2 (en) 2004-02-26 2010-08-24 Applied Materials, Inc. Passivation layer formation by plasma clean process to reduce native oxide growth
US20050230350A1 (en) * 2004-02-26 2005-10-20 Applied Materials, Inc. In-situ dry clean chamber for front end of line fabrication
US7049200B2 (en) 2004-05-25 2006-05-23 Applied Materials Inc. Method for forming a low thermal budget spacer
US7122949B2 (en) 2004-06-21 2006-10-17 Neocera, Inc. Cylindrical electron beam generating/triggering device and method for generation of electrons
KR100593740B1 (ko) 2004-09-16 2006-06-28 삼성전자주식회사 반도체 자연산화막 제거방법
US20060130971A1 (en) * 2004-12-21 2006-06-22 Applied Materials, Inc. Apparatus for generating plasma by RF power
EP1831430A2 (en) * 2004-12-21 2007-09-12 Applied Materials, Inc. An in-situ chamber clean process to remove by-product deposits from chemical vapor etch chamber
US7253123B2 (en) * 2005-01-10 2007-08-07 Applied Materials, Inc. Method for producing gate stack sidewall spacers
JP4475136B2 (ja) * 2005-02-18 2010-06-09 東京エレクトロン株式会社 処理システム、前処理装置及び記憶媒体
US20070087573A1 (en) * 2005-10-19 2007-04-19 Yi-Yiing Chiang Pre-treatment method for physical vapor deposition of metal layer and method of forming metal silicide layer
US7494545B2 (en) * 2006-02-03 2009-02-24 Applied Materials, Inc. Epitaxial deposition process and apparatus

Cited By (23)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN104733283A (zh) * 2013-12-23 2015-06-24 中芯国际集成电路制造(上海)有限公司 一种半导体器件表面预清洁方法
CN103752562A (zh) * 2014-02-13 2014-04-30 苏州众显电子科技有限公司 一种利用等离子清洗机洗液晶显示屏基板工艺
CN106133883B (zh) * 2014-03-14 2019-11-26 应用材料公司 使用气体分配板热的温度跃升
CN106133883A (zh) * 2014-03-14 2016-11-16 应用材料公司 使用气体分配板热的温度跃升
CN105702724B (zh) * 2014-11-27 2019-01-22 中芯国际集成电路制造(上海)有限公司 半导体器件及其形成方法
CN105702724A (zh) * 2014-11-27 2016-06-22 中芯国际集成电路制造(上海)有限公司 半导体器件及其形成方法
CN105719950A (zh) * 2014-12-19 2016-06-29 朗姆研究公司 硅蚀刻与清洁
CN105719950B (zh) * 2014-12-19 2019-05-17 朗姆研究公司 硅蚀刻与清洁
CN109844904A (zh) * 2016-08-05 2019-06-04 应用材料公司 通过等离子体处理的氟化铝减少
CN109844904B (zh) * 2016-08-05 2023-04-28 应用材料公司 通过等离子体处理的氟化铝减少
US10883171B2 (en) * 2016-09-09 2021-01-05 Aixtron Se CVD reactor and method for cleaning a CVD reactor
CN110050324A (zh) * 2017-01-03 2019-07-23 韩国标准科学研究院 等离子体产生装置
US11211231B2 (en) 2017-01-03 2021-12-28 Korea Research Institute Of Standards And Science Plasma generation apparatus
CN110050324B (zh) * 2017-01-03 2021-09-14 韩国标准科学研究院 等离子体产生装置
CN110945626A (zh) * 2017-05-26 2020-03-31 应用材料公司 金属硅化物的选择性沉积
CN107611007A (zh) * 2017-08-24 2018-01-19 长江存储科技有限责任公司 一种深沟槽的预清洗方法及3d nand制备工艺
CN111129145A (zh) * 2018-10-31 2020-05-08 台湾积体电路制造股份有限公司 FinFET器件及其形成方法
CN111129145B (zh) * 2018-10-31 2023-12-19 台湾积体电路制造股份有限公司 FinFET器件及其形成方法
CN113348532A (zh) * 2018-11-13 2021-09-03 应用材料公司 金属硅化物的选择性沉积和选择性氧化物移除
CN110060944A (zh) * 2019-04-03 2019-07-26 长电科技(宿迁)有限公司 一种具有等离子清洗功能的包封预热台
RU193772U1 (ru) * 2019-09-17 2019-11-14 Сергей Иванович Алексеев Стол нейтральный для кофемашин
CN115662924A (zh) * 2022-12-12 2023-01-31 广州湾区半导体产业集团有限公司 半导体基板的洁净控制系统及方法、洁净设备
CN115662924B (zh) * 2022-12-12 2023-03-31 广州湾区半导体产业集团有限公司 半导体基板的洁净控制系统及方法、洁净设备

Also Published As

Publication number Publication date
TW200935513A (en) 2009-08-16
CN101903984B (zh) 2012-10-03
WO2009085958A2 (en) 2009-07-09
US7780793B2 (en) 2010-08-24
WO2009085958A3 (en) 2009-09-03
US20080160210A1 (en) 2008-07-03
JP2011508433A (ja) 2011-03-10
KR20100114503A (ko) 2010-10-25
TWI438839B (zh) 2014-05-21

Similar Documents

Publication Publication Date Title
CN101903984B (zh) 利用等离子体清洁处理形成钝化层以降低自然氧化物生长的方法
CN101231951B (zh) 利用nh3-nf3化学物质的氧化蚀刻
CN103824746B (zh) 用于沟槽与介层洞轮廓修饰的方法与设备
CN110692123B (zh) 无水的蚀刻方法
US10199215B2 (en) Apparatus and method for selective deposition
CN101466863B (zh) 用于形成含钴材料的工艺
TWI675122B (zh) 用以在敏感基板上沉積薄膜的方法
TWI389251B (zh) 處理薄膜之方法
CN101916740B (zh) 用于前段工艺制造的原地干洗腔
US9611544B2 (en) Plasma activated conformal dielectric film deposition
US8951913B2 (en) Method for removing native oxide and associated residue from a substrate
CN105556643A (zh) 用于利用循环蚀刻工艺对蚀刻停止层进行蚀刻的方法
KR20130093569A (ko) 플라즈마 활성화된 등각 막 성막을 위한 전구체들
CN102187450A (zh) 以低蚀刻速率介电质衬里改善间隙填充的方法
CN101393862B (zh) 栅极侧壁层的制造方法及半导体器件的制造方法
US20090191703A1 (en) Process with saturation at low etch amount for high contact bottom cleaning efficiency for chemical dry clean process
CN110024079A (zh) 金属膜的沉积
KR100575847B1 (ko) 반도체 및 평판디스플레이 설비의 부산물 포집방법
TWI817139B (zh) 氣相沉積前驅物化合物及使用方法
CN101459075A (zh) 金属硅化物层及半导体器件的制造方法

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C53 Correction of patent for invention or patent application
CB02 Change of applicant information

Address after: American California

Applicant after: Applied Materials Inc.

Address before: American California

Applicant before: Applied Materials Inc.

C14 Grant of patent or utility model
GR01 Patent grant