CN101952195A - 嵌段共聚物圆柱体的一维阵列和其应用 - Google Patents

嵌段共聚物圆柱体的一维阵列和其应用 Download PDF

Info

Publication number
CN101952195A
CN101952195A CN2009801050240A CN200980105024A CN101952195A CN 101952195 A CN101952195 A CN 101952195A CN 2009801050240 A CN2009801050240 A CN 2009801050240A CN 200980105024 A CN200980105024 A CN 200980105024A CN 101952195 A CN101952195 A CN 101952195A
Authority
CN
China
Prior art keywords
block copolymer
groove
substrate
base plate
polymer blocks
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN2009801050240A
Other languages
English (en)
Other versions
CN101952195B (zh
Inventor
丹·B·米尔沃德
卡尔·施蒂恩
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Micron Technology Inc
Original Assignee
Micron Technology Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Micron Technology Inc filed Critical Micron Technology Inc
Publication of CN101952195A publication Critical patent/CN101952195A/zh
Application granted granted Critical
Publication of CN101952195B publication Critical patent/CN101952195B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81CPROCESSES OR APPARATUS SPECIALLY ADAPTED FOR THE MANUFACTURE OR TREATMENT OF MICROSTRUCTURAL DEVICES OR SYSTEMS
    • B81C1/00Manufacture or treatment of devices or systems in or on a substrate
    • B81C1/00015Manufacture or treatment of devices or systems in or on a substrate for manufacturing microsystems
    • B81C1/00023Manufacture or treatment of devices or systems in or on a substrate for manufacturing microsystems without movable or flexible elements
    • B81C1/00031Regular or irregular arrays of nanoscale structures, e.g. etch mask layer
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y30/00Nanotechnology for materials or surface science, e.g. nanocomposites
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/0002Lithographic processes using patterning methods other than those involving the exposure to radiation, e.g. by stamping
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0338Process specially adapted to improve the resolution of the mask
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76816Aspects relating to the layout of the pattern or to the size of vias or trenches
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81CPROCESSES OR APPARATUS SPECIALLY ADAPTED FOR THE MANUFACTURE OR TREATMENT OF MICROSTRUCTURAL DEVICES OR SYSTEMS
    • B81C2201/00Manufacture or treatment of microstructural devices or systems
    • B81C2201/01Manufacture or treatment of microstructural devices or systems in or on a substrate
    • B81C2201/0101Shaping material; Structuring the bulk substrate or layers on the substrate; Film patterning
    • B81C2201/0147Film patterning
    • B81C2201/0149Forming nanoscale microstructures using auto-arranging or self-assembling material
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S977/00Nanotechnology
    • Y10S977/70Nanostructure
    • Y10S977/778Nanostructure within specified host or matrix material, e.g. nanocomposite films
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S977/00Nanotechnology
    • Y10S977/70Nanostructure
    • Y10S977/778Nanostructure within specified host or matrix material, e.g. nanocomposite films
    • Y10S977/784Electrically conducting, semi-conducting, or semi-insulating host material
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S977/00Nanotechnology
    • Y10S977/70Nanostructure
    • Y10S977/788Of specified organic or carbon-based composition
    • Y10S977/789Of specified organic or carbon-based composition in array format
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S977/00Nanotechnology
    • Y10S977/70Nanostructure
    • Y10S977/788Of specified organic or carbon-based composition
    • Y10S977/789Of specified organic or carbon-based composition in array format
    • Y10S977/79Of specified organic or carbon-based composition in array format with heterogeneous nanostructures
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/24Structurally defined web or sheet [e.g., overall dimension, etc.]
    • Y10T428/24058Structurally defined web or sheet [e.g., overall dimension, etc.] including grain, strips, or filamentary elements in respective layers or components in angular relation
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/24Structurally defined web or sheet [e.g., overall dimension, etc.]
    • Y10T428/24058Structurally defined web or sheet [e.g., overall dimension, etc.] including grain, strips, or filamentary elements in respective layers or components in angular relation
    • Y10T428/24124Fibers
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/24Structurally defined web or sheet [e.g., overall dimension, etc.]
    • Y10T428/24174Structurally defined web or sheet [e.g., overall dimension, etc.] including sheet or component perpendicular to plane of web or sheet
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/24Structurally defined web or sheet [e.g., overall dimension, etc.]
    • Y10T428/24174Structurally defined web or sheet [e.g., overall dimension, etc.] including sheet or component perpendicular to plane of web or sheet
    • Y10T428/24182Inward from edge of web or sheet

Abstract

本发明提供利用自组装嵌段共聚物沿一维阵列制造亚光刻、纳米级微结构的方法和由所述方法形成的膜和装置。

Description

嵌段共聚物圆柱体的一维阵列和其应用
技术领域
本发明的实施例涉及制造自组装嵌段共聚物薄膜的方法和由所述方法产生的装置。
背景技术
随着纳米级机械、电力、化学和生物装置和系统逐步发展,业内需要新的方法和材料来制造纳米级装置和组件。随着半导体特征的尺寸缩小到习用光刻技术不易达成的大小,获得到达导线的电接触已变成一重大挑战。光学光刻处理方法很难制造小于30纳米级的结构和特征。自组装二嵌段共聚物的使用为以纳米尺寸进行图案化提供了另一途径。二嵌段共聚物膜通过构成聚合物嵌段在退火(例如,在高于所述聚合物的玻璃态转变温度的温度下热退火或溶剂退火)后的微相分离自发组装成周期性结构,从而以纳米级尺寸形成有序结构域。
可通过二嵌段共聚物AB嵌段的分子量和体积分率来控制膜形态(包括微相分离结构域的大小和形状)以主要产生片层状、圆柱形或球形形态。例如,对于二嵌段聚合物中两种嵌段(AB)的比率大于约80∶20的体积分率来说,嵌段共聚物膜可发生微相分离并自组装成周期性球形结构域,其中聚合物B球体由聚合物A基质包围。对于两种嵌段介于约60∶40与80∶20之间的比率来说,二嵌段共聚物组装成聚合物B圆柱体存于聚合物A基质内的周期性六角形密堆积阵列或蜂窝状阵列。对于介于约50∶50与60∶40之间的比率来说,各嵌段形成片层状结构域或交替条纹。结构域大小通常介于5-50nm范围内。
研究者已报导通过在狭窄凹槽内模板化球形形态的嵌段共聚物来产生嵌段共聚物的次要嵌段存于主要嵌段基质中的1-D球体阵列。然而,1-D球体阵列提供不良蚀刻掩模结构,其中即使可移除球体材料,剩余多孔膜仍具有极小纵横比。另外,相邻凹槽中的球体沿y轴偏移且不对齐。此外,在半导体系统的下伏基板中形成各结构的应用需要形成触点的元件、导线和/或其它元件(例如,DRAM电容器)具有复杂布局。
有利地,可提供制造有序纳米结构一维阵列的膜的方法来解决这些问题。
附图说明
下文参照以下随附图式阐述本发明的实施例,所述图式仅用于说明性目的。在所有以下视图中,在图式中将使用参考数字,并且在若干视图和说明中使用相同参考数字来指示相同或类似部分。
图1展示基板的一部分在本揭示内容一实施例的初步处理阶段中的图示性俯视平面图,其显示基板上具有中性润湿性材料。图1A-1B是图1中所绘示基板分别沿线1A-1A和1B-1B绘制的横截面正视图。
图2展示图1中基板在后续阶段中的图示性俯视平面图,其显示在中性润湿性材料上所形成材料层中形成沟槽。图2A-2B展示图2中所绘示基板的一部分分别沿线2A-2A和2B-2B绘制的横截面正视图。
图3展示基板的一部分在本揭示内容另一实施例的初步处理阶段中的侧面正视图,其显示基板在基板上所形成材料层中具有沟槽。图4展示图3中基板在后续阶段中的侧面正视图,其显示在沟槽内形成中性润湿性材料。
图5-6是图2中基板在后续阶段中的图示性俯视平面图,其中根据本揭示内容一实施例在沟槽内制造由存于聚合物基质中的单列垂直定向圆柱体组成的自组装嵌段共聚物膜。图5A-6A展示图5-6中所绘示基板的一部分分别沿线5A-5A和6A-6A绘制的横截面正视图。图5B-6B是图5-6中所绘示基板分别沿线5B-5B和6B-6B绘制的横截面图。
图7-9是图6中基板在后续阶段中的俯视平面图,其展示使用移除一种聚合物嵌段后的自组装嵌段共聚物膜作为掩模来蚀刻基板并填充经蚀刻开口的一实施例。图7A-9A展示图7-9中所绘示基板的一部分分别沿线7A-7A至9A-9A绘制的横截面正视图。图7B-9B是图7-9中所绘示基板分别沿线7B-7B至9B-9B绘制的横截面图。
图10是基板中一部分在本揭示内容另一实施例的初步处理阶段中的图示性俯视平面图,其显示材料层中的沟槽暴露基板。图10A-10B是图10中所绘示基板分别沿线10A-10A和10B-10B绘制的横截面正视图。
图11-12是图10中基板在后续阶段中的图示性俯视平面图,其中在材料层的沟槽内制造自组装嵌段共聚物膜。图11A-12A展示图11-12中所绘示基板的一部分分别沿线11A-11A和12A-12A绘制的横截面正视图。图11b-12B是图11-12中所绘示基板分别沿线11b-11B和12B-12B绘制的横截面图。
图13-15是图12中基板在后续阶段中的俯视平面图,其展示根据本揭示内容一实施例使用移除一种聚合物嵌段后的自组装嵌段共聚物膜作为掩模来蚀刻基板并填充所蚀刻开口。图13A-15A展示图13-15中所绘示基板的一部分分别沿线13A-13A至15A-15A绘制的横截面正视图。图13B-15B是图13-15中所绘示基板分别沿线13B-13B至15B-15B绘制的横截面图。
图16是根据本发明的另一实施例图12的基板的一部分的俯视平面图,其显示沿沟槽表面的双层润湿层。图16A-16B是图16中所绘示基板分别沿线16A-16A和16B-16B绘制的横截面正视图。
图17-18是图16中基板在后续阶段中的俯视平面图,其显示根据本发明的另一实施例移除一种聚合物结构域以形成到达基板的开口。图17A-18A展示图17-18中所绘示基板的一部分分别沿线17A-17A至18A-18A绘制的横截面正视图。图17B-18B是图17-18中所绘示基板分别沿线17B-17B至18B-18B绘制的横截面图。
图19-22展示在单个沟槽中形成两列圆柱体的本发明另一实施例。图19展示基板的一部分在初步处理阶段中的俯视平面图,其显示材料层中的沟槽。图19A-19B是图19中所绘示基板的一部分分别沿线19A-19A和19B-19B绘制的横截面正视图。
图20是图19中基板在后续阶段中的俯视平面图,其中根据本发明一实施例在沟槽内制造自组装圆柱相嵌段共聚物材料。图21是图20中基板在后续阶段中移除嵌段共聚物材料的圆柱形结构域后的俯视平面图。图22是图21在蚀刻基板并填充所蚀刻开口后的俯视平面图。图20A-22A是图20-22的基板分别沿线20A-20A、21A-21A和22A-22A绘制的横截面正视图。图20B-22B是图20-22的基板分别沿线20B-20B、21B-21B和22B-22B绘制的横截面正视图,其显示两列圆柱体。
具体实施方式
参照图式,以下说明提供本发明实施例中装置和方法的阐述性实例。所述说明仅出于阐述性目的而并非出于限制本发明的目的。
在本申请案的上下文中,术语“半导体基板”或“半导电性基板”或“半导电性晶片碎片”或“晶片碎片”或“晶片”可理解为意指包含半导体材料的任一构造,包括(但不限于)体相半导电性材料,例如半导体晶片(单独或存于其上包含其它材料的组合件中)和半导电性材料层(单独或存于包含其它材料的组合件中)。术语“基板”是指任一支撑结构,包括(但不限于)上述半导电性基板、晶片碎片或晶片。
本文所用“Lo”是在退火后由自组装(SA)嵌段共聚物自组装的结构的固有周期性或间距值(体相周期(bulk period)或重复单元)。本文所用“LB”是嵌段共聚物与其构成均聚物中一或多者的掺合物的周期性或间距值。“L”在本文中用于表示嵌段共聚物或掺合物的圆柱体的中心-中心圆柱体间距或间隔,并且对于纯嵌段共聚物来说等于“Lo”,并且且对于共聚物掺合物来说等于“LB”。
在本发明的实施例中,聚合物材料(例如,膜、层)是通过嵌段共聚物的引导式自组装来制备,其中在空气界面处具有两种聚合物结构域。嵌段共聚物材料通过构成聚合物嵌段在退火后的微相分离自发组装成周期性结构,从而以纳米级尺寸形成有序结构域。在本发明的实施例中,垂直定向的一维(1-D)圆柱体阵列时在沟槽内形成。在其它实施例中,在每一沟槽中可形成两列圆柱体。在自组装后,随后可使用在基板上形成的垂直定向圆柱体的图案作为(例如)蚀刻掩模,以供通过选择性移除自组装嵌段共聚物中的一种嵌段来将纳米级特征图案化至下伏基板上。由于此方法中所涉及的结构域大小和周期(L)取决于嵌段共聚物的链长度(MW),因此解析度可超过其它技术,例如习用光学光刻技术。使用所述技术的处理成本显著低于解析度相当的远紫外线(EUV)光学光刻技术。
根据本发明一实施例制造界定纳米级垂直定向圆柱体一维(1-D)阵列的自组装嵌段共聚物材料的方法展示于图1-6中。
所述实施例涉及圆柱相嵌段共聚物的热退火以及制图外延技术,其利用光刻界定的沟槽作为导轨,所述沟槽具有:底板,其由对两种聚合物嵌段中性润湿的材料组成;和侧壁和端部,其对一种聚合物嵌段优先润湿并在诱导嵌段共聚物在聚合物基质中自组装成单列圆柱体的有序1-D阵列时起限制作用,所述有序1-D阵列的定向垂直于沟槽底板并与沟槽侧壁对齐。在某些实施例中,在每一沟槽中可形成两列圆柱体。
所构造嵌段共聚物或掺合物可使得所有聚合物嵌段在退火期间对空气界面具有均等优先性。对于热退火来说,所述二嵌段共聚物主要包括(例如):聚(苯乙烯)-b-聚(甲基丙烯酸甲酯)(PS-b-PMMA)或其它PS-b-聚(丙烯酸酯)或PS-b-聚(甲基丙烯酸酯)、聚(苯乙烯)-b-聚(交酯)(PS-b-PLA)和聚(苯乙烯)-b-聚(丙烯酸叔丁基酯)(PS-b-PtBA)。虽然在所示实施例中使用PS-b-PMMA二嵌段共聚物,但也可使用其它类型的嵌段共聚物(即三嵌段或多嵌段共聚物)。三嵌段共聚物的实例包括ABC共聚物和ABA共聚物(例如PS-PMMA-PS和PMMA-PS-PMMA)。
嵌段共聚物的L值可通过(例如)调节所述嵌段共聚物的分子量来改变。也可将嵌段共聚物材料调配为二元或三元掺合物,其包含嵌段共聚物和一或多种聚合物类型与所述嵌段共聚物中的聚合物嵌段相同的均聚物(HP),从而产生可增大聚合物结构域的大小并提高L值的掺合物。所述均聚物的体积分率可在0至约60%范围内。三元二嵌段共聚物掺合物的一实例是PS-b-PMMA/PS/PMMA掺合物,例如60%的46K/21KPS-b-PMMA、20%的20K聚苯乙烯和20%的20K聚(甲基丙烯酸甲酯)。也可使用PS-PEO与约0-40%PEO均聚物(HP)的掺合物在热退火期间产生垂直圆柱体;据信,所添加PEO均聚物可至少部分地用于将PEO结构域的表面能降低至PS的表面能。
可通过嵌段共聚物的链长度(分子量,MW)和二嵌段共聚物中AB嵌段的体积分率来控制膜形态(包括微相分离结构域的结构域大小和周期(Lo)),从而(主要)产生圆柱形形态。例如,对于两种嵌段的比率通常介于约60∶40与80∶20之间的体积分率来说,二嵌段共聚物会发生微相分离并自组装成聚合物B存于聚合物A基质内的周期性圆柱形结构域。可形成存于PS基质中的约20nm直径圆柱形PMMA结构域的圆柱体形成PS-b-PMMA共聚物材料(Lo为约35nm)的一实例是由约70%的PS和30%的PMMA组成,其总分子量(Mn)为67kg/mol。
如图1-1B中所绘示,提供基板10,其尤其可为硅、氧化硅、氮化硅、氧氮化硅、氧碳化硅材料。如图另外所绘示,导线12(或其它有效区,例如半导电区域)位于基板10内。
在任一所述实施例中,可在基板中形成单一沟槽或多个沟槽,并且可横跨导线(或其它有效区)阵列的整个宽度。在本发明的实施例中,提供基板10,其具有间距为L的导线12(或其它有效区)的阵列。在有效区12(例如导线)上形成沟槽,从而使得在使嵌段共聚物材料退火时,各圆柱体将位于单一有效区12(例如导线)的上方。在某些实施例中,形成多个沟槽,其中每个相邻沟槽18的端部24彼此对齐或稍微偏移小于L5%的距离,从而使得相邻沟槽中的圆柱体对齐并位于相同导线12的上方。
在所示实施例中,已在基板10上方形成中性润湿材料14(例如无规共聚物)。随后可在中性润湿材料上形成材料层16(或一或多个材料层)并对其进行蚀刻以形成垂直于导线12阵列定向的沟槽18,如图2-2B中所示。材料层16的多个部分在沟槽外和沟槽之间形成间隔区20。沟槽18经结构化而具有相对侧壁22、相对端部24、底板26、宽度(wt)、长度(lt)和深度(Dt)。
在图3-4中所示另一实施例中,可在基板10’上形成材料层16’,可对其进行蚀刻以形成沟槽18’,并且随后可在沟槽底板26’上上形成中性润湿材料14’。例如,可使无规共聚物材料沉积至沟槽18’中并发生交联以形成中性润湿材料层。随后可移除沟槽外部表面上(例如间隔区20’上)的材料(例如未交联无规共聚物)。
可使用具有能以L级(10-100nm)进行图案化的暴露系统的光刻工具形成单一或多个沟槽18(如图所示)。所述暴露系统包括(例如)业内已知和使用的远紫外(EUV)光刻技术、近接X射线和电子束(e束)光刻技术。习用光学光刻技术可获得(最小)约58nm特征。
也可使用称为“间距加倍”或“间距增倍”的方法使光学光刻技术的能力超越其最小间距,如(例如)美国专利第5,328,810号(劳瑞(Lowrey)等人)、美国专利第7,115,525号(阿巴彻伍(Abatchev)等人)、美国专利2006/0281266(威尔斯(Wells))和美国专利2007/0023805(威尔斯)中所述。简单来说,在覆盖可消耗材料层的光阻剂材料中以光学光刻方式形成导线图案,所述可消耗材料层又覆盖在基板上,对所述可消耗材料层进行蚀刻以形成预留位或心轴,剥离光阻剂,在心轴侧面形成间隔区,并且随后移除心轴,从而留下间隔区作为图案化基板的掩模。因此,倘若初始光学光刻技术形成界定一个特征和一个间隔的图案,则现在相同宽度界定两个特征和两个间隔,其中所述间隔是由间隔区来界定。因此,光学光刻技术可形成的最小特征大小有效降低至约30nm或更小。
在沟槽内形成垂直定向纳米圆柱体的单一(1-D)阵列或层时所涉及的因素包括沟槽的宽度(wt)和深度(Dt)、可使嵌段共聚物材料获得期望间距(L)和厚度(t)的嵌段共聚物或掺合物的调配。
例如,将间距或L值为35nm的嵌段共聚物或掺合物沉积至具有中性润湿性底板的75nm宽沟槽中,其在退火后产生35nm直径垂直圆柱体的Z字形图案,所述垂直圆柱体相对于沟槽长度(lt)偏移约一半间距(或约0.5*L)的距离,而不产生沿侧壁向下至沟槽中心的对齐的单排垂直圆柱体阵列。随着沟槽宽度(wt)减小和/或嵌段共聚物的周期性(L值)增加(例如通过添加两种构成均聚物而形成三元掺合物),在沟槽中心内垂直圆柱体自两列变为一列。沟槽侧壁22沿x轴和y轴的边界条件产生如下结构:其中各沟槽皆含有“n”个特征(例如圆柱体)。
在某些实施例中,所构造沟槽18的宽度(wt)约为嵌段共聚物的L至1.5*L(或1.5X间距值),从而使得约L的浇注嵌段共聚物材料(或掺合物)在退火后自组装成相邻圆柱体的中心-中心间距距离为L或约L的单列垂直圆柱体。例如,在使用间距值或L为约50nm的圆柱相嵌段共聚物时,沟槽18的宽度(wt)可为约(1-1.5)*50nm或约50-80nm。沟槽的长度(lt)为或约为nL或L的整数倍,通常在约n*10至约n*100nm范围内(其中n是诸如圆柱体等特征或结构的数量)。沟槽18的深度(Dt)大于L(Dt>L)。相邻沟槽之间的间隔区20的宽度可变并且通常为约L至约nL。在某些实施例中,沟槽尺寸为约20-100nm宽(wt)和约100-25,000nm长(lt),并且约10-100nm深(Dt)。
现参照图5-5B,将固有间距为或约为Lo的自组装圆柱相嵌段共聚物材料28(或嵌段共聚物与均聚物的三元掺合物,其掺合后间距为或约为LB)沉积至沟槽18中,以使得在退火后所沉积嵌段共聚物在沟槽上的厚度(tl)通常为或约为L,并且嵌段共聚物材料可自组装以形成横跨沟槽宽度(wt)圆柱体单层。例如,在沟槽内圆柱相PS-b-PMMA嵌段共聚物材料28的典型厚度(tl)是所述嵌段共聚物材料L值的约±20%(例如约10-100nm),从而在每一沟槽内形成存于聚合物基质内的直径为约0.5*L(例如5-50nm,或例如约20nm)的单列圆柱体。嵌段共聚物材料28的厚度可通过(例如)椭圆测量技术来测量。
例如,可通过旋转浇注(旋涂)自嵌段共聚物材料存于诸如二氯乙烷(CH2Cl2)或甲苯等有机溶剂中的稀溶液(例如约0.25-2wt%的溶液)来沉积所述共聚物。毛細管力将多余的嵌段共聚物材料28(例如大于单层)拉到沟槽18中。如图所示,可将嵌段共聚物材料的薄层或薄膜28a沉积至沟槽外的材料层16上(例如间隔区20上)。在退火后,薄膜28a将流入沟槽中,而在材料层16上留下俯视可见的无结构刷层。
在本实施例中,沟槽底板26经结构化以中性润湿(对共聚物的两种嵌段具有均等亲和力),从而诱导形成垂直于沟槽底板定向的圆柱形聚合物结构域;并且沟槽侧壁22和端部24经结构化以优先经嵌段共聚物的一种嵌段润湿,从而在聚合物嵌段自组装时诱导各圆柱体与侧壁对齐。因应于沟槽表面的润湿性质,在退火后,圆柱相嵌段共聚物的优选或次要嵌段可自组装以沿沟槽长度形成存于聚合物基质中心处的单列圆柱形结构域,并分开到达沟槽的侧壁和边缘以形成薄界面或润湿层,如图6-6B中所绘示。熵力促使中性润湿表面经两种嵌段润湿,并且焓力促使优先润湿表面经优选嵌段(例如次要嵌段)润湿。
为在(例如)使用PS-b-PMMA嵌段共聚物时提供优先润湿表面,材料层16可尤其由以下材料组成:硅(含有原生氧化物)、氧化物(例如氧化硅、SiOx)、氮化硅、氧碳化硅、氧化铟锡(ITO)、氧氮化硅、和抗蚀剂材料(例如基于甲基丙烯酸酯的抗蚀剂和聚二甲基戊二酰亚胺抗蚀剂),所述材料层16对PMMA嵌段表现优先润湿。在使用PS-PMMA圆柱相嵌段共聚物材料时,共聚物材料可自组装以形成薄界面层和存于PS基质中的PMMA圆柱体。
在其它实施例中,可通过(例如)旋涂后加热(例如至约170℃)将经含-OH部分(例如甲基丙烯酸羟乙基酯)修饰的优先润湿性材料(例如聚甲基丙烯酸甲酯(PMMA)聚合物)施加至沟槽表面上,以使末端OH基团可末端接枝至沟槽的氧化物侧壁22和端部24上。可通过用适当溶剂(例如甲苯)冲洗来移除未接枝材料。例如,参见曼斯基(Mansky)等人,科学(Science),1997,275,1458-1460和英(In)等人,朗缪尔(Langmuir),2006,22,7855-7860。
中性润湿沟槽底板26使得共聚物材料的两种嵌段可润湿沟槽底板。可通过以下方式来提供中性润湿材料14:将中性润湿聚合物(例如中性润湿无规共聚物)施加至基板10上,形成材料层16并随后蚀刻沟槽以暴露下伏中性润湿材料,如图2-2B中所示。
在图3-4中所示的另一实施例中,可在形成沟槽18’后通过浇注或旋涂来将中性润湿无规共聚物材料作为(例如)覆盖涂层施加至沟槽中,如图4中所绘示。随后可对无规共聚物材料进行热处理以通过毛细管作用使材料流入沟槽底部,从而产生由交联中性润湿无规共聚物组成的层(垫)14’。在另一实施例中,可对沟槽内的无规共聚物材料进行曝光(例如通过掩模或光罩)以使无规共聚物在沟槽内交联,从而形成中性润湿材料14’。随后可移除沟槽外(例如在间隔区20’上)的未交联无规共聚物材料。
可通过施加如下无规共聚物来专门制备中性润湿表面:所述无规共聚物是由与嵌段共聚物中相同的单体组成,并且经调整以使各单体的摩尔分率适合形成中性润湿表面。例如,在使用聚(苯乙烯-嵌段-甲基丙烯酸甲酯)嵌段共聚物(PS-b-PMMA)时,可自光可交联的无规PS:PMMA共聚物(PS-r-PMMA)薄膜形成中性润湿材料14,其对PS和PMMA表现非优先或中性润湿(例如含有约0.6摩尔分率苯乙烯的PS-PMMA无规共聚物),所述中性润湿材料可浇注至基板10上(例如通过旋涂)。可通过化学接枝(在氧化物基板上)或通过热或光解交联(任何表面)将无规共聚物材料固定在适当位置,以形成对PS和PMMA表现中性润湿并且在经嵌段共聚物材料浇注时因交联而不溶的垫。
在另一实施例中,可通过在约160℃下加热约48小时使聚苯乙烯(PS)、具有羟基的聚甲基丙烯酸酯(PMMA)(例如甲基丙烯酸2-羟乙基酯)的中性润湿无规共聚物(P(S-r-MMA-r-HEMA))(例如约58%的PS)选择性地接枝至基板10(例如氧化物)作为约5-10nm厚的中性润湿层14。例如,参见英等人,朗缪尔,2006,22,7855-7860。
对PS-b-PMMA中性润湿的表面也可通过旋涂光或热可交联无规共聚物的覆盖层来制备,所述光或热可交联无规共聚物是(例如)苯乙烯与甲基丙烯酸甲酯的苯并环丁烯-或叠氮基甲基苯乙烯官能化的无规共聚物,例如聚(苯乙烯-r-苯并环丁烯-r-甲基丙烯酸甲酯(PS-r-PMMA-r-BCB))。例如,此一无规共聚物可包含约42%的PMMA、约(58-x)%的PS和x%(例如约2-3%)的聚苯并环丁烯或聚(对-叠氮基甲基苯乙烯)。叠氮基甲基苯乙烯官能化的无规共聚物可经UV光交联(例如以1-5MW/cm^2暴露约15秒至约30分钟)或经热交联(例如在约170℃下交联约4小时)以形成交联聚合物垫作为中性润湿层14。苯并环丁烯官能化的无规共聚物可经热交联(例如在约200℃下交联约4小时或在约250℃下交联约10分钟)。
在基板10为硅(含有原生氧化物)的另一实施例中,可通过氢末端硅来提供PS-b-PMMA的另一中性润湿表面。可用(例如)氢等离子体来蚀刻沟槽18的底板26以移除氧化物材料并形成氢末端硅,所述氢末端硅是对嵌段共聚物材料的两种嵌段具有均等亲和力的中性润湿材料。可通过习用方法来制备氢末端硅,例如通过暴露于氟化氢(HF)和缓冲HF或氟化铵(NH4F)的水溶液对硅基板(存在原生氧化物,约12-15
Figure BPA00001197625000081
)实施氟离子蚀刻、HF蒸气处理或氢等离子体处理(例如原子氢)。可通过以下方式进一步处理H-末端硅基板以产生约10-15nm厚的膜:将无规共聚物(例如PS-r-PMMA)选择性接枝至基板上,从而产生中性润湿表面,例如使用可将聚合物连接至表面的二烯连接体(例如二乙烯苯)对苯乙烯和甲基丙烯酸甲酯实施原位自由基聚合。
在又一实施例中,可通过将基于三氯硅烷的SAM(例如3-(对-甲氧基苯基)丙基三氯硅烷)的自组装单层(SAM)接枝至氧化物(例如SiO2)来提供PS-b-PMMA和PS-b-PEO的中性润湿表面,例如如D.H.帕克(D.H.Park)在纳米技术(Nanotechnolog)18(2007),第355304页中所述。
在本实施例中,随后使嵌段共聚物材料28热退火(箭头↓)以使聚合物嵌段根据沟槽表面的优先和中性润湿性发生相分离并自组装,从而形成自组装聚合物材料30,如图6-6B中所示。可在高于共聚物材料中组成嵌段的玻璃态转变温度的温度下进行热退火。例如,可在真空炉中于约180-230℃温度下经约1-24小时使PS-b-PMMA共聚物材料全面退火以达成自组装形态。例如,可使用原子力显微镜(AFM)、透射电子显微镜(TEM)、扫描电子显微镜(SEM)来检查经退火共聚物材料30的所得形态(例如圆柱体的垂直定向)。
在其它实施例中,可对基板10上共聚物材料28的部分或区段施加区域或局部热退火,而非对嵌段共聚物材料实施全面加热。例如,可使基板移动跨越位于基板上方或下方的热-冷温度梯度32(图6A)(或可相对于所述基板移动热源,例如箭头→),从而使得嵌段共聚物材料在经过热源并冷却后可自组装。嵌段共聚物材料中只有加热至高于组成聚合物嵌段的玻璃态转变温度的部分可自组装,并且材料中未经充分加热的区域保持无序和未自组装状态。相对于全面热退火,“拉动”经加热区域跨越基板可加快处理并产生更有序的结构。
在退火后,圆柱相嵌段共聚物材料28可自组装成聚合物材料30(例如膜),其是由一聚合物嵌段(例如PMMA)存于另一聚合物嵌段(例如PS)的聚合物基质36内的垂直定向圆柱体34组成。沟槽18的宽度(wt)和嵌段共聚物组合物(例如固有间距为或约为L的PS-b-PMMA)的特征以及对两种聚合物嵌段(例如无规接枝共聚物)表现中性或非优先润湿的沟槽底板26和优先经嵌段共聚物的次要或优选嵌段(例如PMMA嵌段)润湿的侧壁22共同提供的约束产生次要聚合物嵌段(例如PMMA)的垂直定向圆柱形结构域34存于主要聚合物嵌段(例如PS)基质36内的单列(1-D阵列),所述圆柱形结构域34对齐并且平行于沟槽的侧壁22。圆柱体34的直径通常约为圆柱体之间中心-中心距离的一半。在退火后,次要嵌段层分开到达沟槽的侧壁22和端部24并将其润湿,从而形成薄润湿层34a,所述层34a的厚度通常约为相邻圆柱体34之间中心-中心距离的四分之一。例如,PMMA结构域层可润湿氧化物界面,并且由此引导所附接的PS结构域远离氧化物材料。
在某些实施例中,自组装嵌段共聚物材料30是通过圆柱形结构域(圆柱体)34的阵列来界定,每一圆柱体的直径为或约为0.5*L,并且所述列中圆柱体的数量(n)与沟槽的长度一致,并且圆柱体之间的中心-中心距离(间距距离,p)为或约为L。
任选地,在嵌段共聚物材料退火且有序化后,共聚物材料可经处理以使各聚合物片段(例如PS片段)交联,从而固定自组装聚合物嵌段并增强其强度。聚合物可经结构化以进行固有交联(例如在暴露于紫外线(UV)辐射后,包括深紫外线(DUV)辐射),或共聚物材料中的一种聚合物嵌段可经调配以含有交联剂。
一般来说,沟槽外的膜28a并未厚至足以引发自组装。任选地,可移除沟槽外(例如在间隔区20上)嵌段共聚物材料的未结构化薄膜28a,如图6-6B中所示。例如,可通过光罩(未显示)选择性地暴露沟槽区域以仅使沟槽18内经退火并自组装的聚合物材料30交联,并且随后可用适当溶剂(例如甲苯)实施洗涤以移除嵌段共聚物材料28a的未交联部分(例如在间隔区20上),从而在沟槽内留下对齐的自组装聚合物材料并暴露沟槽上/沟槽外的材料层16的表面。在另一实施例中,可对经退火聚合物材料30实施全面交联,可施加光阻剂材料以图案化并暴露在沟槽区域外的聚合物材料28a区域,并且可通过(例如)氧(O2)等离子体处理来移除聚合物材料28a的暴露部分。
自组装聚合物材料30的一应用是作为蚀刻掩模以在基板10中形成开口。例如,如图7-7B中所示,在一实施例中,可选择性地移除自组装聚合物材料30中的圆柱形聚合物结构域34,从而产生具有暴露沟槽底板的开口40的聚合物基质36。例如,可通过UV暴露/乙酸显影或通过选择性反应性离子蚀刻(RIE)来选择性地移除PMMA结构域。随后可使用剩余多孔聚合物(例如PS)基质36作为掩模以使用(例如)选择性反应性离子蚀刻(RIE)方法来蚀刻(箭头↓↓)一系列到达下伏基板10(或底层)中的导线12、半导电区域或其它有效区的开口或接触孔42,如图8-8B中所绘示。
随后可根据需要实施进一步处理。例如,如图9-9B中所绘示,可移除剩余基质36并且可用材料44(例如金属或金属合金,尤其例如Cu、Al、W、Si和Ti3N4)填充基板开口42,以形成到达导线12的圆柱形触点阵列。也可用金属-绝缘体-金属堆叠来填充基板中的圆柱形开口42以形成具有绝缘材料(例如SiO2、Al2O3、HfO2、ZrO2、SrTiO3和诸如此类)的电容器。
本发明方法的另一实施例利用溶剂退火以及制图外延技术来诱导圆柱相嵌段共聚物材料在沟槽内的有序化和对齐(如图10-15中所绘示),从而形成单列垂直定向圆柱体存于聚合物基质中的1-D阵列。
构造二嵌段共聚物从而使得两种聚合物嵌段在溶剂退火期间皆可润湿空气界面。二嵌段共聚物的实例包括聚(苯乙烯)-b-聚(氧化乙烯)(PS-b-PEO);具有可裂解接合的PS-b-PEO嵌段共聚物,例如在PS与PEO嵌段间的三苯基甲基(三苯甲基)醚连接(任选地与低浓度(例如约1%)的盐络合,例如KCl、KI、LiCl、LiI、CsCl或CsI(张(Zhang)等人,先进材料(Adv.Mater.)2007,19,1571-1576));掺杂有经PEO涂覆金纳米粒子的PS-b-PMMA嵌段共聚物,所述金纳米粒子的大小小于自组装圆柱体的直径(帕克(Park)等人,高分子(Macromolecules),2007,40(11),8119-8124);聚(苯乙烯)-b-聚(甲基丙烯酸甲酯)(PS-b-PMMA)或其它PS-b-聚(丙烯酸酯)或PS-b-聚(甲基丙烯酸酯)、聚(苯乙烯)-b-聚(交酯)(PS-b-PLA)、聚(苯乙烯)-b-聚(乙烯基吡啶)(PS-b-PVP)、聚(苯乙烯)-b-聚(丙烯酸叔丁基酯)(PS-b-PtBA)、和聚(苯乙烯)-b-聚(乙烯-共-丁烯(PS-b-(PS-共-PB)))。三嵌段共聚物的实例包括诸如聚(苯乙烯-b-甲基丙烯酸甲酯-b-氧化乙烯)(PS-b-PMMA-b-PEO)等ABC聚合物和诸如PS-b-PI-b-PS等ABA共聚物。
本实施例利用溶剂退火,可免除在沟槽底板上形成中性润湿材料,从而减少处理步骤的数量。另外,各沟槽表面(例如侧壁22”、端部24”、底板26”)经结构化以对PS-b-PEO嵌段共聚物材料的次要嵌段(例如PEO)优先润湿。
沟槽18”也进行结构化,其宽度(wt)为嵌段共聚物材料的约1-1.5*L或间距值的1至
Figure BPA00001197625000101
倍。例如,对于L值为约50nm的圆柱相PS-b-PEO共聚物来说,所构造沟槽具有约50nm的宽度(wt)。沟槽的深度(Dt)可为或约为L。
参照图10-10B,显示基板10”具有导线12”(或其它有效区)以及上覆材料层16”,其中已蚀刻出沟槽18”。界定沟槽表面的基板10”和材料层16”可为固有地对一种聚合物嵌段优先润湿的材料,或在其它实施例中是可施加至沟槽表面上的优先润湿性材料。例如,在使用PS-b-PEO嵌段共聚物时,基板10”和材料层16”尤其可由以下材料形成:硅(含有原生氧化物)、氧化物(例如氧化硅、SiOx)、氮化硅、氧碳化硅、氧化铟锡(ITO)、氧氮化硅、和抗蚀剂材料(例如基于甲基丙烯酸酯的抗蚀剂),所述材料对PEO嵌段表现优先润湿。在使用PS-PEO圆柱相嵌段共聚物材料时,共聚物材料可自组装以形成存于PS基质中的PEO圆柱体和位于沟槽侧壁22”和端部24”上的薄界面刷层或润湿层。
可将固有间距为或约为L的圆柱相PS-b-PEO嵌段共聚物材料28”(或与均聚物的掺合物)沉积至沟槽18”中,如图11-11B中所示。在使用溶剂退火时,沉积至沟槽中的嵌段共聚物材料的厚度(tl)可约为材料的L值或更大,例如最多为L值的约1000%。
PS-b-PEO二嵌段共聚物中两种嵌段(AB)的体积分率通常为约60∶40和80∶20的比率,以使得嵌段共聚物可发生微相分离并自组装成聚合物B(即PEO)存于聚合物A(即PS)基质内的圆柱形结构域。可形成存于PS基质中的约25nm直径圆柱形PEO结构域的圆柱体形成性PS-b-PEO共聚物材料(L=50nm)的一实例是由约70%的PS和30%的PEO组成,其总分子量(Mn)为约75kg/mol。虽然在说明性实施例中使用二嵌段共聚物,但也可使用三嵌段或多嵌段共聚物。
也可将PS-b-PEO嵌段共聚物材料调配为包含PS-b-PEO嵌段共聚物和一或多种均聚物(即聚苯乙烯(PS)和聚氧化乙烯(PEO))的二元或三元掺合物,从而产生可增大聚合物结构域的大小并提高聚合物L值的掺合物。均聚物的体积分率可在0至约40%范围内。三元二嵌段共聚物掺合物的实例是PS-b-PEO/PS/PEO掺合物。聚合物的L值也可通过调节所述嵌段共聚物的分子量来改变。
随后对PS-b-PEO嵌段共聚物材料28”实施溶剂退火(箭头1)以形成自组装聚合物材料30”,如图12-12B中所示。
在溶剂退火中,嵌段共聚物材料通过暴露于对两种嵌段皆“良好”的溶剂(例如苯、氯仿或氯仿/辛烷混合物)蒸气中而膨胀。使嵌段共聚物材料28”暴露于溶剂蒸气以使所述材料的两种聚合物嵌段(PS、PEO)缓慢膨胀。随后使溶剂蒸气缓慢地扩散出膨胀聚合物材料并蒸发。溶剂饱和蒸气维持与共聚物材料28”的中性空气界面46”,从而诱导形成贯穿共聚物材料的垂直特征。溶剂蒸发形成梯度,其可引发自组装和形成始于空气-表面界面46”并向下延伸到达沟槽18”底板26”的结构,并且形成垂直定向的圆柱形结构域34”,其是由沟槽侧壁22”引导并自空气界面46”完全延伸至基板表面(沟槽底板26”)。在某些实施例中,可在水于膜上凝聚时在高湿度(例如约70-85%)下实施溶剂退火,所述膜随溶剂(例如苯)蒸发而冷却。
由沟槽18”的宽度(wt)和嵌段共聚物组合物28”的特征、优先润湿性侧壁22”和端部24”以及溶剂退火共同提供的约束产生次要聚合物嵌段(例如PEO)的单列垂直定向圆柱形结构域34”存于主要聚合物嵌段(例如PS)基质36”内的一维(1-D)阵列,其中所述次要嵌段分开到达沟槽的侧壁22”以形成润湿层34a”,其厚度通常是相邻圆柱体34”的中心-中心距离的约四分之一。在某些实施例中,圆柱体的直径为或约为0.5*L(例如约为圆柱体之间中心-中心距离的一半),所述列中圆柱体的数量(n)与沟槽的长度(lt)一致,并且圆柱体结构域之间中心-中心距离(间距距离,p)为或约为L。
任选地,经退火和有序化的聚合物材料30”可经处理以使各聚合物片段交联(例如PS基质36”)。随后可任选地移除沟槽外嵌段共聚物材料的未结构化薄膜28a”,如图12-12B中所示。
如图13-13B中所绘示,随后自组装聚合物材料30”(任选地经交联)可经处理以形成(例如)用于在基板或下伏材料层中通过选择性移除一种聚合物结构域(例如PS或PEO)来蚀刻开口的蚀刻掩模。例如,可选择性地移除水溶性PEO圆柱形结构域34”以在PS材料层36”中产生开口40”,所述PS材料层36”可用作(例如)光刻模板或掩模以在用于半导体处理的下伏基板10”(图14-14B)中以纳米级范围(即约10-100nm)蚀刻开口42”。可通过(例如)将自组装嵌段共聚物材料30”(任选地经交联)暴露于氢碘酸水溶液中或仅暴露于水中来实施PEO相结构域34”的移除,此可将PEO吸引至表面,而不裂解与PS结构域的键结。在PS-b-PEO嵌段共聚物包括定位于聚合物嵌段之间的酸可裂解连接体(例如三苯甲醇连接体)的实施例中,可将经交联聚合物材料30”暴露于酸水溶液(例如三氟乙酸)或酸蒸气中以将聚合物裂解成PEO和PS片段(S.尤特(S.Yurt)等人,“二嵌段共聚物分裂为其组成嵌段(Scission of Diblock Copolymers into Their Constituent Blocks)”,高分子,2006,39,1670-1672)。随后可用水冲洗以移除经裂解PEO结构域34”。在其它实施例中,暴露于水以将PEO结构域吸引至表面,随后也可实施短暂氧(O2)等离子体蚀刻以移除PEO结构域。
如图15-15B中所示,随后可移除剩余聚合物基质36”且可用期望材料44”填充已在基板中形成的开口42”。
本发明方法的另一实施例利用热退火以及包含聚交酯(或聚乳酸)的圆柱相嵌段共聚物材料和制图外延法来形成垂直定向圆柱体存于聚合物基质中的单列1-D阵列。聚交酯嵌段共聚物材料的实例包括聚(苯乙烯)-b-聚(交酯)(或聚(乳酸))(PS-b-PLA)。
所述实施例可免除在沟槽底板上形成中性润湿材料,由此可减少处理步骤的数量。所述实施例也利用热退火方法,此可提供比利用溶剂退火更快速的处理。另外,使用聚乳酸(PLA)(生物可降解热塑性脂肪族聚酯)使得可相对容易地使PLA结构域显影和移除,从而形成穿过聚合物基质(例如PS等)的圆柱形空隙。使用对PS-b-PLA共聚物材料的次要嵌段(例如PLA嵌段)优先润湿的相同或高度类似材料来使沟槽表面(例如侧壁、端部、底板)结构化。
也可参照图10-15来描述本实施例。参照图10-10B,可自固有地对PLA嵌段优先润湿的材料形成基板10”和材料层16”,或在其它实施例中,可将优先润湿性材料施加至沟槽18”的表面上,并且使用相同或十分类似材料来界定沟槽的侧壁22”、端部24”和底板26”。例如,对PS-b-PLA嵌段共聚物的PLA嵌段优先润湿的材料主要包括氧化物(例如氧化硅、SiOx)、硅(含有原生氧化物)、氮化硅、氧碳化硅、氧化铟锡(ITO)、氧氮化硅和抗蚀剂材料(例如基于甲基丙烯酸酯的抗蚀剂)。
在本实施例中,沟槽18”经结构化,其宽度(wt)为PS-b-PLA共聚物材料的约1.5*L值、长度(lt)为或约为nLo(其中,n=圆柱体数量),并且深度(Dt)大于L(Dt>L),从而使得浇注至沟槽中的厚度约为所述共聚物材料的固有L值的圆柱相嵌段共聚物(或掺合物)可在退火后自组装成与沟槽的长度(lt)一致的n个圆柱体的单一层,所述圆柱体的直径为或约为0.5*L,并且相邻圆柱体的中心-中心距离(p)为或约为L。
可使固有间距为或约为L的圆柱相PS-b-PLA嵌段共聚物材料28”(或三嵌段或多嵌段共聚物或与均聚物的掺合物)沉积至沟槽18”中,如图11-11B中所示。例如,PS-b-PLA共聚物材料(L=49nm)可由约71%的PS和29%的PLA组成,其总分子量(Mn)约为60.5kg/mol,从而形成存于PS基质中的约27nm直径的圆柱形PLA结构域。
在将共聚物材料28”浇注至沟槽18”中后,两种聚合物嵌段(例如PLA和PS)往往会均等地润湿空气界面46”,并且次要(例如PLA)嵌段可优先润湿沟槽的表面22”、24”、26”以在每一沟槽表面上形成薄润湿层34a”,如图12-12B中所示。现参见图16-16B,在本实施例中,润湿层34a”’是PLA 48a”’与PS 48b”’形成的双层。润湿层中的PS 48b”’部分(以虚线---绘示)与总体PS基质36”’保持连续,如图所示。
嵌段共聚物材料28”’的热退火以及沟槽18”’的宽度(wt)、优先润湿性沟槽表面22”’、24”’、26”’和嵌段共聚物组合物所提供的约束可使次要聚合物嵌段(例如PLA嵌段)自组装,从而形成存于主要聚合物嵌段(例如PS)基质36”’内的单列垂直定向圆柱形结构域34”’,以及沿沟槽表面22”’、24”’、26”’的PLA 48a”’/PS 48b”’双层。在某些实施例中,嵌段共聚物材料28”’可如先前所述经“区域性退火”。如图16A-16B中所示,PLA圆柱形结构域34”’自空气界面46”’延伸至由PLA/PS双层48a”’/48b”’组成的润湿层34a”’,所述润湿层34a”’在沟槽底板26”’处覆盖基板10”’表面。与PLA层48a”’共价键结的PS层48b”’与PS嵌段(基质26”’)接触,所述PS嵌段继而与PLA圆柱体结构域34”’共价键结。
经退火聚合物材料30”’的聚合物片段(例如PS基质36”’)可任选地经交联,并且随后可任选地移除沟槽外表面上的任何未结构化聚合物材料28a”’,如图16-16B中所绘示。
随后可根据需要进一步处理聚合物材料30”’以(例如)形成用于在基板10”’中蚀刻开口42’的掩模。例如,如图17-17B中所示,可使用(例如)UV暴露和乙酸洗涤或含有氢氧化钠的水性甲醇混合物选择性地移除PLA圆柱体34”’,从而形成延伸穿过PS基质的圆柱形开口40”’。由于PLA/PS双层48a”’、48b”’覆盖沟槽底板,故开口40”’并非一直延伸至基板10”’表面的沟槽底板26”’处。如图18-18B中所绘示,可实施(例如)RIE蚀刻方法(箭头↓)以移除双层材料并暴露开口40”’内的沟槽底板26”’和基板10”’。如图所示,RIE蚀刻可使基质(掩模)36”’变薄,但未达到显著的程度。
现参照图14-14B,随后可使用基质30”作为掩模在基板中蚀刻向下到达有效区(例如导线12”)或到达半导电区域等的圆柱形开口42”。随后可移除蚀刻掩模36”的剩余部分并可根据需要填充开口42”,如图15-15B所述。
在另一实施例中,所构造沟槽的宽度(wt)为嵌段共聚物的约1.75-2.5*L,从而使得在退火后约L的嵌段共聚物材料或掺合物可自组装成两列垂直圆柱体,其中各圆柱体偏移以形成Z字形图案,并且相邻圆柱体之间的中心-中心间距距离为或约为L的一半(约0.5*L)。例如,参照图19-19B,在使用L(间距)值为约40nm的圆柱体形成性嵌段共聚物材料或或掺合物时,所构造沟槽18可具有约70-100nm宽的宽度(wt)(或等于1+((3的平方根)/2)*L)。沟槽的长度(lt)可为或约为[1+0.5(n-1)]*L,其中n等于沟槽中圆柱体的数量。对于采用嵌段共聚物热退火的实施例(例如图2-8)来说,沟槽18””的深度(Dt)可大于L(Dt>L);或对于利用溶剂退火方法的实施例(例如图11-14)来说,所述深度(Dt)可为或约为L(Dt约为L)。
任选地,端部24””可如图20中的虚线50所绘示具有一角度或斜角。沟槽18””的尺寸可(例如)为约70-100nm宽(wt)、约100-25,000nm长(lt)和约40-200nm深(Dt)。
可使上述圆柱相嵌段共聚物中的任一者(例如PS-b-PMMA、PS-b-PEO、PS-b-PLA等)沉积于沟槽18””内,并如前所述实施热退火或溶剂退火。
制造在侧壁22””、端部24””和沟槽底板26””上具有适当中性或优先润湿表面的沟槽18””从而促使嵌段共聚物在退火后自组装成垂直定向圆柱体34””,如图20-20B中所绘示。所得圆柱体34””是以平行于侧壁22””的两列交错式布置来形成,其中一列内相邻圆柱体34””的中心-中心间距距离(p)为或约为0.5*L。图20B展示两列圆柱体相对于下伏导线12””的示意性横截面正视图。随后可处理自组装聚合物膜以通过移除圆柱体结构域34””(例如PMMA)并在下伏基板10””上留下具有圆柱形开口40””的聚合物基质36””(例如PS)而形成掩模(图21-21B),基板10””随后可经蚀刻以形成到达“经包埋”有效区(例如导线12””)的开口42””(以假想线显示)并且随后可用期望材料44””(例如金属)填充(图22-22B)开口42””,从而形成(例如)到达下伏导线12””的触点。在某些实施例中,导线12””的特征大小小于圆柱体34””的直径(例如小约50%),从而使得圆柱体34””的直径与随后所形成的圆柱形开口42””之间存在差异,以避免因相邻圆柱体的直径重叠而发生电短路。
对于给定的嵌段共聚物间距L来说,与利用单列圆柱体的实施例(例如图6)相比,在两列圆柱体呈偏移布置的本实施例中,可在基板中蚀刻到达经包埋导线12””的接触开口42””的更密集阵列。由于触点44””偏移,故各触点44””可连接至单一导线12””,从而单独定址所述导线。
本揭示内容的方法提供产生自组装二嵌段共聚物膜的方式,所述膜是由存于聚合物基质中的垂直定向圆柱体组成。与电子束光刻技术、EUV光学光刻技术或习用光学光刻技术相比,所述方法可以更低成本制备纳米级的有序化对齐元件。习用光学光刻技术难以制备通过本发明所产生和可获得的特征大小。所述方法和系统可容易地用于并纳入现有半导体制造流程中并提供用于制造微小结构的低成本、高通量技术。
虽然本文中已阐释并说明了多个具体实施例,但所属领域技术人员应了解,可用经计算可达成相同目的的任一布置来替代所示具体实施例。此申请案意欲涵盖根据所述本发明原理运作的任何调整或改变。因此,本发明意欲仅受限于权利要求书和其等效内容。本申请案中所引用专利、参考文献和出版物的揭示内容都是以引用方式并入本文中。

Claims (40)

1.一种在基板上形成纳米结构化聚合物材料的方法,其包含:
在所述基板上的材料层中于沟槽内形成自组装嵌段共聚物材料,所述沟槽具有中性润湿性底板、对所述嵌段共聚物的次要嵌段优先润湿的相对侧壁和端部;和
使所述嵌段共聚物材料退火,从而使得所述嵌段共聚物材料自组装成所述嵌段共聚物的第一嵌段存于所述嵌段共聚物第二嵌段基质内的圆柱形结构域,所述自组装嵌段共聚物材料具有一定厚度,并且所述圆柱形聚合物结构域垂直于所述沟槽底板定向并以沿所述沟槽长度的单一阵列延伸穿过所述自组装嵌段共聚物材料的厚度。
2.如权利要求1所述的方法,其中所述嵌段共聚物包含聚苯乙烯和聚甲基丙烯酸甲酯。
3.如权利要求1所述的方法,其中所述嵌段共聚物包含所述嵌段共聚物与所述第一聚合物嵌段、所述第二聚合物嵌段或二者的均聚物的掺合物。
4.如权利要求1所述的方法,其中所述沟槽的宽度为约L至约1.5XL,长度为约nL并且深度大于约L。
5.如权利要求1所述的方法,其中所述中性润湿性底板包含无规共聚物材料。
6.如权利要求1所述的方法,其中所述中性润湿性底板包含氢末端硅。
7.如权利要求1所述的方法,其中所述中性润湿性底板包含接枝至氧化物的3-(对甲氧基苯基)丙基三氯硅烷。
8.如权利要求1所述的方法,其另外包含在于所述沟槽内形成所述嵌段共聚物材料之前,
在所述基板上形成所述材料层;
在所述材料层内形成所述沟槽;和
在所述沟槽的所述底板上形成所述中性润湿性材料。
9.如权利要求1所述的方法,其另外包含在于所述沟槽内形成所述嵌段共聚物材料之前,
在所述基板的表面上形成所述中性润湿性材料;
在所述中性润湿性材料上形成所述材料层;和
在所述材料层内形成所述沟槽以暴露位于所述沟槽底板处的所述中性润湿性材料。
10.如权利要求1所述的方法,其中所述沟槽的所述侧壁和端部包含选自由以下组成的群组的材料:氧化物、氮化硅、氧碳化硅、氧氮化硅、氧化铟锡(ITO)、甲基丙烯酸酯抗蚀剂和聚二甲基戊二酰亚胺抗蚀剂。
11.如权利要求1所述的方法,其中使所述嵌段共聚物材料退火包含热退火。
12.如权利要求1所述的方法,其中使所述嵌段共聚物材料退火包含溶剂退火。
13.如权利要求1所述的方法,其另外包含在退火后选择性地使所述第二聚合物嵌段的结构域交联。
14.如权利要求1所述的方法,其另外包含选择性地移除所述第一聚合物嵌段以形成穿过所述第二聚合物嵌段的基质延伸的圆柱形开口。
15.如权利要求13所述的方法,其另外包含透过所述开口蚀刻所述基板的未遮蔽部分。
16.一种在基板上形成聚合物层的方法,其包含:
在所述基板上的材料层中于沟槽中沉积圆柱相嵌段共聚物材料至厚度约为所述沟槽中所述嵌段共聚物的L值或更大,所述沟槽具有对所述嵌段共聚物的第一嵌段优先润湿的侧壁、端部和底板;和
使所述嵌段共聚物材料溶剂退火,从而使得所述嵌段共聚物材料自组装成所述嵌段共聚物的第一嵌段存于所述嵌段共聚物第二嵌段基质内的圆柱形结构域,所述自组装嵌段共聚物材料具有一定厚度,并且所述圆柱形聚合物结构域垂直于所述沟槽底板定向并以沿所述沟槽长度的单一阵列穿过所述自组装嵌段共聚物材料的所述厚度延伸。
17.如权利要求16所述的方法,其中使所述嵌段共聚物材料溶剂退火包含使用饱和溶剂蒸气分压的热退火。
18.如权利要求16所述的方法,其中所述嵌段共聚物包含聚苯乙烯和聚氧化乙烯。
19.如权利要求18所述的方法,其中所述嵌段共聚物包含可裂解PS-b-PEO。
20.如权利要求16所述的方法,其中所述沟槽的宽度为所述嵌段共聚物L值的约1-1.5倍。
21.一种在基板上形成聚合物层的方法,其包含:
在所述基板上的材料层中于沟槽中沉积圆柱相嵌段共聚物材料至厚度约为所述沟槽中所述嵌段共聚物的L值,所述沟槽具有对所述嵌段共聚物的第一嵌段优先润湿的侧壁、端部和底板;和
使所述嵌段共聚物材料热退火,从而使得所述嵌段共聚物材料自组装成所述嵌段共聚物的第一嵌段存于所述嵌段共聚物第二嵌段基质内的圆柱形结构域,所述自组装嵌段共聚物材料具有一定厚度,并且所述圆柱形聚合物结构域垂直于所述沟槽底板定向并穿过所述自组装嵌段共聚物的所述厚度延伸。
22.如权利要求21所述的方法,其中所述嵌段共聚物包含聚苯乙烯和聚交酯。
23.如权利要求21所述的方法,其中所述沟槽的宽度约为所述嵌段共聚物L值至约1-1.5*L。
24.如权利要求21所述的方法,其中所述沟槽的所述侧壁、端部和底板包含氧化硅。
25.如权利要求22所述的方法,其中所述嵌段共聚物在所述沟槽的所述侧壁、端部和底板上形成润湿层,所述润湿层包含聚交酯与聚苯乙烯双层;
所述方法另外包含在退火后:
选择性地移除所述第一聚合物嵌段以形成穿过所述第二聚合物嵌段的基质延伸的圆柱形开口;和
蚀刻以移除所述开口内所述沟槽底板上的双层,从而暴露所述基板。
26.如权利要求25所述的方法,其另外包含透过所述开口蚀刻所述基板的暴露部分。
27.一种蚀刻基板的方法,其包含:
使位于覆盖所述基板的材料层的沟槽中的嵌段共聚物材料退火,所述沟槽具有对所述嵌段共聚物的次要嵌段优先润湿的相对侧壁和端部、底板、宽度和长度,其中所述嵌段共聚物材料形成所述次要聚合物嵌段存于主要聚合物嵌段基质中的单一阵列垂直定向圆柱体,所述经退火嵌段共聚物材料具有一定厚度,并且所述圆柱体穿过所述经退火嵌段共聚物的所述厚度延伸;
选择性地移除所述聚合物嵌段中的一者以形成暴露所述基板的开口;和
蚀刻所述基板的暴露部分以在其中形成开口。
28.如权利要求27所述的方法,其另外包含在移除所述聚合物嵌段中的一者之前选择性地使所述聚合物嵌段中的另一者交联。
29.如权利要求27所述的方法,其中所述沟槽底板具有中性润湿性。
30.如权利要求27所述的方法,其中所述沟槽底板具有优先润湿性。
31.如权利要求30所述的方法,其中所述嵌段共聚物材料选自由以下组成的群组:包含聚苯乙烯和聚氧化乙烯的嵌段共聚物、和包含聚苯乙烯和聚交酯的嵌段共聚物。
32.如权利要求27所述的方法,其中所述蚀刻在所述基板中形成对齐的、可定址的亚光刻开口的图案。
33.如权利要求27所述的方法,其另外包含用填充材料填充所述基板中的所述开口。
34.如权利要求33所述的方法,其中所述填充材料包含金属、金属合金和金属/绝缘体/金属堆叠。
35.一种蚀刻基板的方法,其包含:
在覆盖所述基板的材料层中的沟槽内形成嵌段共聚物材料,所述沟槽具有对所述嵌段共聚物的次要聚合物嵌段优先润湿的相对侧壁和端部、底板、宽度和长度;
使所述嵌段共聚物材料发生微相分离,以在所述沟槽内形成存于第二聚合物嵌段基质中的由第一聚合物嵌段组成的圆柱形结构域,所述圆柱形聚合物结构域垂直于所述沟槽底板定向,并以沿所述沟槽长度延伸的单一阵列与所述侧壁对齐;
任选地,选择性地使所述聚合物基质交联;
选择性地移除所述圆柱形聚合物结构域以形成穿过所述聚合物基质的开口;和
透过所述聚合物基质中的所述开口蚀刻所述基板。
36.一种在基板上形成纳米结构化聚合物材料的方法,其包含:
在所述基板上的材料层中于沟槽内形成自组装嵌段共聚物材料,所述沟槽具有中性润湿性底板、对所述嵌段共聚物的次要嵌段优先润湿的相对侧壁和端部;和
使所述嵌段共聚物材料退火,从而使得所述嵌段共聚物材料自组装成所述嵌段共聚物的第一嵌段存于所述嵌段共聚物第二嵌段基质内的圆柱形结构域,所述自组装嵌段共聚物材料具有一定厚度,并且所述圆柱形聚合物结构域垂直于所述沟槽底板定向并延伸穿过所述自组装嵌段共聚物材料的所述厚度;
其中所述圆柱形聚合物结构域沿所述沟槽的长度呈两列,其中相邻圆柱形结构域沿平行于所述沟槽侧壁的方向以Z字形布置彼此偏移,其中心-中心距离约为所述嵌段共聚物的L值的一半。
37.一种聚合材料,其位于覆盖基板的材料层中的沟槽内,所述沟槽具有侧壁、端部、底板、宽度和长度,所述聚合材料包含自组装嵌段共聚物材料,所述自组装嵌段共聚物材料包含次要聚合物嵌段存于主要聚合物嵌段基质中的圆柱形聚合物结构域,所述圆柱形聚合物结构域垂直于所述沟槽底板定向并沿所述沟槽的长度以单一阵列延伸。
38.如权利要求37所述的聚合材料,其中所述沟槽具有中性润湿性底板和包含对所述次要聚合物嵌段优先润湿的材料的侧壁和端部。
39.如权利要求37所述的聚合材料,其中所述沟槽具有包含对所述次要聚合物嵌段优先润湿的材料的底板、侧壁和端部。
40.一种聚合材料,其位于覆盖基板的材料层中的沟槽内,所述沟槽具有侧壁、端部、底板、宽度和长度,所述聚合材料包含自组装嵌段共聚物材料,所述自组装嵌段共聚物材料包含次要聚合物嵌段存于主要聚合物嵌段基质中的圆柱形聚合物结构域;
其中所述圆柱形聚合物结构域垂直于所述沟槽的底板定向并沿所述沟槽的长度呈两列,其中相邻圆柱形结构域沿平行于所述沟槽侧壁的方向以Z字形布置彼此偏移,其中心-中心距离约为所述嵌段共聚物的L值的一半。
CN2009801050240A 2008-02-13 2009-01-27 嵌段共聚物圆柱体的一维阵列和其应用 Active CN101952195B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US12/030,562 2008-02-13
US12/030,562 US8101261B2 (en) 2008-02-13 2008-02-13 One-dimensional arrays of block copolymer cylinders and applications thereof
PCT/US2009/032130 WO2009102551A2 (en) 2008-02-13 2009-01-27 One-dimensional arrays of block copolymer cylinders and applications thereof

Publications (2)

Publication Number Publication Date
CN101952195A true CN101952195A (zh) 2011-01-19
CN101952195B CN101952195B (zh) 2012-11-28

Family

ID=40938191

Family Applications (1)

Application Number Title Priority Date Filing Date
CN2009801050240A Active CN101952195B (zh) 2008-02-13 2009-01-27 嵌段共聚物圆柱体的一维阵列和其应用

Country Status (6)

Country Link
US (2) US8101261B2 (zh)
EP (1) EP2262721A2 (zh)
KR (1) KR101262460B1 (zh)
CN (1) CN101952195B (zh)
TW (1) TWI462871B (zh)
WO (1) WO2009102551A2 (zh)

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN103187245A (zh) * 2011-12-30 2013-07-03 中芯国际集成电路制造(上海)有限公司 一种通过定向自组装嵌段共聚物的光刻方法
CN103408783A (zh) * 2013-04-24 2013-11-27 南京工业大学 一种具有规整垂直孔道多孔膜的制备方法
CN104598679A (zh) * 2015-01-14 2015-05-06 中国石油大学(华东) 一种自组装纳米卷状结构的制备方法及其模拟验证方法
CN105731371A (zh) * 2014-12-30 2016-07-06 罗门哈斯电子材料有限责任公司 用于定向自组装的共聚物配制品、其制造方法以及包含其的物件
CN108231984A (zh) * 2018-01-31 2018-06-29 华南理工大学 一种相分离手段实现的钙钛矿图案化膜片及其制作方法
CN110120335A (zh) * 2012-11-13 2019-08-13 台湾积体电路制造股份有限公司 使用定向自组装的光刻工艺

Families Citing this family (76)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8394483B2 (en) 2007-01-24 2013-03-12 Micron Technology, Inc. Two-dimensional arrays of holes with sub-lithographic diameters formed by block copolymer self-assembly
US7767099B2 (en) * 2007-01-26 2010-08-03 International Business Machines Corporaiton Sub-lithographic interconnect patterning using self-assembling polymers
US8083953B2 (en) 2007-03-06 2011-12-27 Micron Technology, Inc. Registered structure formation via the application of directed thermal energy to diblock copolymer films
US8557128B2 (en) 2007-03-22 2013-10-15 Micron Technology, Inc. Sub-10 nm line features via rapid graphoepitaxial self-assembly of amphiphilic monolayers
US8294139B2 (en) 2007-06-21 2012-10-23 Micron Technology, Inc. Multilayer antireflection coatings, structures and devices including the same and methods of making the same
US8097175B2 (en) 2008-10-28 2012-01-17 Micron Technology, Inc. Method for selectively permeating a self-assembled block copolymer, method for forming metal oxide structures, method for forming a metal oxide pattern, and method for patterning a semiconductor structure
US7959975B2 (en) 2007-04-18 2011-06-14 Micron Technology, Inc. Methods of patterning a substrate
US8372295B2 (en) 2007-04-20 2013-02-12 Micron Technology, Inc. Extensions of self-assembled structures to increased dimensions via a “bootstrap” self-templating method
US8404124B2 (en) 2007-06-12 2013-03-26 Micron Technology, Inc. Alternating self-assembling morphologies of diblock copolymers controlled by variations in surfaces
US8080615B2 (en) 2007-06-19 2011-12-20 Micron Technology, Inc. Crosslinkable graft polymer non-preferentially wetted by polystyrene and polyethylene oxide
US8999492B2 (en) 2008-02-05 2015-04-07 Micron Technology, Inc. Method to produce nanometer-sized features with directed assembly of block copolymers
US8101261B2 (en) 2008-02-13 2012-01-24 Micron Technology, Inc. One-dimensional arrays of block copolymer cylinders and applications thereof
US8426313B2 (en) 2008-03-21 2013-04-23 Micron Technology, Inc. Thermal anneal of block copolymer films with top interface constrained to wet both blocks with equal preference
US8425982B2 (en) 2008-03-21 2013-04-23 Micron Technology, Inc. Methods of improving long range order in self-assembly of block copolymer films with ionic liquids
US8114300B2 (en) * 2008-04-21 2012-02-14 Micron Technology, Inc. Multi-layer method for formation of registered arrays of cylindrical pores in polymer films
US8114301B2 (en) 2008-05-02 2012-02-14 Micron Technology, Inc. Graphoepitaxial self-assembly of arrays of downward facing half-cylinders
JP2010115832A (ja) * 2008-11-12 2010-05-27 Panasonic Corp ブロックコポリマーの自己組織化促進方法及びそれを用いたブロックコポリマーの自己組織化パターン形成方法
IT1392754B1 (it) * 2008-12-18 2012-03-16 St Microelectronics Srl Nanoarray ad incrocio con strato organico attivo anisotropico
US8361704B2 (en) 2009-01-12 2013-01-29 International Business Machines Corporation Method for reducing tip-to-tip spacing between lines
US8398868B2 (en) * 2009-05-19 2013-03-19 International Business Machines Corporation Directed self-assembly of block copolymers using segmented prepatterns
US8114306B2 (en) * 2009-05-22 2012-02-14 International Business Machines Corporation Method of forming sub-lithographic features using directed self-assembly of polymers
TWI404753B (zh) * 2009-07-03 2013-08-11 Nat Univ Tsing Hua 利用團聯共聚物模板製造奈米材料之方法
WO2011094597A2 (en) * 2010-02-01 2011-08-04 The Regents Of The University Of California Graphene nanomesh and method of making the same
US8486611B2 (en) 2010-07-14 2013-07-16 Micron Technology, Inc. Semiconductor constructions and methods of forming patterns
JP5300799B2 (ja) * 2010-07-28 2013-09-25 株式会社東芝 パターン形成方法及びポリマーアロイ下地材料
US8304493B2 (en) 2010-08-20 2012-11-06 Micron Technology, Inc. Methods of forming block copolymers
US8232211B1 (en) * 2011-01-20 2012-07-31 International Business Machines Corporation Methods for self-aligned self-assembled patterning enhancement
KR20120126725A (ko) * 2011-05-12 2012-11-21 에스케이하이닉스 주식회사 반도체 소자의 형성 방법
US20140178582A1 (en) 2011-08-22 2014-06-26 Dow Global Technologies Llc Composite membrane formed from polymer blend including self-assembling block copolymers
CN102320557A (zh) * 2011-09-08 2012-01-18 中国科学院研究生院 在基底上制备六角网络点阵分布的金属纳米颗粒的方法
CN103094095B (zh) * 2011-10-28 2015-10-21 中芯国际集成电路制造(北京)有限公司 制造半导体器件的方法
US8900963B2 (en) 2011-11-02 2014-12-02 Micron Technology, Inc. Methods of forming semiconductor device structures, and related structures
SG11201404416RA (en) 2012-02-10 2014-08-28 Univ Texas Polyactide/silicon-containing block copolymers for nanolithography
WO2013126238A1 (en) 2012-02-21 2013-08-29 Dow Global Technologies Llc Composite membrane
JP5891075B2 (ja) * 2012-03-08 2016-03-22 東京応化工業株式会社 ブロックコポリマー含有組成物及びパターンの縮小方法
US9005877B2 (en) 2012-05-15 2015-04-14 Tokyo Electron Limited Method of forming patterns using block copolymers and articles thereof
JP5835123B2 (ja) * 2012-06-21 2015-12-24 Jsr株式会社 パターン形成用自己組織化組成物及びパターン形成方法
JP6239813B2 (ja) 2012-07-18 2017-11-29 株式会社Screenセミコンダクターソリューションズ 基板処理装置および基板処理方法
JP2014033051A (ja) * 2012-08-02 2014-02-20 Toshiba Corp パターン形成方法及び半導体装置の製造方法
US9087699B2 (en) 2012-10-05 2015-07-21 Micron Technology, Inc. Methods of forming an array of openings in a substrate, and related methods of forming a semiconductor device structure
EP2733533B1 (en) * 2012-11-14 2018-02-28 IMEC vzw Etching method using block-copolymers
KR101993255B1 (ko) * 2013-01-07 2019-06-26 삼성전자주식회사 콘택 홀 형성 방법
US9312220B2 (en) 2013-03-12 2016-04-12 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and method for a low-K dielectric with pillar-type air-gaps
US8999623B2 (en) 2013-03-14 2015-04-07 Wiscousin Alumni Research Foundation Degradable neutral layers for block copolymer lithography applications
US8980538B2 (en) 2013-03-14 2015-03-17 Tokyo Electron Limited Chemi-epitaxy in directed self-assembly applications using photo-decomposable agents
US20140273534A1 (en) 2013-03-14 2014-09-18 Tokyo Electron Limited Integration of absorption based heating bake methods into a photolithography track system
US8975009B2 (en) 2013-03-14 2015-03-10 Tokyo Electron Limited Track processing to remove organic films in directed self-assembly chemo-epitaxy applications
US9147574B2 (en) 2013-03-14 2015-09-29 Tokyo Electron Limited Topography minimization of neutral layer overcoats in directed self-assembly applications
US9136110B2 (en) 2013-03-15 2015-09-15 Tokyo Electron Limited Multi-step bake apparatus and method for directed self-assembly lithography control
US9229328B2 (en) 2013-05-02 2016-01-05 Micron Technology, Inc. Methods of forming semiconductor device structures, and related semiconductor device structures
WO2015018590A1 (en) * 2013-08-06 2015-02-12 Asml Netherlands B.V. Method of designing lithography features by self-assembly of block copolymer
KR102394994B1 (ko) 2013-09-04 2022-05-04 도쿄엘렉트론가부시키가이샤 유도 자기 조립용 화학 템플릿을 생성하기 위한 경화 포토레지스트의 자외선을 이용한 박리
US9177795B2 (en) 2013-09-27 2015-11-03 Micron Technology, Inc. Methods of forming nanostructures including metal oxides
US9625815B2 (en) 2013-09-27 2017-04-18 Intel Corporation Exposure activated chemically amplified directed self-assembly (DSA) for back end of line (BEOL) pattern cutting and plugging
US9793137B2 (en) 2013-10-20 2017-10-17 Tokyo Electron Limited Use of grapho-epitaxial directed self-assembly applications to precisely cut logic lines
US9349604B2 (en) 2013-10-20 2016-05-24 Tokyo Electron Limited Use of topography to direct assembly of block copolymers in grapho-epitaxial applications
CN104812503B (zh) * 2013-11-25 2018-01-02 东京毅力科创株式会社 图案形成方法和加热装置
US9184058B2 (en) * 2013-12-23 2015-11-10 Micron Technology, Inc. Methods of forming patterns by using a brush layer and masks
FR3021321B1 (fr) * 2014-05-26 2016-06-03 Commissariat Energie Atomique Procede de realisation de motifs par auto-assemblage de copolymeres a blocs
US10410914B2 (en) * 2014-05-28 2019-09-10 Asml Netherlands B.V. Methods for providing lithography features on a substrate by self-assembly of block copolymers
KR102270752B1 (ko) 2014-08-11 2021-07-01 삼성전자주식회사 반도체 소자의 미세 패턴 형성 방법
US9385129B2 (en) * 2014-11-13 2016-07-05 Tokyo Electron Limited Method of forming a memory capacitor structure using a self-assembly pattern
KR102335109B1 (ko) * 2014-12-15 2021-12-03 삼성전자 주식회사 미세 패턴 형성 방법 및 이를 이용한 집적회로 소자의 제조 방법
US11021630B2 (en) 2014-12-30 2021-06-01 Rohm And Haas Electronic Materials Llc Copolymer formulation for directed self assembly, methods of manufacture thereof and articles comprising the same
US9305834B1 (en) * 2014-12-30 2016-04-05 GlobalFoundries, Inc. Methods for fabricating integrated circuits using designs of integrated circuits adapted to directed self-assembly fabrication to form via and contact structures
US10011713B2 (en) 2014-12-30 2018-07-03 Dow Global Technologies Llc Copolymer formulation for directed self assembly, methods of manufacture thereof and articles comprising the same
US10294359B2 (en) 2014-12-30 2019-05-21 Rohm And Haas Electronic Materials Llc Copolymer formulation for directed self assembly, methods of manufacture thereof and articles comprising the same
TWI588200B (zh) 2015-02-26 2017-06-21 羅門哈斯電子材料有限公司 用於定向自組裝的共聚物調配物、其製造方法以及包括其的物件
TWI612379B (zh) 2015-02-26 2018-01-21 Rohm And Haas Electronic Materials Llc 用於定向自組裝的共聚物調配物、其製造方法以及包括其的物件
TWI627219B (zh) 2015-02-26 2018-06-21 羅門哈斯電子材料有限公司 用於定向自組裝的共聚物調配物、其製造方法以及包括其的物件
TWI669337B (zh) 2015-02-26 2019-08-21 美商羅門哈斯電子材料有限公司 用於定向自組裝的共聚物調配物、其製造方法以及包括其的物件
KR102402958B1 (ko) * 2015-11-11 2022-05-27 삼성전자주식회사 반도체 장치의 패턴 형성 방법 및 반도체 장치의 제조 방법
US10056265B2 (en) 2016-03-18 2018-08-21 Taiwan Semiconductor Manufacturing Co., Ltd. Directed self-assembly process with size-restricted guiding patterns
US9947597B2 (en) 2016-03-31 2018-04-17 Tokyo Electron Limited Defectivity metrology during DSA patterning
KR101913146B1 (ko) * 2016-06-16 2018-11-01 주식회사 엘지화학 양친성 트리블록 고분자
KR102308953B1 (ko) * 2017-03-10 2021-10-05 주식회사 엘지화학 패턴화 기판의 제조 방법

Family Cites Families (273)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4623674A (en) 1985-06-21 1986-11-18 Union Carbide Corporation Polymer/polyols of substituted styrenes and polyurethanes made therefrom
US4877647A (en) 1986-04-17 1989-10-31 Kansas State University Research Foundation Method of coating substrates with solvated clusters of metal particles
US4797357A (en) 1986-05-30 1989-01-10 Eastman Kodak Company Light-stable reducible compounds and analytical compositions, elements and methods utilizing same
US5328810A (en) 1990-05-07 1994-07-12 Micron Technology, Inc. Method for reducing, by a factor or 2-N, the minimum masking pitch of a photolithographic process
US5354489A (en) 1990-08-30 1994-10-11 Asahi Kasei Kogyo Kabushiki Kaisha Method for changing the viscosity of a fluid comprising a liquid crystal compound
US5622668A (en) 1992-02-07 1997-04-22 The United States Of America As Represented By The Secretary Of The Air Force Method for preparing oriented polymer structures and said structures
DE69315030D1 (de) 1992-08-07 1997-12-11 Fujikura Kasei Kk Elektrosensitive Zusammensetzung
US5382373A (en) 1992-10-30 1995-01-17 Lord Corporation Magnetorheological materials based on alloy particles
DE69313132T2 (de) 1992-11-25 1997-12-11 Hoechst Celanese Corp Metallionenreduzierung in antireflexunterschichten für photoresist
US5482656A (en) 1993-03-04 1996-01-09 Kabushiki Kaisha Toshiba Non-linear optical devices employing a polysilane composition and a polysilane composition therefor
TW272976B (zh) 1993-08-06 1996-03-21 Ciba Geigy Ag
US5512131A (en) 1993-10-04 1996-04-30 President And Fellows Of Harvard College Formation of microstamped patterns on surfaces and derivative articles
US6776094B1 (en) 1993-10-04 2004-08-17 President & Fellows Of Harvard College Kit For Microcontact Printing
US5538655A (en) 1994-06-29 1996-07-23 Arthur D. Little, Inc. Molecular complexes for use as electrolyte components
US5607824A (en) 1994-07-27 1997-03-04 International Business Machines Corporation Antireflective coating for microlithography
JPH0867893A (ja) 1994-08-19 1996-03-12 Lubrizol Corp:The 極性固体および有機半導体の電気流動性流体
US5620850A (en) 1994-09-26 1997-04-15 President And Fellows Of Harvard College Molecular recognition at surfaces derivatized with self-assembled monolayers
US5700902A (en) 1995-07-27 1997-12-23 Circe Biomedical, Inc. Block copolymers
EP0784543B1 (en) 1995-08-04 2000-04-26 International Business Machines Corporation Lithographic surface or thin layer modification
US5772905A (en) 1995-11-15 1998-06-30 Regents Of The University Of Minnesota Nanoimprint lithography
US6309580B1 (en) 1995-11-15 2001-10-30 Regents Of The University Of Minnesota Release surfaces, particularly for use in nanoimprint lithography
US5879853A (en) 1996-01-18 1999-03-09 Kabushiki Kaisha Toshiba Top antireflective coating material and its process for DUV and VUV lithography systems
US6096636A (en) 1996-02-06 2000-08-01 Micron Technology, Inc. Methods of forming conductive lines
DE69707325T2 (de) 1996-02-26 2002-05-02 Matsushita Electric Ind Co Ltd Bilderzeugungsmaterial und Verfahren
US6190949B1 (en) 1996-05-22 2001-02-20 Sony Corporation Silicon thin film, group of silicon single crystal grains and formation process thereof, and semiconductor device, flash memory cell and fabrication process thereof
US6143647A (en) 1997-07-24 2000-11-07 Intel Corporation Silicon-rich block copolymers to achieve unbalanced vias
JPH1081889A (ja) 1996-09-06 1998-03-31 Bridgestone Corp 電気粘性流体用粉体
US5904824A (en) 1997-03-07 1999-05-18 Beckman Instruments, Inc. Microfluidic electrophoresis device
US5958704A (en) 1997-03-12 1999-09-28 Ddx, Inc. Sensing system for specific substance and molecule detection
US5948470A (en) 1997-04-28 1999-09-07 Harrison; Christopher Method of nanoscale patterning and products made thereby
US6890624B1 (en) 2000-04-25 2005-05-10 Nanogram Corporation Self-assembled structures
US6368871B1 (en) 1997-08-13 2002-04-09 Cepheid Non-planar microstructures for manipulation of fluid samples
US6884842B2 (en) 1997-10-14 2005-04-26 Alnis Biosciences, Inc. Molecular compounds having complementary surfaces to targets
JP2003531083A (ja) 1997-12-09 2003-10-21 ザ・リージェンツ・オブ・ザ・ユニバーシティー・オブ・カリフォルニア メソ構造の無機酸化物材料のブロックポリマー処理方法
US6111323A (en) 1997-12-30 2000-08-29 International Business Machines Corporation Reworkable thermoplastic encapsulant
ES2211033T3 (es) 1998-01-07 2004-07-01 Debio Recherche Pharmaceutique S.A. Acrilatos de polietilenglicol heterobifuncionales degradables y geles y conjugados derivados de dichos acrilatos.
US7282240B1 (en) 1998-04-21 2007-10-16 President And Fellows Of Harvard College Elastomeric mask and use in fabrication of devices
US6310138B1 (en) 1998-06-05 2001-10-30 Asahi Kasei Kabushiki Kaisha Hydrogenated block copolymer and polypropylene resin composition containing the same
US7074498B2 (en) * 2002-03-22 2006-07-11 Borealis Technical Limited Influence of surface geometry on metal properties
US6897073B2 (en) 1998-07-14 2005-05-24 Zyomyx, Inc. Non-specific binding resistant protein arrays and methods for making the same
US6423410B1 (en) 1998-09-04 2002-07-23 Mds Proteomics, Inc. Ultrasonically generated paramagnetic polymer particles
US6713238B1 (en) 1998-10-09 2004-03-30 Stephen Y. Chou Microscale patterning and articles formed thereby
EP1141128B1 (en) 1998-11-24 2006-04-12 Dow Global Technologies Inc. A composition containing a cross-linkable matrix precursor and a poragen, and a porous matrix prepared therefrom
US6403382B1 (en) 1998-12-08 2002-06-11 Regents Of The University Of Minnesota Attachment chemistry for organic molecules to silicon
US6413587B1 (en) 1999-03-02 2002-07-02 International Business Machines Corporation Method for forming polymer brush pattern on a substrate surface
US6270946B1 (en) 1999-03-18 2001-08-07 Luna Innovations, Inc. Non-lithographic process for producing nanoscale features on a substrate
JP4127682B2 (ja) 1999-06-07 2008-07-30 株式会社東芝 パターン形成方法
JP3940546B2 (ja) 1999-06-07 2007-07-04 株式会社東芝 パターン形成方法およびパターン形成材料
JP4012173B2 (ja) 1999-06-07 2007-11-21 株式会社東芝 多孔質構造体の製造方法、多孔質構造体形成材料、パターン形成方法、パターン形成材料、電気化学セル、および中空糸フィルター
ATE481745T1 (de) 1999-07-02 2010-10-15 Harvard College Nanoskopischen draht enthaltende anordnung, logische felder und verfahren zu deren herstellung
US6312971B1 (en) 1999-08-31 2001-11-06 E Ink Corporation Solvent annealing process for forming a thin semiconductor film with advantageous properties
JP2001110801A (ja) 1999-10-05 2001-04-20 Takeshi Yao パターン形成方法、並びに電子素子、光学素子及び回路基板
US6998152B2 (en) 1999-12-20 2006-02-14 Micron Technology, Inc. Chemical vapor deposition methods utilizing ionic liquids
US6517933B1 (en) 2000-01-18 2003-02-11 Nano-Tex, Llc Hybrid polymer materials
US6423465B1 (en) 2000-01-28 2002-07-23 International Business Machines Corporation Process for preparing a patterned continuous polymeric brush on a substrate surface
US6573030B1 (en) 2000-02-17 2003-06-03 Applied Materials, Inc. Method for depositing an amorphous carbon layer
US7163712B2 (en) 2000-03-03 2007-01-16 Duke University Microstamping activated polymer surfaces
US6423474B1 (en) 2000-03-21 2002-07-23 Micron Technology, Inc. Use of DARC and BARC in flash memory processing
CA2404296A1 (en) 2000-03-22 2001-09-27 University Of Massachusetts Nanocylinder arrays
US7491286B2 (en) 2000-04-21 2009-02-17 International Business Machines Corporation Patterning solution deposited thin films with self-assembled monolayers
US6887332B1 (en) 2000-04-21 2005-05-03 International Business Machines Corporation Patterning solution deposited thin films with self-assembled monolayers
US7291284B2 (en) 2000-05-26 2007-11-06 Northwestern University Fabrication of sub-50 nm solid-state nanostructures based on nanolithography
US6503841B1 (en) 2000-07-07 2003-01-07 Agere Systems Inc. Oxide etch
US6414164B1 (en) 2000-07-12 2002-07-02 International Business Machines Corporation Synthesis of soluble derivatives of sexithiophene and their use as the semiconducting channels in thin-film filed-effect transistors
WO2002018080A1 (fr) 2000-08-03 2002-03-07 Upepo & Maji Inc. Composition de solution colloidale metallique et conducteur ou encre destine a la formation d'un motif semi-conducteur la renfermant, et procede de formation d'un motif conducteur ou semi-conducteur
JP3591827B2 (ja) 2000-08-11 2004-11-24 株式会社東芝 微細構造を有する成形体の製造方法
JP2002083949A (ja) 2000-09-07 2002-03-22 Nec Corp Cmosイメージセンサ及びその製造方法
US20020084429A1 (en) 2000-10-17 2002-07-04 Craighead Harold G. Electron-beam patterning of functionalized self-assembled monolayers
US6952436B2 (en) 2000-11-14 2005-10-04 Regents Of The University Of California Inorganic/block copolymer-dye composites and dye doped mesoporous materials for optical and sensing applications
US6358813B1 (en) 2000-11-15 2002-03-19 International Business Machines Corporation Method for increasing the capacitance of a semiconductor capacitors
NL1016779C2 (nl) 2000-12-02 2002-06-04 Cornelis Johannes Maria V Rijn Matrijs, werkwijze voor het vervaardigen van precisieproducten met behulp van een matrijs, alsmede precisieproducten, in het bijzonder microzeven en membraanfilters, vervaardigd met een dergelijke matrijs.
US6432811B1 (en) 2000-12-20 2002-08-13 Intel Corporation Method of forming structural reinforcement of highly porous low k dielectric films by Cu diffusion barrier structures
FR2818650B1 (fr) 2000-12-21 2003-02-07 Atofina Procede d'hydrogenation de copolymeres a blocs insatures et copolymeres a blocs hydrogenes
US6518194B2 (en) 2000-12-28 2003-02-11 Thomas Andrew Winningham Intermediate transfer layers for nanoscale pattern transfer and nanostructure formation
AU2002246978A1 (en) 2001-01-10 2002-07-24 Symyx Technologies, Inc. Polymer brushes for immobilizing molecules to a surface
US6566248B1 (en) 2001-01-11 2003-05-20 Advanced Micro Devices, Inc. Graphoepitaxial conductor cores in integrated circuit interconnects
US6913697B2 (en) * 2001-02-14 2005-07-05 Science & Technology Corporation @ Unm Nanostructured separation and analysis devices for biological membranes
WO2002073699A2 (en) 2001-03-14 2002-09-19 University Of Massachusetts Nanofabrication
US6537920B1 (en) 2001-03-16 2003-03-25 Advanced Micro Devices, Inc. Formation of vertical transistors using block copolymer lithography
WO2002079269A1 (en) 2001-03-30 2002-10-10 Uab Research Foundation Polymer formation in room temperature ionic liquids
AU2002307151A1 (en) 2001-04-06 2002-10-21 Carnegie Mellon University A process for the preparation of nanostructured materials
WO2002085639A1 (en) 2001-04-25 2002-10-31 The Trustees Of Columbia University In The City Of New York Edge transfer lithography
US6809210B2 (en) 2001-06-12 2004-10-26 Lucent Technologies Inc. Method of solvating a metal in an aromatic organic liquid
KR100448170B1 (ko) 2001-06-23 2004-09-10 주식회사 태평양 폴리에틸렌이민을 친수성 블록으로 갖고 폴리에스테르계고분자를 소수성 블록으로 갖는 양친성 생분해성 블록공중합체 및 이를 이용한 수용액 상에서의 고분자자기조합 회합체
WO2003007398A1 (en) 2001-07-09 2003-01-23 Plastic Logic Limited Progressive aligned deposition
US6444318B1 (en) 2001-07-17 2002-09-03 Surmodics, Inc. Self assembling monolayer compositions
DE10142691B4 (de) 2001-08-31 2006-04-20 Infineon Technologies Ag Verfahren zum Nachweis biochemischer Reaktionen sowie eine Vorrichtung hierfür
US6751491B2 (en) 2001-09-01 2004-06-15 M Biotech Inc Analyte measuring biosensor chip using image scanning system
DE10145747A1 (de) 2001-09-17 2003-04-03 Solvent Innovation Gmbh Ionische Flüssigkeiten
US20030108664A1 (en) 2001-10-05 2003-06-12 Kodas Toivo T. Methods and compositions for the formation of recessed electrical features on a substrate
US6746825B2 (en) 2001-10-05 2004-06-08 Wisconsin Alumni Research Foundation Guided self-assembly of block copolymer films on interferometrically nanopatterned substrates
US20030080472A1 (en) 2001-10-29 2003-05-01 Chou Stephen Y. Lithographic method with bonded release layer for molding small patterns
US20040058059A1 (en) 2001-11-07 2004-03-25 Linford Mathew Richard Funtionalized patterned surfaces
JP2005510436A (ja) 2001-11-21 2005-04-21 ユニバーシティー オブ マサチューセッツ メソポーラス材料および方法
JP3967114B2 (ja) 2001-11-22 2007-08-29 株式会社東芝 加工方法
US7087267B2 (en) 2001-11-29 2006-08-08 International Business Machines Corporation Materials and methods for immobilization of catalysts on surfaces and for selective electroless metallization
JP3782357B2 (ja) 2002-01-18 2006-06-07 株式会社東芝 半導体発光素子の製造方法
US7115305B2 (en) 2002-02-01 2006-10-03 California Institute Of Technology Method of producing regular arrays of nano-scale objects using nano-structured block-copolymeric materials
US6958572B2 (en) 2002-02-06 2005-10-25 Ut-Battelle Llc Controlled non-normal alignment of catalytically grown nanostructures in a large-scale synthesis process
AU2003210961A1 (en) 2002-02-11 2003-09-04 Rensselaer Polytechnic Institute Directed assembly of highly-organized carbon nanotube architectures
US7060774B2 (en) 2002-02-28 2006-06-13 Merck Patent Gesellschaft Prepolymer material, polymer material, imprinting process and their use
US6890703B2 (en) 2002-03-06 2005-05-10 International Business Machines Corporation Preparation of crosslinked particles from polymers having activatible crosslinking groups
US6946332B2 (en) 2002-03-15 2005-09-20 Lucent Technologies Inc. Forming nanoscale patterned thin film metal layers
US7807348B2 (en) 2002-03-20 2010-10-05 Wisconsin Alumni Research Foundation Optical imaging of nanostructured substrates
US20030178707A1 (en) 2002-03-21 2003-09-25 Abbott Donald C. Preplated stamped small outline no-lead leadframes having etched profiles
US6765030B2 (en) 2002-03-22 2004-07-20 The University Of North Carolina At Chapel Hill Methods of forming polymeric structures using carbon dioxide and polymeric structures formed therapy
US20040142578A1 (en) 2002-03-28 2004-07-22 Ulrich Wiesner Thin film nanostructures
US6872645B2 (en) 2002-04-02 2005-03-29 Nanosys, Inc. Methods of positioning and/or orienting nanostructures
US6656308B2 (en) 2002-04-22 2003-12-02 International Business Machines Corporation Process of fabricating a precision microcontact printing stamp
US7135241B2 (en) 2002-05-24 2006-11-14 Board Of Regents, The University Of Texas System Light-emitting block copolymers composition, process and use
US7307343B2 (en) 2002-05-30 2007-12-11 Air Products And Chemicals, Inc. Low dielectric materials and methods for making same
US6753250B1 (en) 2002-06-12 2004-06-22 Novellus Systems, Inc. Method of fabricating low dielectric constant dielectric films
US20030235930A1 (en) 2002-06-25 2003-12-25 Lucent Technologies Inc. Multi-impression nanofeature production
US6932934B2 (en) 2002-07-11 2005-08-23 Molecular Imprints, Inc. Formation of discontinuous films during an imprint lithography process
US6908861B2 (en) 2002-07-11 2005-06-21 Molecular Imprints, Inc. Method for imprint lithography using an electric field
US7077992B2 (en) 2002-07-11 2006-07-18 Molecular Imprints, Inc. Step and repeat imprint lithography processes
US7311943B2 (en) 2002-07-17 2007-12-25 Massachusetts Institute Of Technology Templated monolayer polymerization and replication
US20050008828A1 (en) 2002-07-25 2005-01-13 Trustees Of Stevens Institute Of Technology Patterned polymer microgel and method of forming same
US6957608B1 (en) 2002-08-02 2005-10-25 Kovio, Inc. Contact print methods
US20040125266A1 (en) 2002-10-30 2004-07-01 Akihiro Miyauchi Functioning substrate with a group of columnar micro pillars and its manufacturing method
US20040084298A1 (en) 2002-10-31 2004-05-06 Y.D. Yao Fabrication of nanocomposite thin films for high density magnetic recording media
US6949456B2 (en) 2002-10-31 2005-09-27 Asm Japan K.K. Method for manufacturing semiconductor device having porous structure with air-gaps
US6911400B2 (en) 2002-11-05 2005-06-28 International Business Machines Corporation Nonlithographic method to produce self-aligned mask, articles produced by same and compositions for same
BR0316048B1 (pt) 2002-11-07 2014-01-28 Copolímero com estrutura controlada e utilização de um copolímero
US6699797B1 (en) 2002-12-17 2004-03-02 Intel Corporation Method of fabrication of low dielectric constant porous metal silicate films
US6930034B2 (en) 2002-12-27 2005-08-16 International Business Machines Corporation Robust ultra-low k interconnect structures using bridge-then-metallization fabrication sequence
US20040124092A1 (en) 2002-12-30 2004-07-01 Black Charles T. Inorganic nanoporous membranes and methods to form same
US7078276B1 (en) 2003-01-08 2006-07-18 Kovio, Inc. Nanoparticles and method for making the same
US6940485B2 (en) 2003-01-15 2005-09-06 Xerox Corporation Flexible micron-thin display device
WO2004072334A2 (en) 2003-02-12 2004-08-26 Nantero, Inc. Nanofabric articles and methods of making the same
TWI323479B (en) 2003-02-12 2010-04-11 Nantero Inc Devices having horizontally-disposed nanofabric articles and methods of making the same
US7001795B2 (en) 2003-02-27 2006-02-21 Micron Technology, Inc. Total internal reflection (TIR) CMOS imager
TW582059B (en) 2003-03-11 2004-04-01 Ind Tech Res Inst Organic component, method for forming organic semiconductor layer with aligned molecules, and method for forming organic component
US7326514B2 (en) 2003-03-12 2008-02-05 Cornell Research Foundation, Inc. Organoelement resists for EUV lithography and methods of making the same
US7135523B2 (en) 2003-03-14 2006-11-14 Industrial Technology Research Institute Nanoscale helical microstructures and channels from chiral poly(L-lactide) block containing block copolymers
US6812132B2 (en) 2003-03-21 2004-11-02 Intel Corporation Filling small dimension vias using supercritical carbon dioxide
KR100618184B1 (ko) 2003-03-31 2006-08-31 비오이 하이디스 테크놀로지 주식회사 결정화 방법
US7112617B2 (en) 2003-04-22 2006-09-26 International Business Machines Corporation Patterned substrate with hydrophilic/hydrophobic contrast, and method of use
JP2004335962A (ja) 2003-05-12 2004-11-25 Seiko Epson Corp 薄膜パターン形成方法、デバイスとその製造方法及び電気光学装置並びに電子機器
US7632544B2 (en) 2003-05-20 2009-12-15 Industrial Technology Research Institute Nanopatterned templates from oriented degradable diblock copolymer thin films
EP1479738A1 (en) 2003-05-20 2004-11-24 DSM IP Assets B.V. Hydrophobic coatings comprising reactive nano-particles
US20060124467A1 (en) 2003-05-20 2006-06-15 Industrial Technology Research Institute Metal nanodot arrays and fabrication methods thereof
US6989426B2 (en) 2003-06-12 2006-01-24 The Hong Kong Polytechnic University Methods for producing di-block polymers
US7009227B2 (en) 2003-06-16 2006-03-07 Micron Technology, Inc. Photodiode structure and image pixel structure
US7045851B2 (en) 2003-06-20 2006-05-16 International Business Machines Corporation Nonvolatile memory device using semiconductor nanocrystals and method of forming same
GB2403847B (en) 2003-07-01 2005-11-16 Micron Technology Inc Optical channels for multi-level metal optical imagers and method for manufacturing same
US20050238889A1 (en) 2003-07-10 2005-10-27 Nancy Iwamoto Layered components, materials, methods of production and uses thereof
EP1511074B1 (en) 2003-08-01 2015-01-28 Imec A method for selective removal of high-K material
GB0318817D0 (en) 2003-08-11 2003-09-10 Univ Cambridge Tech Method of making a polymer device
US7361991B2 (en) 2003-09-19 2008-04-22 International Business Machines Corporation Closed air gap interconnect structure
CA2539436A1 (en) 2003-09-23 2005-09-01 Wisconsin Alumni Research Foundation Using liquid crystals to detect affinity microcontact printed biomolecules
US7374867B2 (en) 2003-10-06 2008-05-20 Intel Corporation Enhancing photoresist performance using electric fields
US7407887B2 (en) * 2003-10-16 2008-08-05 The Regents Of The University Of California Nanostructures, nanogrooves, and nanowires
US7862849B2 (en) 2003-10-17 2011-01-04 Massachusetts Institute Of Technology Nanocontact printing
US7122482B2 (en) 2003-10-27 2006-10-17 Molecular Imprints, Inc. Methods for fabricating patterned features utilizing imprint lithography
GB0325748D0 (en) 2003-11-05 2003-12-10 Koninkl Philips Electronics Nv A method of forming a patterned layer on a substrate
US7056757B2 (en) 2003-11-25 2006-06-06 Georgia Tech Research Corporation Methods of forming oxide masks with submicron openings and microstructures formed thereby
US7423164B2 (en) 2003-12-31 2008-09-09 Ut-Battelle, Llc Synthesis of ionic liquids
US6989324B2 (en) 2004-01-15 2006-01-24 The Regents Of The University Of California Fabrication method for arranging ultra-fine particles
US7056849B2 (en) 2004-01-16 2006-06-06 General Electric Company Nanoscale ordered composites of covalent ceramics for high-temperature structural applications via block-copolymer-assisted assembly and method of making
US7405147B2 (en) 2004-01-30 2008-07-29 International Business Machines Corporation Device and methodology for reducing effective dielectric constant in semiconductor devices
US7030495B2 (en) 2004-03-19 2006-04-18 International Business Machines Corporation Method for fabricating a self-aligned nanocolumnar airbridge and structure produced thereby
CN100429142C (zh) 2004-03-24 2008-10-29 哈尔滨工业大学 面向纳米微加工嵌段共聚物模板自组装形态调控方法
US20060013956A1 (en) 2004-04-20 2006-01-19 Angelescu Dan E Method and apparatus for providing shear-induced alignment of nanostructure in thin films
US7195733B2 (en) 2004-04-27 2007-03-27 The Board Of Trustees Of The University Of Illinois Composite patterning devices for soft lithography
US7244665B2 (en) 2004-04-29 2007-07-17 Micron Technology, Inc. Wafer edge ring structures and methods of formation
US7625694B2 (en) 2004-05-06 2009-12-01 Micron Technology, Inc. Selective provision of a diblock copolymer material
WO2006076016A2 (en) 2004-05-21 2006-07-20 Krzysztof Matyjaszewski Conducting polymers
KR101260981B1 (ko) 2004-06-04 2013-05-10 더 보오드 오브 트러스티스 오브 더 유니버시티 오브 일리노이즈 인쇄가능한 반도체소자들의 제조 및 조립방법과 장치
KR20070029762A (ko) 2004-06-30 2007-03-14 코닌클리케 필립스 일렉트로닉스 엔.브이. 화학적으로 패터닝된 표면을 구비한 소프트 리소그라피스탬프
WO2006003594A2 (en) 2004-06-30 2006-01-12 Koninklijke Philips Electronics N.V. Soft lithographic stamp with a chemically patterned surface
US7387939B2 (en) 2004-07-19 2008-06-17 Micron Technology, Inc. Methods of forming semiconductor structures and capacitor devices
JP4389055B2 (ja) 2004-07-27 2009-12-24 独立行政法人産業技術総合研究所 ブロック共重合体−クレイナノコンポジットの高配向膜およびその製造方法
US8088293B2 (en) 2004-07-29 2012-01-03 Micron Technology, Inc. Methods of forming reticles configured for imprint lithography
US20060030495A1 (en) 2004-08-06 2006-02-09 Gregg George L Jr Bullet lubrication formula
JP2006055982A (ja) 2004-08-23 2006-03-02 Ind Technol Res Inst 組織化分解ジブロックコポリマー薄膜からのナノパターン化テンプレート
KR20060020830A (ko) 2004-09-01 2006-03-07 삼성코닝 주식회사 계면활성제를 템플릿으로 이용한 저유전성 메조포러스박막의 제조방법
US7115525B2 (en) 2004-09-02 2006-10-03 Micron Technology, Inc. Method for integrated circuit fabrication using pitch multiplication
US20060057051A1 (en) 2004-09-10 2006-03-16 Sheng Dai Highly ordered porous carbon materials having well defined nanostructures and method of synthesis
US20060060863A1 (en) * 2004-09-22 2006-03-23 Jennifer Lu System and method for controlling nanostructure growth
JP3926360B2 (ja) 2004-10-13 2007-06-06 株式会社東芝 パターン形成方法およびそれを用いた構造体の加工方法
US7196314B2 (en) 2004-11-09 2007-03-27 Omnivision Technologies, Inc. Image sensor and pixel having an anti-reflective coating over the photodiode
DE602004013338T2 (de) 2004-11-10 2009-06-10 Sony Deutschland Gmbh Stempel für die sanfte Lithographie, insbesondere für das Mikro-Kontaktdruckverfahren und Verfahren zu seiner Herstellung
US7323387B2 (en) 2004-11-12 2008-01-29 Seagate Technology Llc Method to make nano structure below 25 nanometer with high uniformity on large scale
US8287957B2 (en) 2004-11-22 2012-10-16 Wisconsin Alumni Research Foundation Methods and compositions for forming aperiodic patterned copolymer films
US20080032238A1 (en) 2004-11-23 2008-02-07 Lu Jennifer Q System and method for controlling the size and/or distribution of catalyst nanoparticles for nanostructure growth
US20060128165A1 (en) 2004-12-13 2006-06-15 3M Innovative Properties Company Method for patterning surface modification
US7666465B2 (en) 2004-12-29 2010-02-23 Intel Corporation Introducing nanotubes in trenches and structures formed thereby
US8178165B2 (en) 2005-01-21 2012-05-15 The Regents Of The University Of California Method for fabricating a long-range ordered periodic array of nano-features, and articles comprising same
DE102005005325B4 (de) 2005-02-04 2011-12-15 Adesto Technology Corp., Inc. Verfahren zur Herstellung einer resistiv schaltenden nicht-flüchtigen Speicherzelle
US7341788B2 (en) 2005-03-11 2008-03-11 International Business Machines Corporation Materials having predefined morphologies and methods of formation thereof
US7514764B2 (en) 2005-03-23 2009-04-07 Wisconsin Alumni Research Foundation Materials and methods for creating imaging layers
US7855046B2 (en) 2005-04-07 2010-12-21 The University Of North Carolina At Charlotte Method and apparatus for fabricating shaped structures and shaped structures including one- , two- or three-dimensional patterns incorporated therein
KR100634327B1 (ko) 2005-04-13 2006-10-13 한국기계연구원 롤-투-롤 윤전인쇄방식을 이용한 전자소자의 제조방법 및그 제조장치
KR20060113463A (ko) 2005-04-27 2006-11-02 히다치 막셀 가부시키가이샤 폴리머 기재의 표면개질방법, 폴리머 기재에 도금막을형성하는 방법, 폴리머부재의 제조방법 및 코팅부재
US20060249784A1 (en) 2005-05-06 2006-11-09 International Business Machines Corporation Field effect transistor device including an array of channel elements and methods for forming
US7767129B2 (en) 2005-05-11 2010-08-03 Micron Technology, Inc. Imprint templates for imprint lithography, and methods of patterning a plurality of substrates
US7371684B2 (en) 2005-05-16 2008-05-13 International Business Machines Corporation Process for preparing electronics structures using a sacrificial multilayer hardmask scheme
US8399057B2 (en) 2005-06-08 2013-03-19 The Regents Of The University Of California Ordered vertically oriented porous inorganic films produced through solution processing
US7396781B2 (en) 2005-06-09 2008-07-08 Micron Technology, Inc. Method and apparatus for adjusting feature size and position
KR100668846B1 (ko) 2005-06-10 2007-01-16 주식회사 하이닉스반도체 상변환 기억 소자의 제조방법
MX2007016039A (es) 2005-06-17 2008-10-27 Univ North Carolina Metodos, sistemas y materiales de fabricacion de nanoparticulas.
US7771917B2 (en) 2005-06-17 2010-08-10 Micron Technology, Inc. Methods of making templates for use in imprint lithography
US7507618B2 (en) 2005-06-27 2009-03-24 3M Innovative Properties Company Method for making electronic devices using metal oxide nanoparticles
US7118784B1 (en) 2005-06-27 2006-10-10 The Regents Of The University Of California Method and apparatus for controlling nucleation in self-assembled films
US7776715B2 (en) 2005-07-26 2010-08-17 Micron Technology, Inc. Reverse construction memory cell
US7306083B2 (en) 2005-07-27 2007-12-11 Gm Global Technology Operations, Inc. Magnetorheological fluid device
WO2007019439A2 (en) 2005-08-04 2007-02-15 Angiotech International Ag Block copolymer compositions and uses thereof
US20070045642A1 (en) 2005-08-25 2007-03-01 Micron Technology, Inc. Solid-state imager and formation method using anti-reflective film for optical crosstalk reduction
US7456928B2 (en) 2005-08-29 2008-11-25 Micron Technology, Inc. Systems and methods for controlling ambient pressure during processing of microfeature workpieces, including during immersion lithography
EP1760527B1 (en) 2005-09-05 2012-06-06 DWI an der RWTH Aachen e.V. Photochemical method for manufacturing nanometrically surface-decorated substrates
JP4598639B2 (ja) 2005-09-27 2010-12-15 Okiセミコンダクタ株式会社 半導体装置の製造方法
US20070183025A1 (en) 2005-10-31 2007-08-09 Koji Asakawa Short-wavelength polarizing elements and the manufacture and use thereof
WO2007055041A1 (ja) 2005-11-10 2007-05-18 National University Corporation Kyoto Institute Of Technology 配向したシリンダー構造を有するブロック共重合体膜およびその製造方法
US20070122749A1 (en) 2005-11-30 2007-05-31 Fu Peng F Method of nanopatterning, a resist film for use therein, and an article including the resist film
EP1811524B1 (en) 2006-01-18 2008-04-16 Consiglio Nazionale Delle Ricerche Nanometric device for the measurement of the conductivity and quantum effects of individual molecules and methods for the manufacture and use thereof
WO2007084569A2 (en) 2006-01-20 2007-07-26 Plextronics, Inc. Electrostatic coatings and articles comprising polythiophenes
JP2007194175A (ja) 2006-01-23 2007-08-02 Seiko Epson Corp 導体パターン用インク、導体パターン、配線基板及び電気光学装置並びに電子機器
US7347953B2 (en) 2006-02-02 2008-03-25 International Business Machines Corporation Methods for forming improved self-assembled patterns of block copolymers
US20080073743A1 (en) 2006-02-17 2008-03-27 Lockheed Martin Corporation Templated growth of semiconductor nanostructures, related devices and methods
US20070208159A1 (en) 2006-03-02 2007-09-06 General Electric Company Poly(arylene ether) block copolymer compositions, methods, and articles
US7579278B2 (en) 2006-03-23 2009-08-25 Micron Technology, Inc. Topography directed patterning
US20070222995A1 (en) 2006-03-27 2007-09-27 Jennifer Lu Artifact having a textured metal surface with nanometer-scale features and method for fabricating same
KR100753542B1 (ko) 2006-04-19 2007-08-30 삼성전자주식회사 수지 조성물, 이를 이용한 패턴 형성 방법 및 커패시터형성 방법
US8080822B2 (en) 2006-05-22 2011-12-20 Nanyang Technological University Solution-processed inorganic films for organic thin film transistors
US7723009B2 (en) 2006-06-02 2010-05-25 Micron Technology, Inc. Topography based patterning
US20070289943A1 (en) 2006-06-14 2007-12-20 Jennifer Lu Block copolymer mask for defining nanometer-scale structures
US7605081B2 (en) 2006-06-19 2009-10-20 International Business Machines Corporation Sub-lithographic feature patterning using self-aligned self-assembly polymers
JP4673266B2 (ja) 2006-08-03 2011-04-20 日本電信電話株式会社 パターン形成方法及びモールド
US20080038467A1 (en) 2006-08-11 2008-02-14 Eastman Kodak Company Nanostructured pattern method of manufacture
JP4421582B2 (ja) 2006-08-15 2010-02-24 株式会社東芝 パターン形成方法
US20080047930A1 (en) 2006-08-23 2008-02-28 Graciela Beatriz Blanchet Method to form a pattern of functional material on a substrate
KR100739000B1 (ko) 2006-09-11 2007-07-12 삼성전자주식회사 상변화 기억 소자의 형성 방법
KR100771886B1 (ko) 2006-09-27 2007-11-01 삼성전자주식회사 블럭 공중합체를 사용한 미세 콘택홀 형성 방법 및 반도체소자 제조 방법
US7658773B2 (en) 2006-09-29 2010-02-09 Qimonda Ag Method for fabricating a solid electrolyte memory device and solid electrolyte memory device
TWI311337B (en) 2006-10-02 2009-06-21 Au Optronics Corporatio Multi-domain vertical alignment pixel structure and fabrication method thereof
US7592247B2 (en) 2006-10-04 2009-09-22 International Business Machines Corporation Sub-lithographic local interconnects, and methods for forming same
US7553760B2 (en) 2006-10-19 2009-06-30 International Business Machines Corporation Sub-lithographic nano interconnect structures, and method for forming same
US8343578B2 (en) 2006-10-30 2013-01-01 International Business Machines Corporation Self-assembled lamellar microdomains and method of alignment
US7560222B2 (en) 2006-10-31 2009-07-14 International Business Machines Corporation Si-containing polymers for nano-pattern device fabrication
US7514339B2 (en) 2007-01-09 2009-04-07 International Business Machines Corporation Method for fabricating shallow trench isolation structures using diblock copolymer patterning
KR20080069000A (ko) 2007-01-22 2008-07-25 삼성전자주식회사 액정 표시 장치
US8394483B2 (en) 2007-01-24 2013-03-12 Micron Technology, Inc. Two-dimensional arrays of holes with sub-lithographic diameters formed by block copolymer self-assembly
US7767099B2 (en) 2007-01-26 2010-08-03 International Business Machines Corporaiton Sub-lithographic interconnect patterning using self-assembling polymers
WO2008096335A2 (en) 2007-02-07 2008-08-14 Yeda Research And Development Co. Ltd. Producing an array of nanoscale structures on a substrate surface via a self-assembled template
US7964107B2 (en) 2007-02-08 2011-06-21 Micron Technology, Inc. Methods using block copolymer self-assembly for sub-lithographic patterning
US8083953B2 (en) 2007-03-06 2011-12-27 Micron Technology, Inc. Registered structure formation via the application of directed thermal energy to diblock copolymer films
US8557128B2 (en) 2007-03-22 2013-10-15 Micron Technology, Inc. Sub-10 nm line features via rapid graphoepitaxial self-assembly of amphiphilic monolayers
US7999160B2 (en) 2007-03-23 2011-08-16 International Business Machines Corporation Orienting, positioning, and forming nanoscale structures
US7888228B2 (en) 2007-04-05 2011-02-15 Adesto Technology Corporation Method of manufacturing an integrated circuit, an integrated circuit, and a memory module
US8294139B2 (en) 2007-06-21 2012-10-23 Micron Technology, Inc. Multilayer antireflection coatings, structures and devices including the same and methods of making the same
US8097175B2 (en) 2008-10-28 2012-01-17 Micron Technology, Inc. Method for selectively permeating a self-assembled block copolymer, method for forming metal oxide structures, method for forming a metal oxide pattern, and method for patterning a semiconductor structure
US7959975B2 (en) 2007-04-18 2011-06-14 Micron Technology, Inc. Methods of patterning a substrate
US8372295B2 (en) 2007-04-20 2013-02-12 Micron Technology, Inc. Extensions of self-assembled structures to increased dimensions via a “bootstrap” self-templating method
DE102007024653A1 (de) 2007-05-26 2008-12-04 Forschungszentrum Karlsruhe Gmbh Stempel für das Mikrokontaktdrucken und Verfahren zu seiner Herstellung
US8404124B2 (en) 2007-06-12 2013-03-26 Micron Technology, Inc. Alternating self-assembling morphologies of diblock copolymers controlled by variations in surfaces
US8080615B2 (en) 2007-06-19 2011-12-20 Micron Technology, Inc. Crosslinkable graft polymer non-preferentially wetted by polystyrene and polyethylene oxide
KR101291223B1 (ko) 2007-08-09 2013-07-31 한국과학기술원 블록 공중합체를 이용한 미세 패턴 형성 방법
US7732533B2 (en) 2007-08-31 2010-06-08 Micron Technology, Inc. Zwitterionic block copolymers and methods
US7989026B2 (en) 2008-01-12 2011-08-02 International Business Machines Corporation Method of use of epoxy-containing cycloaliphatic acrylic polymers as orientation control layers for block copolymer thin films
US8999492B2 (en) 2008-02-05 2015-04-07 Micron Technology, Inc. Method to produce nanometer-sized features with directed assembly of block copolymers
US8101261B2 (en) 2008-02-13 2012-01-24 Micron Technology, Inc. One-dimensional arrays of block copolymer cylinders and applications thereof
US7696085B2 (en) 2008-02-20 2010-04-13 International Business Machines Corporation Dual damascene metal interconnect structure having a self-aligned via
US8168468B2 (en) 2008-02-29 2012-05-01 Freescale Semiconductor, Inc. Method of making a semiconductor device including a bridgeable material
US8426313B2 (en) 2008-03-21 2013-04-23 Micron Technology, Inc. Thermal anneal of block copolymer films with top interface constrained to wet both blocks with equal preference
US8425982B2 (en) 2008-03-21 2013-04-23 Micron Technology, Inc. Methods of improving long range order in self-assembly of block copolymer films with ionic liquids
US8114300B2 (en) 2008-04-21 2012-02-14 Micron Technology, Inc. Multi-layer method for formation of registered arrays of cylindrical pores in polymer films
US8114301B2 (en) 2008-05-02 2012-02-14 Micron Technology, Inc. Graphoepitaxial self-assembly of arrays of downward facing half-cylinders
US8114468B2 (en) 2008-06-18 2012-02-14 Boise Technology, Inc. Methods of forming a non-volatile resistive oxide memory array
US8088551B2 (en) 2008-10-09 2012-01-03 Micron Technology, Inc. Methods of utilizing block copolymer to form patterns
US8173034B2 (en) 2008-11-17 2012-05-08 Micron Technology, Inc. Methods of utilizing block copolymer to form patterns
US8314206B2 (en) 2008-12-02 2012-11-20 Micron Technology, Inc. Block copolymer-comprising compositions and methods of purifying PS-b-PXVP
US8834956B2 (en) 2009-06-22 2014-09-16 Micron Technology, Inc. Methods of utilizing block copolymer to form patterns

Cited By (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN103187245A (zh) * 2011-12-30 2013-07-03 中芯国际集成电路制造(上海)有限公司 一种通过定向自组装嵌段共聚物的光刻方法
CN103187245B (zh) * 2011-12-30 2015-06-17 中芯国际集成电路制造(上海)有限公司 一种通过定向自组装嵌段共聚物的光刻方法
CN110120335A (zh) * 2012-11-13 2019-08-13 台湾积体电路制造股份有限公司 使用定向自组装的光刻工艺
CN103408783A (zh) * 2013-04-24 2013-11-27 南京工业大学 一种具有规整垂直孔道多孔膜的制备方法
CN105731371A (zh) * 2014-12-30 2016-07-06 罗门哈斯电子材料有限责任公司 用于定向自组装的共聚物配制品、其制造方法以及包含其的物件
CN105731371B (zh) * 2014-12-30 2018-10-23 罗门哈斯电子材料有限责任公司 用于定向自组装的共聚物配制品、其制造方法以及包含其的物件
CN104598679A (zh) * 2015-01-14 2015-05-06 中国石油大学(华东) 一种自组装纳米卷状结构的制备方法及其模拟验证方法
CN108231984A (zh) * 2018-01-31 2018-06-29 华南理工大学 一种相分离手段实现的钙钛矿图案化膜片及其制作方法

Also Published As

Publication number Publication date
TW200951065A (en) 2009-12-16
US8642157B2 (en) 2014-02-04
CN101952195B (zh) 2012-11-28
EP2262721A2 (en) 2010-12-22
TWI462871B (zh) 2014-12-01
US20090200646A1 (en) 2009-08-13
US8101261B2 (en) 2012-01-24
KR20100112196A (ko) 2010-10-18
WO2009102551A3 (en) 2009-11-26
US20120076978A1 (en) 2012-03-29
WO2009102551A2 (en) 2009-08-20
KR101262460B1 (ko) 2013-05-08

Similar Documents

Publication Publication Date Title
CN101952195B (zh) 嵌段共聚物圆柱体的一维阵列和其应用
KR101097557B1 (ko) 블록 공중합체 자기 조립에 의하여 형성되는 서브 리소그라피 지름을 갖는 2차원 홀 어레이
CN101978469B (zh) 具有受限于以均等优先湿润两嵌段的上界面的嵌段共聚物膜的热退火
US9142420B2 (en) Extensions of self-assembled structures to increased dimensions via a “bootstrap” self-templating method
US8455082B2 (en) Polymer materials for formation of registered arrays of cylindrical pores
US9257256B2 (en) Templates including self-assembled block copolymer films
CN101977839B (zh) 以离子性液体改良嵌段共聚物膜自组装的长程有序的方法
TWI375659B (en) Methods of forming a nanostructure polymer material on a substrate and etching a substrate, and polymeric material

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant