CN101965635A - 将选择性钌沉积集成到半导体器件的制造中的方法 - Google Patents

将选择性钌沉积集成到半导体器件的制造中的方法 Download PDF

Info

Publication number
CN101965635A
CN101965635A CN2008801066297A CN200880106629A CN101965635A CN 101965635 A CN101965635 A CN 101965635A CN 2008801066297 A CN2008801066297 A CN 2008801066297A CN 200880106629 A CN200880106629 A CN 200880106629A CN 101965635 A CN101965635 A CN 101965635A
Authority
CN
China
Prior art keywords
precursor
gas
metal film
patterned substrate
steam
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN2008801066297A
Other languages
English (en)
Other versions
CN101965635B (zh
Inventor
铃木健二
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Publication of CN101965635A publication Critical patent/CN101965635A/zh
Application granted granted Critical
Publication of CN101965635B publication Critical patent/CN101965635B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53228Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being copper
    • H01L23/53238Additional layers associated with copper layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • H01L21/28562Selective deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76846Layer combinations
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76849Barrier, adhesion or liner layers formed in openings in a dielectric the layer being positioned on top of the main fill metal
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Abstract

本发明涉及一种用于将Ru金属的选择性沉积集成到半导体器件的制造中以改善块Cu中的电迁移和应力迁移的方法。该方法包括利用包含Ru3(CO)12前躯体蒸汽和CO气体的处理气体通过热化学气相沉积工艺在金属化层(302)或块Cu(322)上选择性沉积Ru金属膜(312,324)。并且,本发明描述了包含一个或多个选择性沉积的Ru金属膜的半导体器件。

Description

将选择性钌沉积集成到半导体器件的制造中的方法
相关申请的交叉引用
本发明涉及题为“METHOD FOR INCREASING DEPOSITION RATESOF METAL LAYERS FROM METAL-CARBONYL PRECURSORS”的美国专利申请No.10/996,145,该申请的全部内容通过引用结合于此。相关的申请与本申请并不是共有的。
技术领域
本发明涉及半导体处理和半导体器件,更具体而言,涉及用于制造半导体器件的钌金属膜的选择性沉积方法。
背景技术
集成电路包含各种半导体器件以及多条传导金属路径,所述多条传导金属路径将电力供应到半导体器件,并允许这些半导体器件共享和交换信息。在集成电路中,多层金属层被彼此层叠,利用金属间电介质层或层间电介质层来将金属层彼此绝缘。通常,每一个金属层必需形成与至少一个另外的金属层的电接触。这样的电接触通过如下来实现:在分隔金属层的层间电介质层中刻蚀孔(即,过孔);并且用金属填充所得的过孔,以创建互连。“过孔”通常是指任何形成在电介质层中的凹入特征,诸如孔、线或其它类似特征,当填充金属时,其提供穿过电介质层、与该电介质层下方的导电层的电连接。类似地,连接两个或更多个过孔的凹入特征通常被称为沟槽。
在用于制造集成电路的多层金属化方案中使用铜(Cu)已经造成了若干急需解决的问题。例如,Cu原子在电介质材料和Si中的高迁移率可能导致Cu原子迁移到这些材料中,从而形成可能破坏集成电路的电缺陷。因此,Cu金属层、Cu填充的沟槽和Cu填充的过孔通常用阻挡层包覆,以防止Cu原子扩散到电介质材料中。阻挡层通常在Cu沉积之前被沉积在沟槽和过孔的侧壁和底面上,并且可以包括如下材料:其优选是非反应性的并不可混溶在Cu中的材料,提供与电介质材料的良好粘附性,并能够提供低的电阻率。
对于各个相继的技术节点,集成电路互连中的电流密度显著增大。因为电迁移(EM)和应力迁移(SM)寿命反比于电流密度,所以EM和SM已经迅速成为关键性挑战。Cu双镶嵌互连结构中的EM寿命严重依赖于在块Cu和周围材料(例如,Cu盖封层)的界面处原子Cu的输运,这样的原子Cu的输运与这些界面处的粘附状况直接相关。提供更好的粘附性和更好的EM寿命的新盖封材料已经被广泛研究。例如,电介质盖封层(例如SiN)可以由利用无电镀技术选择性沉积在块Cu上的含金属盖封层(例如,CoWP)来代替。CoWP和块Cu的界面具有优异的粘附强度,这产生更长的EM寿命。但是,该复杂工艺的认同性已经受到如下因素的影响:保持在块Cu(尤其是对于紧密间隔的Cu布线)上的可接受的沉积选择性并保持良好的膜均一性。
因此,需要新的沉积金属层的方法,其提供与Cu的良好粘附性和改善的块铜的EM和SM性质。具体地,这些方法应当相对于电介质表面提供在金属表面上的金属沉积的良好选择性。
发明内容
本发明的实施例提供一种用于将Ru金属的选择性沉积集成到半导体器件的制造中以改善块Cu中的电迁移和应力迁移的方法。根据本发明的一个实施例,图案化衬底包含一个或多个含有一个或多个沟槽和一个或多个过孔的双镶嵌互连结构。
根据本发明的一个实施例,该方法包括:在沉积系统的处理室中提供图案化衬底,所述图案化衬底包含处于电介质层中的凹入特征和处于所述凹入特征的底面的金属化层;形成包含Ru3(CO)12前躯体蒸汽(precursorvapor)和CO气体的处理气体;将所述图案化衬底暴露于所述处理气体,以通过热化学气相沉积工艺在所述金属化层上选择性沉积第一Ru金属膜。所述方法还包括在所述凹入特征中,包括在所述第一Ru金属膜上,沉积阻挡层,以及用块Cu填充所述凹入特征。另一个实施例还包括在选择性沉积所述第一Ru金属膜之前,用包含CO气体的预处理气体对所述图案化衬底进行预处理。还有一个实施例包括在沉积所述阻挡层之后,将所述图案化衬底暴露于所述处理气体,以在所述阻挡层和所述第一Ru金属膜上沉积第二Ru金属膜。还有另一个实施例包括平坦化所述块Cu,其中,所述平坦化还包括将所述阻挡层从所述电介质层的场地表面去除;以及将所述图案化衬底暴露于所述处理气体,以在所述经平坦化的块Cu上选择性沉积第三Ru金属膜。
根据本发明的一个实施例,所述方法包括:在所述凹入特征中,包括在所述金属化层上,沉积阻挡层;用块Cu填充所述凹入特征;平坦化所述块Cu,其中,所述平坦化还包括将所述阻挡层从所述电介质层的场地表面去除;形成包含Ru3(CO)12前躯体蒸汽和CO气体的处理气体;以及将所述图案化衬底暴露于所述处理气体,以通过热化学气相沉积工艺在所述经平坦化的块Cu上选择性沉积第一Ru金属膜。另一个实施例还包括在选择性沉积所述第一Ru金属膜之前,用包含CO气体的预处理气体对所述图案化衬底进行预处理。还有一个实施例还包括在沉积所述阻挡层之后,将所述图案化衬底暴露于所述处理气体,以在所述阻挡层沉积第二Ru金属膜。
根据本发明的其它实施例,描述了包含一个或多个选择性沉积的Ru金属膜的半导体器件。根据本发明的一个实施例,半导体器件包括:图案化衬底,所述图案化衬底包含处于电介质层中的凹入特征和处于所述凹入特征的底面的金属化层;第一Ru金属膜,其利用包含Ru3(CO)12前躯体蒸汽和CO气体的处理气体通过热化学气相沉积工艺选择性沉积在所述金属化层上;阻挡层,其处于所述凹入特征中,包括处于所述第一Ru金属膜和所述电介质层上,以及填充所述凹入特征的经平坦化的块Cu。另一个实施例还包括:第二Ru金属膜,其处于所述凹入特征中的所述阻挡层和所述第一Ru金属膜上。还有一个实施例还包括第三Ru金属膜,其通过暴露于所述处理气体而选择性地沉积在所述经平坦化的块Cu上。
根据本发明的一个实施例,半导体器件包括:阻挡层,其处于所述凹入特征中,包括处于所述金属化层上;填充所述凹入特征的经平坦化的块Cu;以及第一Ru金属膜,其利用包含Ru3(CO)12前躯体蒸汽和CO气体的处理气体通过热化学气相沉积工艺选择性沉积在所述经平坦化的块Cu上。另一个实施例还包括第二Ru金属膜,其处于所述凹入特征中的所述阻挡层上。
附图说明
参考下面的详细描述,特别是当与附图相结合进行考虑时,对于本发明的更完整的理解和本发明的许多优点将变得清楚,在附图中:
图1A-1B示出了根据本发明的实施例,沉积在Cu和电介质材料上的Ru金属膜的厚度随沉积时间的变化;
图2A-2F示出了根据本发明的实施例,选择性沉积的Ru金属膜在双镶嵌互连结构中的集成的示意性剖视图;
图3描绘了根据本发明的实施例用于沉积Ru金属膜的热化学气相沉积(TCVD)系统的示意图;以及
图4描绘了根据本发明的另一实施例用于沉积Ru金属膜的另一TCVD系统的示意图。
具体实施例
在多个实施例中公开了在热化学气相沉积工艺中利用包含Ru3(CO)12前躯体蒸汽和CO气体的处理气体选择性沉积Ru金属膜的方法,其中,所述方法相对于电介质表面为在金属表面上的Ru金属膜层沉积提供了高的选择性。Ru金属膜可以用作互连结构中与金属化层和块Cu接触的粘附层,以提高Cu金属化的EM和SM性质。相关领域技术人员将理解,在没有这些具体细节中的一个或多个的情况下,或者通过其它的替换和/或附加的方法、材料或部件,可以实现上述多个实施例。在其它实例中,公知的结构、材料或操作没有被示出或详细描述,以免模糊本发明的多个实施例的一些方面。类似地,为了说明的目的,详细描述了具体的数字、材料和构造,以便提供对本发明的充分理解。而且,应该理解,附图中所示的多个实施例是示例性表示,不必按比例绘制。
在本说明书全文中,“一个实施例”或“实施例”是指结合该实施例描述的具体特征、结构、材料或特点被包括在本发明的至少一个实施例中,而不是表示其存在于每一个实施例中。因此,在本说明书全文中的不同地方出现的短语“在一个实施例中”或“在实施例中”不一定是指本发明的同一实施例。
图1A-1B示出了根据本发明的实施例,沉积在Cu和电介质材料上的Ru金属膜的厚度随沉积时间的变化。在图1A中,包含Cu和第一电介质材料(第一层间电解质ILD 1)的不同衬底在205℃和225℃的衬底温度下被暴露于包含Ru3(CO)12前躯体蒸汽和CO气体的处理气体。图1A示出了在205℃和225℃两个温度下相对于在ILD 1上Ru金属在Cu上的高选择性初始沉积。例如,15秒的沉淀(暴露)时间在205℃下在Cu上形成了6.3埃厚的Ru金属膜,在225℃下在Cu上形成了9.3埃厚的Ru金属膜。作为比较,相同的暴露时间在ILD 1上形成了小于约1埃厚的Ru。Ru的小于约1埃的测量厚度被认为对应于所吸附的Ru3(CO)12前躯体的单层或更少。处理条件包括100mTorr的处理室压强,100sccm的CO气体流率,100sccm的Ar气体流率,以及170-190℃的衬底温度。
图1B示出了在Cu和第二电介质材料(第二层间电介质,ILD 2)上的选择性Ru金属沉积。图1A和1B的比较表明,在LID 2上初始的Ru沉积甚至慢于LID 1上,因为15秒的沉积时间在LID 2上形成了小于约0.5埃厚度的Ru。
Ru3(CO)12前躯体蒸汽在表面上的吸附被认为导致在表面上Ru3(CO)12前躯体部分分解成吸附的Ru3(CO)x和CO物质,然后吸附的Ru3(CO)x进一步分解成Ru金属和从衬底解吸附的CO。
Ru 3 ( CO ) 12 ( g ) ⇔ Ru 3 ( CO ) x ( ad ) + ( 12 - x ) CO ( ad ) ⇒ 3 Ru ( s ) + ( 12 - x ) CO ( g ) - - - ( 1 )
虽然不希望受任何理论约束,但是本发明人认为,在金属表面(诸如Cu或钨(W))上观察到的Ru金属的选择性沉积至少是部分因为在电介质表面上较之在金属表面上更高的被吸附CO的浓度,从而在电介质表面上有利于被吸附的CO与Ru3(CO)x按方程式(1)重新结合而重新形成Ru3(CO)12。在金属表面上的较低的被吸附CO的浓度导致较之在电介质表面上更高的被吸附Ru3(CO)x分解成Ru金属和CO的速率。
本发明的实施例提供了用于在图案化的衬底中的金属表面上选择性沉积Ru金属的系统和方法,并提供包含这样的Ru金属膜的半导体器件。图案化的衬底可以包含大高宽比的凹入特征。根据本发明的一个实施例,双镶嵌互连结构包含形成在图案化的衬底中的沟槽和过孔,其中,所述沟槽和过孔包含侧壁和底面。过孔可以具有大于或等于约2∶1,例如3∶1,4∶1,5∶1,6∶1,12∶1,15∶1或更大的高宽比(深度/宽度)。过孔可以具有约200nm或更小,例如150nm,100nm,65nm,45nm,32nm,20nm或更小的宽度。但是,本发明的实施例不限于这样的高宽比或过孔宽度,因为也可以使用其它的高宽比或过孔宽度。
图2A示出了根据本发明的实施例的双镶嵌互连结构的示意性剖视图。双镶嵌互连结构306包含处于电介质300中第一金属化层302(例如Cu或W)。例如,电介质300可以包含SiO2、低k电介质材料(诸如氟化硅玻璃(FSG)、掺碳氧化物、聚合物)或任何其它合适的电介质材料。双镶嵌互连结构306包含刻蚀在电介质304中的沟槽308和过孔310。电介质304包含″场地″表面314(围绕双镶嵌互连结构306的区域)和双镶嵌互连结构306内部的表面316。虽然没有示出,但是该互连结构可以包含其它的层,例如电介质300和电介质304之间的过孔刻蚀停止层、沟槽刻蚀停止层、将第一金属化层302与电介质300隔离的阻挡层。双镶嵌互连结构306可以利用本领域技术人员已知的标准光刻方法来形成。应该理解,本发明的实施例也可以应用于更简单的或更复杂的双镶嵌互连结构以及其它类型的包含金属化层的凹入特征。
根据本发明的实施例,在形成图2A中的双镶嵌互连结构之后,以利用包含Ru3(CO)12前躯体蒸汽和CO气体的处理气体的TCVD工艺,将第一Ru金属膜312选择性沉积在过孔310的底面处的第一金属化层302上。所得的结构被示意性地示于图2B。在一个实施例中,第一Ru金属膜312的厚度可以在2埃到20埃之间,或者在5埃到15埃之间,例如约10埃。根据本发明的一个实施例,在暴露于Ru3(CO)12前躯体蒸汽和CO气体之前,图2A中的双镶嵌互连结构可以用含CO气体的预处理气体进行预处理,以使得暴露表面314和316具有饱和的吸附CO。预处理气体包含CO气体和可选的惰性气体,诸如Ar。在一个实例中,预处理气体由纯CO组成。在另一个实例中,预处理气体包含10∶1的CO/Ar混合物。
图2C示意性地示出了阻挡层318在图案化衬底上,包括在双镶嵌互连结构306中的沉积。例如,阻挡层318可以包含含Ta层(例如Ta,TaC,TaN,TaCN,或其组合)、含Ti层(例如Ti,TiN,或其组合)或含W层(例如W,WN,或其组合)。在一个实例中,阻挡层318可以包含TaCN,所述TaCN以利用交替暴露叔戊基亚胺-三(二甲基氨基)钽(Ta(NC(CH3)2C2H5)(N(CH3)2)3)和H2的等离子体增强原子层沉积(PEALD)来沉积。根据本发明的一个实施例,可以通过将图案化衬底暴露于包含Ru3(CO)12前躯体蒸汽和CO气体的处理气体,在块铜填充之前,将第二Ru金属膜312沉积在阻挡层318上。在一个实施例中,第二Ru金属膜的厚度可以在10埃到30埃之间,或者在15埃到25埃之间,例如约20埃。
图2D示意性地示出了填充双镶嵌互连结构的块Cu 320。块Cu沉积工艺对于电路制造领域的技术人员来说是已知的,并且例如包括电化学镀工艺或无电镀工艺。在用块Cu填充双镶嵌互连结构之后,可以采用化学机械抛光(CMP)工艺,通过从块Cu填充物320去除多余的Cu,形成平坦化的块Cu 322。此外,在平坦化工艺中,阻挡层318被从场地表面314去除,如图2E示意性地示出的。
根据本发明的实施例,在形成图2E中的双镶嵌互连结构之后,通过将图案化衬底暴露于包含Ru3(CO)12前躯体蒸汽和CO气体的处理气体,将第三Ru金属膜324被选择性地沉积在平坦化的块Cu 322上。这被示意性地示于图2F中。在一个实施例中,第三Ru金属膜324的厚度可以在2埃到20埃之间,或者在5埃到15埃之间,例如约10埃。根据本发明的一个实施例,在暴露于Ru3(CO)12前躯体蒸汽之前,可以用含CO气体的预处理气体预处理图2E中的Cu填充的双镶嵌互连结构,以使得平坦化的Cu填充物322和场地表面314具有饱和的吸附CO。在平坦化的Cu填充物322上选择性沉积第三Ru金属膜324之后,图2F所示的部分制成的半导体器件被进一步处理。
根据本发明的其它实施例,在图2A-2F中所描述的集成工艺中,可以省略第一金属化层302上的第一Ru金属膜312的选择性沉积或平坦化的块Cu 322上的第三Ru金属膜324的沉积。
图3描绘了根据本发明的实施例用于由Ru3(CO)12前躯体蒸汽和CO气体沉积Ru金属膜的热化学气相沉积(TCVD)系统的示意图。沉积系统1包括具有衬底夹持器20的处理室10,衬底夹持器20被配置为支撑在其上形成Ru金属层的图案化衬底25。处理室10经由蒸汽前驱体传输系统40耦合到金属前驱体蒸发系统50。
处理室10还通过导管36耦合到真空泵系统38,其中真空泵系统38被配置为将处理室10、蒸汽前驱体传输系统40和金属前驱体蒸发系统50抽空到适于在衬底25上形成Ru金属层并且适于金属前驱体蒸发系统50中Ru3(CO)12前躯体52的蒸发的压强。
仍然参考图3,金属前驱体蒸发系统50被配置为存储Ru3(CO)12前躯体52,并且将Ru3(CO)12前躯体52加热到足以使Ru3(CO)12前躯体52蒸发的温度,以将Ru3(CO)12前躯体蒸汽引入到蒸汽前驱体传输系统40。Ru3(CO)12前躯体52在金属前驱体蒸发系统50中在选定的加热条件下可以是固体。为了获得用于使固态Ru3(CO)12前驱体52升华的期望温度,金属前驱体蒸发系统50耦合到被配置为控制蒸发温度的蒸发温度控制系统54。
例如,Ru3(CO)12前驱体52的温度可以被升高到约40℃到约150℃。或者,蒸发温度可以被保持在约60℃到约90℃。随着Ru3(CO)12前驱体52被加热到引起升华,含CO气体可以被传送经过Ru3(CO)12前驱体52上方,或者穿过Ru3(CO)12前驱体52,以在Ru3(CO)12前躯体蒸汽被形成的同时而夹带该Ru3(CO)12前躯体蒸汽。含CO气体可以包含CO和可选的诸如N2或稀有气体(即,He、Ne、Ar、Kr或Xe)之类的惰性载气或其组合。在CO气体的存在下蒸发Ru3(CO)12前躯体可以减少限制Ru3(CO)12前躯体蒸汽到图案化衬底的传输的多种问题。已经表明,在Ru3(CO)12前躯体蒸汽被形成时将CO气体添加到该Ru3(CO)12前躯体蒸汽允许提高蒸发温度。提高的温度增大了Ru3(CO)12前躯体的蒸汽压,导致Ru3(CO)12前驱体到处理室的输运增强,因而增大了图案化衬底25上的Ru金属膜的沉积速率。在2004年11月23日递交的题为″Method for Increasing DepositionRates of Metal Layers from Metal-carbonyl Precursors″的美国专利申请No.10/996,145已经描述了,业已表明,使用CO气体以减少在将Ru3(CO)12前躯体传输到处理室10之前Ru3(CO)12前躯体在蒸汽前躯体传输系统40中的过早分解有利于Ru3(CO)12前躯体蒸汽到处理室的高效输运,从而沉积Ru金属膜,该美国专利申请的全部内容通过引用被包含于此。
在一个实例中,金属前躯体蒸发系统50可以是配置用于高效蒸发和运输Ru3(CO)12蒸汽的多盘蒸发系统。示例性多盘蒸发系统在在2004年11月29日递交的题为″Multi-Tray Film Precursor Evaporation System and ThinFilm Deposition System Incorporating Same″的美国专利申请No.10/998,420中有描述。
例如,气体供应系统60耦合到金属前驱体蒸发系统50,并且其例如被配置为经由馈送管线61在Ru3(CO)12前驱体52下方提供CO、载气或其混合物,或经由馈送管线62在Ru3(CO)12前驱体52上方提供CO、载气或其混合物。另外,气体供应系统60耦合到金属前驱体蒸发系统50下游的蒸汽前驱体传输系统40,以在Ru3(CO)12前驱体52的蒸汽进入蒸汽前驱体传输系统40时或进入之后经由馈送管线63向Ru3(CO)12前驱体52的蒸汽提供气体。此外,馈送管线63可以用于在将图案化衬底25暴露于Ru3(CO)12前躯体蒸汽和CO气体之前用含CO气体的预处理气体对图案化衬底25进行预处理,以使得图案化衬底25的暴露表面具有饱和的吸附CO。
虽然未示出,但是气体供应系统60可包括载气源、CO气体源、一个或多个控制阀、一个或多个过滤器以及质量流量控制器。例如,含CO气体的流率可以在约0.1每分钟标准立方厘米(sccm)和约1000sccm之间。或者,含CO气体的流率可以在约10sccm和约500sccm之间。又或者,含CO气体的流率可以在约50sccm和约200sccm之间。根据本发明的实施例,CO气体的流率范围可以从约0.1sccm到约1000sccm。或者,CO气体的流率可以在约1sccm和约500sccm之间。
在金属前驱体蒸发系统50下游,包含Ru3(CO)12前驱体蒸汽和CO气体的处理气体流经蒸汽前驱体传输系统40,直到其经由耦合到处理室10的蒸汽分配系统30进入处理室10。蒸汽前驱体传输系统40可以耦合到蒸汽管线温度控制系统42,以控制蒸汽管线温度并防止Ru3(CO)12前驱体蒸汽的分解以及Ru3(CO)12前驱体蒸汽的冷凝。蒸汽前驱体传输系统40例如可以被保持在50℃到100℃之间的温度下。
再次参考图3,形成处理室10的一部分并耦合到处理室10的蒸汽分配系统30包括蒸汽分配空间32,蒸汽在经过蒸汽分配板34并进入衬底25上方的处理区33之前在蒸汽分配空间32内分散。另外,蒸汽分配板34可以耦合到被配置为控制蒸汽分配板34的温度的分配板温度控制系统35。
一旦包含Ru3(CO)12前驱体蒸汽和CO气体的处理气体进入了处理室10的处理区33,Ru3(CO)12前驱体蒸汽就会在吸附在衬底表面时由于图案化衬底25升高的温度而发生热分解,并且在图案化衬底25上形成Ru金属层。衬底夹持器20被配置为利用耦合到衬底温度控制系统22的衬底夹持器20升高图案化衬底25的温度。例如,衬底温度控制系统22可被配置为将图案化衬底25的温度升至高达约500℃。另外,处理室10可以耦合到被配置为控制室壁的温度的室温控制系统12。
仍然参考图3,沉积系统1还可包括被配置为运行和控制沉积系统1的操作的控制系统80。控制系统80耦合到处理室10、衬底夹持器20、衬底温度控制系统22、室温控制系统12、蒸汽分配系统30、蒸汽前驱体传输系统40、金属前驱体蒸发系统50和气体供应系统60。
图4描绘了根据本发明的实施例用于由Ru3(CO)12前躯体蒸汽和CO气体沉积Ru金属膜的另一TCVD系统的示意图。沉积系统100包括具有衬底夹持器120的处理室110,衬底夹持器120被配置为支撑在其上形成金属层的图案化衬底125。处理室110耦合到前驱体传输系统105,前驱体传输系统系统105具有被配置为存储Ru3(CO)12前驱体152并使其蒸发的金属前驱体蒸发系统150和被配置为将Ru3(CO)12前驱体152的蒸汽输运到处理室110的蒸汽前驱体传输系统140。
处理室110包括上室部分111、下室部分112和排气室113。开口114形成在下室部分112内,而底部112在此与排气室113相耦合。
仍然参考图4,衬底夹持器120提供支撑待处理的图案化衬底(或晶片)125的水平表面。衬底夹持器120可由圆柱形支撑构件122支撑,支撑构件122从排气室113的下部向上延伸。此外,衬底夹持器120包括耦合到衬底夹持器温度控制系统128的加热器126。加热器126可以例如包括一个或多个电阻加热元件。或者,加热器126可以例如包括辐射加热系统,例如钨-卤素灯。衬底夹持器温度控制系统128可包括用于向一个或多个加热元件提供功率的功率源、用于测量衬底温度或衬底夹持器温度或这两者的一个或多个温度传感器、以及被配置为执行监视、调节或控制衬底125或衬底夹持器120的温度中的至少一种操作的控制器。
在处理期间,被加热的图案化衬底125可以热分解Ru3(CO)12前驱体蒸汽,从而能够在衬底125上沉积Ru金属层。衬底夹持器120被加热到某一预先确定的温度,该温度适于将期望的Ru金属膜沉积到图案化衬底125上。另外,耦合到室温控制系统121的加热器(未示出)可以嵌入在处理室110的壁内以将室壁加热到预定温度。加热器可以将处理室110的壁温维持在从约40℃到约150℃的范围内,或者从约40℃到约80℃的范围内。压力计(未示出)被用于测量处理室压强。根据本发明的实施例,处理室压强可以在约1mTorr和约1000mTorr之间。或者,处理室压强可以在约10mTorr和约200mTorr之间。
如图4所示,蒸汽分配系统130耦合到处理室110的上室部分111。蒸汽分配系统130包括蒸汽分配板131,蒸汽分配板131被配置为将前驱体蒸汽从蒸汽分配空间132经过一个或多个孔134引入到衬底125上方的处理区133。
此外,在上室部分111中提供有开口135,用于将来自蒸汽前驱体传输系统140的Ru3(CO)12前驱体蒸汽引入到蒸汽分配空间132中。而且,提供了温度控制元件136,例如被配置为流动经冷却或加热流体的同心流体通道,其用于控制蒸汽分配系统130的温度,从而防止蒸汽分配系统130内Ru3(CO)12前驱体的分解或冷凝。例如,诸如水之类的流体可被从蒸汽分配温度控制系统138提供给流体通道。蒸汽分配温度控制系统138可包括流体源、热交换器、用于测量流体温度或蒸汽分配板温度或这两者的一个或多个温度传感器、以及被配置为将蒸汽分配板131的温度控制在从约20℃到约150℃的控制器。对于Ru3(CO)12前躯体,蒸汽分配板131的温度可以被保持在约65℃或以上,以避免前躯体在板131上冷凝。
如图4所示,金属前驱体蒸发系统150被配置为保存Ru3(CO)12前驱体152并通过升高Ru3(CO)12前驱体的温度而使Ru3(CO)12前驱体152蒸发(或升华)。术语“汽化”、“升华”和“蒸发”在这里可交替使用,以指代一般的由固态或液态前驱体形成蒸汽(气体),而无论这种变换是例如从固态到液态再到气态,从固态到气态,还是从液态到气态。前驱体加热器154被提供用于加热Ru3(CO)12前驱体152以将Ru3(CO)12前驱体152维持在产生Ru3(CO)12前驱体152的期望蒸汽压的温度下。前驱体加热器154耦合到被配置为控制Ru3(CO)12前驱体152的温度的蒸发温度控制系统156。例如,前驱体加热器154可被配置为将Ru3(CO)12前驱体152的温度调节在从约40℃到约150℃的范围内,或者从约60℃到约90℃的范围内。
随着Ru3(CO)12前驱体152被加热到引起蒸发(或升华),含CO气体可以被传送经过Ru3(CO)12前驱体152上方,或者穿过Ru3(CO)12前驱体152,以在Ru3(CO)12前驱体蒸汽形成时夹带该Ru3(CO)12前驱体蒸汽。含CO气体可以包含CO和可选的诸如N2或稀有气体(即,He、Ne、Ar、Kr、Xe)之类的惰性气体。例如,气体供应系统160耦合到金属前驱体蒸发系统150,并且其例如被配置为使CO气体流经Ru3(CO)12前驱体152上方或穿过Ru3(CO)12前驱体152。虽然未在图4中示出,但是气体供应系统160还可以耦合到蒸汽前驱体传输系统140以在金属前驱体152的蒸汽进入蒸汽前驱体传输系统140时或进入之后向金属前驱体152的蒸汽提供CO气体,例如以便在将图案化衬底125暴露于含Ru3(CO)12前躯体蒸汽和CO气体的处理气体之前用含CO气体的预处理气体对图案化衬底125进行预处理,使得图案化衬底125的暴露表面具有饱和的吸附CO。
气体供应系统160可包括包含惰性载气、CO气体或其混合物的气体源161、一个或多个控制阀162、一个或多个过滤器164以及质量流量控制器165。例如,含CO气体的质量流率范围可以从约0.1sccm到约1000sccm 。
另外,传感器166被提供用于测量来自金属前驱体蒸发系统150的总气体流。传感器166可以例如包括质量流量控制器,并且传输到处理室110的Ru3(CO)12前驱体蒸汽的量可以利用传感器166和质量流量控制器165确定。或者,传感器166可包括测量在到处理室110的气体流中的Ru3(CO)12前驱体的浓度的光吸收传感器。
旁路管线167可以定位在传感器166下游,并且其可以将蒸汽传输系统140连接到排气管线116。旁路管线167被提供用于抽空蒸汽前驱体传输系统140,并稳定到处理室110的Ru3(CO)12前驱体蒸汽和CO气体的供应。另外,在旁路管线167上提供有位于蒸汽前驱体传输系统140的分支的下游的旁路阀168。
仍然参考图4,蒸汽前驱体传输系统140包括分别具有第一和第二阀141和142的高传导率蒸汽管线。另外,蒸汽前驱体传输系统140还可包括被配置为经由加热器(未示出)加热蒸汽前驱体传输系统140的蒸汽管线温度控制系统143。蒸汽管线的温度可被控制,以避免蒸汽管线中Ru3(CO)12前驱体的冷凝。蒸汽管线的温度可被控制在从约20℃到约100℃的范围内,或者从约40℃到约90℃的范围内。
而且,可以从气体供应系统190提供CO气体。例如,气体供应系统190耦合到蒸汽前驱体传输系统140,并且其例如被配置为用于含CO气体的预处理气体对图案化衬底125进行预处理,或在蒸汽前驱体传输系统140中(例如在阀141的下游)将附加的CO气体与Ru3(CO)12前驱体蒸汽混合。气体供应系统190可包括CO气体源191、一个或多个控制阀192、一个或多个过滤器194以及质量流量控制器195。例如,CO气体的质量流率范围可以从约0.1sccm(每分钟标准立方厘米)到约1000sccm。
质量流量控制器165和195、以及阀162、192、168、141和142由控制器196控制,控制器196控制惰性载气、CO气体和Ru3(CO)12前驱体蒸汽的供应、切断和流动。传感器166也连接到控制器196,并且基于传感器166的输出,控制器196可以控制经过质量流量控制器165的载气流,以获得到处理室110的期望Ru3(CO)12前驱体流。
如图4所示,排气管线116将排气室113连接到泵系统118。真空泵119被用于将处理室110抽空到期望的真空度,并在处理期间从处理室110中去除气体物质。自动压强控制器(APC)115和阱117可以与真空泵119串联使用。真空泵119可包括泵速能高达500公升每秒(以及更大)的涡轮分子泵(TMP)。或者,真空泵119可包括干粗抽泵。在处理期间,处理气体可被引入到处理室110中,并且室压强可由APC 115调节。APC 115可包括蝶形阀或门阀。阱117可以收集来自处理室110的未反应的Ru3(CO)12前驱体材料和副产物。
返回到处理室110中的衬底夹持器120,如图4所示,三个衬底抬升钉127(只示出了两个)被提供用于保持、提升和降低图案化衬底125。衬底抬升钉127耦合到板123,并且可被降低到低于衬底夹持器120的上表面。例如采用气缸的驱动机构129提供了用于提升和降低板123的装置。图案化衬底125可以经由机械转移系统(未示出)经过门阀200和室馈通通路202移入和移出处理室110,并被衬底抬升钉127接收。一旦从转移系统接收到图案化衬底125,就可以通过降低衬底抬升钉127将其降低到衬底夹持器120的上表面。
仍然参考图4,沉积系统控制器180包括微处理器、存储器和数字I/O端口,数字I/O端口能够生成足以传输并激活到沉积系统100的输入以及监视来自处理系统100的输出的控制电压。而且,控制器180耦合到处理室110;包括控制器196、蒸汽管线温度控制系统143和蒸发温度控制系统156的前驱体传输系统105;蒸汽分配温度控制系统138;真空泵系统118;以及衬底夹持器温度控制系统128,并与这些系统交换信息。在真空泵系统118中,控制器180耦合到用于控制处理室110中的压强的自动压强控制器115并与之交换信息。存储在存储器中的程序被用于根据存储的工艺方案控制沉积系统100的前述组件。
控制器180可以实现为通用计算机系统,其响应于处理器执行包含在存储器中的一条或多条指令的一个或多个序列而执行本发明的基于微处理器的处理步骤中的一部分或全部。这些指令可以从另一计算机可读介质(例如硬盘或可移动介质驱动器)读取到控制器存储器中。也可以采用多处理布置中的一个或多个处理器作为控制器微处理器以执行包含在主存储器中的指令序列。在替换实施例中,硬连线电路可以用来替代软件指令或者与软件指令相组合。从而,这些实施例并不限于硬件电路和软件的任何特定组合。
控制器180包括至少一种计算机可读介质或存储器(例如控制器存储器),其用于保存根据本发明的教导编程的指令并且用于包含数据结构、表、记录和可能对于实现本发明来说是必需的其他数据。计算机可读介质的示例是致密盘、硬盘、软盘、磁带、磁光盘、PROM(EPROM、EEPROM、闪存EPROM)、DRAM、SRAM、SDRAM、或任何其他磁介质、致密盘(例如CD-ROM)、或任何其他光介质、穿孔卡、纸带、或其他具有孔图案的物理介质、载波(下面将描述)或任何其他计算机可以读取的介质。
本发明包括存储在计算机可读介质中的任何一种或其组合上的软件,该软件用于控制控制器180,驱动一个或多个设备以实现本发明,并且/或者使控制器能够与人类用户交互。这种软件可包括但不限于设备驱动器、操作系统、开发工具和应用软件。这种计算机可读介质还包括本发明的计算机程序产品,其用于执行在实现本发明时所执行的处理中的一部分(如果处理是分布式的话)或全部。
本发明的计算机代码设备可以是任何可解释或可执行的代码机构,包括但不限于脚本、可解释程序、动态链接库(DLL)、Java类和完全可执行程序。而且,本发明的处理的一部分可以进行分布以实现更好的性能、可靠性和/或成本。
这里所用的术语“计算机可读介质”指参与向控制器180的处理器提供指令以用于执行的任何介质。计算机可读介质可以采取许多形式,包括但不限于非易失性介质、易失性介质和传输介质。非易失性介质例如包括光盘、磁盘和磁光盘,例如硬盘或可移动介质驱动器。易失性介质包括动态存储器,例如主存储器。而且,各种形式的计算机可读介质都可用来向控制器的处理器提供一条或多条指令的一个或多个序列以用于执行。例如,指令可以首先加载在远程计算机的磁盘上。远程计算机可以将用于实现本发明的全部或一部分的指令远程地加载到动态存储器中,并通过网络将指令发送到控制器180。
控制器180可以位于沉积系统100本地,或者可以位于沉积系统100远处。例如,控制器180可以利用直接连接、内联网、因特网和无线连接中的至少一种与沉积系统100交换数据。控制器180可以耦合到例如在客户位置(即,器件制作者等)处的内联网,或者耦合到例如在供应商位置(即,设备制造商)处的内联网。另外,例如,控制器180可以耦合到因特网。此外,另一计算机(即,控制器、服务器等)可以经由直接连接、内联网或因特网中的至少一种访问例如控制器180以交换数据。本领域技术人员还将意识到,控制器180可以经由无线连接与沉积系统100交换数据。
在多个实施例中,公开了用于利用含Ru3(CO)12前躯体蒸汽和CO气体的处理气体以热化学气相沉积工艺选择性沉积Ru金属膜的多个实施例。对于本发明的实施例的前述描述为了说明和描述的目的而被提供。其不是意在进行完备描述,或将本发明限制到所公开的精确形式。该描述和所附权利要求书包含仅仅用于描述性目的的术语,并且不应被认为是限制。例如,在本文中(包括在权利要求书中)使用的术语“在......上”不要求“在”图案化衬底“上”的膜直接处于工件上并与该工件接触;在该膜和图案化衬底之间可以存在第二膜或其它结构。
相关领域中的技术人员可以理解,根据上述的教导,可以进行多种修改和变化。本领域技术人员将认识到图中所示的各种部件的不同等价组合和替换。因此,本发明的范围不由该详细描述限定,而是由所附权利要求限制。

Claims (20)

1.一种形成半导体器件的方法,包括:
在沉积系统的处理室中提供图案化衬底,所述图案化衬底包含处于电介质层中的凹入特征和处于所述凹入特征的底面的金属化层;
形成包含Ru3(CO)12前躯体蒸汽和CO气体的处理气体;
将所述图案化衬底暴露于所述处理气体,以通过热化学气相沉积工艺在所述金属化层上选择性沉积第一Ru金属膜;
在所述凹入特征中,包括在所述第一Ru金属膜上,沉积阻挡层;并且
用块Cu填充所述凹入特征。
2.如权利要求1所述的方法,还包括:
在选择性沉积所述第一Ru金属膜之前,用包含CO气体的预处理气体对所述图案化衬底进行预处理。
3.如权利要求1所述的方法,其中,形成所述处理气体包括:
在前躯体蒸发系统中将固体Ru3(CO)12前躯体加热至约40℃到约150℃之间的温度,并且将所述固体Ru3(CO)12前躯体保持在该温度下,以形成所述Ru3(CO)12前躯体蒸汽,并且
在所述加热期间,使所述CO气体流动接触所述前躯体蒸发系统中的所述固体Ru3(CO)12前躯体,以在所述Ru3(CO)12前躯体蒸汽被形成时将所述Ru3(CO)12前躯体蒸汽夹带在所述CO气体中;并且
将所述处理气体从所述前躯体蒸发系统运输到所述处理室。
4.如权利要求1所述的方法,其中,所述阻挡层包含Ta、TaN、TaC、TaCN、Ti、TiN、W、WN或其组合。
5.如权利要求1所述的方法,还包括:
在沉积所述阻挡层之后,将所述图案化衬底暴露于所述处理气体,以在所述阻挡层和所述第一Ru金属膜上沉积第二Ru金属膜。
6.如权利要求1所述的方法,还包括:
平坦化所述块Cu,其中,所述平坦化还包括将所述阻挡层从所述电介质层的场地表面去除;并且
将所述图案化衬底暴露于所述处理气体,以在所述经平坦化的块Cu上选择性沉积第三Ru金属膜。
7.如权利要求1所述的方法,其中,所述凹入特征包括一个或多个沟槽和一个或多个过孔。
8.一种用于形成半导体器件的方法,包括:
在沉积系统的处理室中提供图案化衬底,所述图案化衬底包含处于电介质层中的凹入特征和处于所述凹入特征的底面的金属化层;
在所述凹入特征中,包括在所述金属化层上,沉积阻挡层;
用块Cu填充所述凹入特征;
平坦化所述块Cu,其中,所述平坦化还包括将所述阻挡层从所述电介质层的场地表面去除;
形成包含Ru3(CO)12前躯体蒸汽和CO气体的处理气体;并且
将所述图案化衬底暴露于所述处理气体,以通过热化学气相沉积工艺在所述经平坦化的块Cu上选择性沉积第一Ru金属膜。
9.如权利要求8所述的方法,还包括:
在选择性沉积所述第一Ru金属膜之前,用包含CO气体的预处理气体对所述图案化衬底进行预处理。
10.如权利要求8所述的方法,其中,形成所述处理气体包括:
在前躯体蒸发系统中将固体Ru3(CO)12前躯体加热至约40℃到约150℃之间的温度,并且将所述固体Ru3(CO)12前躯体保持在该温度下,以形成所述Ru3(CO)12前躯体蒸汽,并且
在所述加热期间,使所述CO气体流动接触所述前躯体蒸发系统中的所述固体Ru3(CO)12前躯体,以在所述Ru3(CO)12前躯体蒸汽被形成时将所述Ru3(CO)12前躯体蒸汽夹带在所述CO气体中;并且
将所述处理气体从所述前躯体蒸发系统运输到所述处理室。
11.如权利要求8所述的方法,其中,所述阻挡层包含Ta、TaN、TaC、TaCN、Ti、TiN、W或WN。
12.如权利要求8所述的方法,还包括:
在沉积所述阻挡层之后,将所述图案化衬底暴露于所述处理气体,以在所述阻挡层上沉积第二Ru金属膜。
13.如权利要求8所述的方法,其中,所述凹入特征包括一个或多个沟槽和一个或多个过孔。
14.一种半导体器件,包括:
图案化衬底,所述图案化衬底包含处于电介质层中的凹入特征和处于所述凹入特征的底面的金属化层;
第一Ru金属膜,其利用包含Ru3(CO)12前躯体蒸汽和CO气体的处理气体通过热化学气相沉积工艺选择性沉积在所述金属化层上;
阻挡层,其处于所述凹入特征中,包括处于所述第一Ru金属膜和所述电介质层上,以及
填充所述凹入特征的经平坦化的块Cu。
15.如权利要求14所述的半导体器件,还包括:
第二Ru金属膜,其处于所述凹入特征中的所述阻挡层和所述第一Ru金属膜上。
16.如权利要求14所述的半导体器件,还包括第三Ru金属膜,其通过暴露于所述处理气体而选择性地沉积在所述经平坦化的块Cu上。
17.如权利要求14所述的半导体器件,其中,所述凹入特征包括一个或多个沟槽和一个或多个过孔。
18.一种半导体器件,包括:
图案化衬底,所述图案化衬底包含处于电介质层中的凹入特征和处于所述凹入特征的底面的金属化层;
阻挡层,其处于所述凹入特征中,包括处于所述金属化层上;
填充所述凹入特征的经平坦化的块Cu;以及
第一Ru金属膜,其利用包含Ru3(CO)12前躯体蒸汽和CO气体的处理气体通过热化学气相沉积工艺选择性沉积在所述经平坦化的块Cu上。
19.如权利要求18所述的半导体器件,还包括:
第二Ru金属膜,其处于所述凹入特征中的所述阻挡层上。
20.如权利要求18所述的半导体器件,其中,所述凹入特征包括一个或多个沟槽和一个或多个过孔。
CN200880106629.7A 2007-09-11 2008-09-09 将选择性钌沉积集成到半导体器件的制造中的方法 Active CN101965635B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US11/853,393 2007-09-11
US11/853,393 US7829454B2 (en) 2007-09-11 2007-09-11 Method for integrating selective ruthenium deposition into manufacturing of a semiconductior device
PCT/IB2008/003805 WO2009060320A2 (en) 2007-09-11 2008-09-09 Method for integrating selective ruthenium deposition into manufacturing of a semiconductior device

Publications (2)

Publication Number Publication Date
CN101965635A true CN101965635A (zh) 2011-02-02
CN101965635B CN101965635B (zh) 2014-02-12

Family

ID=40430967

Family Applications (1)

Application Number Title Priority Date Filing Date
CN200880106629.7A Active CN101965635B (zh) 2007-09-11 2008-09-09 将选择性钌沉积集成到半导体器件的制造中的方法

Country Status (6)

Country Link
US (1) US7829454B2 (zh)
JP (1) JP5406191B2 (zh)
KR (1) KR101506755B1 (zh)
CN (1) CN101965635B (zh)
TW (1) TWI387051B (zh)
WO (1) WO2009060320A2 (zh)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN106158723A (zh) * 2015-05-13 2016-11-23 格罗方德半导体公司 填充集成电路中的凹穴及其结果装置
CN107564851A (zh) * 2016-06-30 2018-01-09 朗姆研究公司 双镶嵌填充

Families Citing this family (23)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7737028B2 (en) * 2007-09-28 2010-06-15 Applied Materials, Inc. Selective ruthenium deposition on copper materials
US20100081274A1 (en) * 2008-09-29 2010-04-01 Tokyo Electron Limited Method for forming ruthenium metal cap layers
US7977235B2 (en) * 2009-02-02 2011-07-12 Tokyo Electron Limited Method for manufacturing a semiconductor device with metal-containing cap layers
US8716132B2 (en) * 2009-02-13 2014-05-06 Tokyo Electron Limited Radiation-assisted selective deposition of metal-containing cap layers
US8242019B2 (en) * 2009-03-31 2012-08-14 Tokyo Electron Limited Selective deposition of metal-containing cap layers for semiconductor devices
DE102009021488A1 (de) * 2009-05-15 2010-12-16 Globalfoundries Dresden Module One Llc & Co. Kg Verbessertes Elektromigrationsverhalten von Kupferleitungen in Metallisierungssystemen von Halbleiterbauelementen durch Legierung von Oberflächen
US8178439B2 (en) 2010-03-30 2012-05-15 Tokyo Electron Limited Surface cleaning and selective deposition of metal-containing cap layers for semiconductor devices
US8232200B1 (en) * 2011-03-18 2012-07-31 International Business Machines Corporation Methods of forming integrated circuit devices having damascene interconnects therein with metal diffusion barrier layers and devices formed thereby
US8921228B2 (en) 2011-10-04 2014-12-30 Imec Method for selectively depositing noble metals on metal/metal nitride substrates
EP2584588B1 (en) * 2011-10-21 2017-10-04 Imec Method of forming MIM capacitor with Ru-comprising oxygen diffusion barrier
JP6257217B2 (ja) * 2013-08-22 2018-01-10 東京エレクトロン株式会社 Cu配線構造の形成方法
KR102321209B1 (ko) * 2014-11-03 2021-11-02 삼성전자주식회사 반도체 장치 및 이의 제조 방법
US10002834B2 (en) * 2015-03-11 2018-06-19 Applied Materials, Inc. Method and apparatus for protecting metal interconnect from halogen based precursors
US9576894B2 (en) * 2015-06-03 2017-02-21 GlobalFoundries, Inc. Integrated circuits including organic interlayer dielectric layers and methods for fabricating the same
WO2016196937A1 (en) * 2015-06-05 2016-12-08 Tokyo Electron Limited Ruthenium metal feature fill for interconnects
US9947590B1 (en) * 2016-10-14 2018-04-17 Globalfoundries Inc. Method, apparatus, and system for using a cover mask for enabling metal line jumping over MOL features in a standard cell
WO2018125052A1 (en) * 2016-12-27 2018-07-05 Intel Corporation Selective area deposition of metal layers from hetero-pentadienyl metal complex precursors
TWI790320B (zh) * 2017-12-16 2023-01-21 美商應用材料股份有限公司 釕的選擇性原子層沉積
US10403564B2 (en) * 2017-12-30 2019-09-03 Intel Corporation Dual-damascene zero-misalignment-via process for semiconductor packaging
US10818557B2 (en) 2018-07-03 2020-10-27 Globalfoundries Inc. Integrated circuit structure to reduce soft-fail incidence and method of forming same
JP2020043139A (ja) * 2018-09-06 2020-03-19 東京エレクトロン株式会社 埋め込み方法及び処理システム
US11164780B2 (en) 2019-06-07 2021-11-02 Applied Materials, Inc. Process integration approach for selective metal via fill
WO2020251696A1 (en) 2019-06-10 2020-12-17 Applied Materials, Inc. Processing system for forming layers

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2004146516A (ja) * 2002-10-23 2004-05-20 Tokyo Electron Ltd 成膜方法
US20060121733A1 (en) * 2004-10-26 2006-06-08 Kilpela Olli V Selective formation of metal layers in an integrated circuit
US20060220248A1 (en) * 2005-03-31 2006-10-05 Tokyo Electron Limited Low-temperature chemical vapor deposition of low-resistivity ruthenium layers

Family Cites Families (46)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4851895A (en) * 1985-05-06 1989-07-25 American Telephone And Telegraph Company, At&T Bell Laboratories Metallization for integrated devices
US4929468A (en) * 1988-03-18 1990-05-29 The United States Of America As Represented By The United States Department Of Energy Formation of amorphous metal alloys by chemical vapor deposition
US4938999A (en) * 1988-07-11 1990-07-03 Jenkin William C Process for coating a metal substrate by chemical vapor deposition using a metal carbonyl
US5171610A (en) * 1990-08-28 1992-12-15 The Regents Of The University Of Calif. Low temperature photochemical vapor deposition of alloy and mixed metal oxide films
US5314727A (en) * 1992-07-28 1994-05-24 Minnesota Mining & Mfg. Co./Regents Of The University Of Minnesota Chemical vapor deposition of iron, ruthenium, and osmium
US5359787A (en) 1993-04-16 1994-11-01 Air Products And Chemicals, Inc. High purity bulk chemical delivery system
CA2206217C (en) 1997-05-27 2003-01-07 Miroslav Milinkovic Nickel carbonyl vapour deposition process
US6074945A (en) * 1998-08-27 2000-06-13 Micron Technology, Inc. Methods for preparing ruthenium metal films
US6063705A (en) * 1998-08-27 2000-05-16 Micron Technology, Inc. Precursor chemistries for chemical vapor deposition of ruthenium and ruthenium oxide
EP1131475A1 (en) 1998-11-03 2001-09-12 Chemical Vapour Deposition Systems Inc. Nickel carbonyl vapour deposition apparatus and process
US6319832B1 (en) * 1999-02-19 2001-11-20 Micron Technology, Inc. Methods of making semiconductor devices
US6303809B1 (en) * 1999-12-10 2001-10-16 Yun Chi Organometallic ruthenium and osmium source reagents for chemical vapor deposition
US6440495B1 (en) * 2000-08-03 2002-08-27 Applied Materials, Inc. Chemical vapor deposition of ruthenium films for metal electrode applications
US6444263B1 (en) * 2000-09-15 2002-09-03 Cvc Products, Inc. Method of chemical-vapor deposition of a material
KR100366639B1 (ko) * 2001-03-23 2003-01-06 삼성전자 주식회사 다공성 산화막 플러그에 의한 저저항 컨택 형성방법 및이를 이용한 반도체 장치의 형성방법
JP4160277B2 (ja) * 2001-06-29 2008-10-01 株式会社東芝 半導体装置の製造方法
KR100727372B1 (ko) * 2001-09-12 2007-06-12 토소가부시키가이샤 루테늄착체, 그 제조방법 및 박막의 제조방법
US6420583B1 (en) * 2001-09-27 2002-07-16 Praxair Technology, Inc Methods of synthesizing ruthenium and osmium compounds
US6713373B1 (en) * 2002-02-05 2004-03-30 Novellus Systems, Inc. Method for obtaining adhesion for device manufacture
US7264846B2 (en) * 2002-06-04 2007-09-04 Applied Materials, Inc. Ruthenium layer formation for copper film deposition
US7078341B2 (en) 2003-09-30 2006-07-18 Tokyo Electron Limited Method of depositing metal layers from metal-carbonyl precursors
US6989321B2 (en) * 2003-09-30 2006-01-24 Tokyo Electron Limited Low-pressure deposition of metal layers from metal-carbonyl precursors
US7107998B2 (en) * 2003-10-16 2006-09-19 Novellus Systems, Inc. Method for preventing and cleaning ruthenium-containing deposits in a CVD apparatus
US8158532B2 (en) * 2003-10-20 2012-04-17 Novellus Systems, Inc. Topography reduction and control by selective accelerator removal
US20050110142A1 (en) * 2003-11-26 2005-05-26 Lane Michael W. Diffusion barriers formed by low temperature deposition
US7285308B2 (en) * 2004-02-23 2007-10-23 Advanced Technology Materials, Inc. Chemical vapor deposition of high conductivity, adherent thin films of ruthenium
US20050221000A1 (en) * 2004-03-31 2005-10-06 Tokyo Electron Limited Method of forming a metal layer
US7270848B2 (en) * 2004-11-23 2007-09-18 Tokyo Electron Limited Method for increasing deposition rates of metal layers from metal-carbonyl precursors
US7279421B2 (en) * 2004-11-23 2007-10-09 Tokyo Electron Limited Method and deposition system for increasing deposition rates of metal layers from metal-carbonyl precursors
US7638002B2 (en) * 2004-11-29 2009-12-29 Tokyo Electron Limited Multi-tray film precursor evaporation system and thin film deposition system incorporating same
US20060113675A1 (en) * 2004-12-01 2006-06-01 Chung-Liang Chang Barrier material and process for Cu interconnect
JP2006179599A (ja) * 2004-12-21 2006-07-06 Toshiba Corp 半導体装置およびその製造方法
KR100613388B1 (ko) * 2004-12-23 2006-08-17 동부일렉트로닉스 주식회사 다마신법을 이용한 구리 배선층을 갖는 반도체 소자 및 그형성 방법
US7265048B2 (en) * 2005-03-01 2007-09-04 Applied Materials, Inc. Reduction of copper dewetting by transition metal deposition
US7288479B2 (en) * 2005-03-31 2007-10-30 Tokyo Electron Limited Method for forming a barrier/seed layer for copper metallization
US20070059502A1 (en) * 2005-05-05 2007-03-15 Applied Materials, Inc. Integrated process for sputter deposition of a conductive barrier layer, especially an alloy of ruthenium and tantalum, underlying copper or copper alloy seed layer
US7402519B2 (en) * 2005-06-03 2008-07-22 Intel Corporation Interconnects having sealing structures to enable selective metal capping layers
JP2007043018A (ja) * 2005-08-05 2007-02-15 Toshiba Corp 半導体装置
US7713876B2 (en) * 2005-09-28 2010-05-11 Tokyo Electron Limited Method for integrating a ruthenium layer with bulk copper in copper metallization
US7215006B2 (en) * 2005-10-07 2007-05-08 International Business Machines Corporation Plating seed layer including an oxygen/nitrogen transition region for barrier enhancement
US7785658B2 (en) * 2005-10-07 2010-08-31 Asm Japan K.K. Method for forming metal wiring structure
US7439624B2 (en) * 2006-05-18 2008-10-21 International Business Machines Corporation Enhanced mechanical strength via contacts
US8034406B2 (en) * 2006-09-26 2011-10-11 Tokyo Electron Limited Integrated substrate processing in a vacuum processing tool
US20080081464A1 (en) * 2006-09-29 2008-04-03 Tokyo Electron Limited Method of integrated substrated processing using a hot filament hydrogen radical souce
US7592257B2 (en) * 2007-05-14 2009-09-22 Tokyo Electron Limited Semiconductor contact structure containing an oxidation-resistant diffusion barrier and method of forming
US7884018B2 (en) * 2007-06-21 2011-02-08 International Business Machines Corporation Method for improving the selectivity of a CVD process

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2004146516A (ja) * 2002-10-23 2004-05-20 Tokyo Electron Ltd 成膜方法
US20060121733A1 (en) * 2004-10-26 2006-06-08 Kilpela Olli V Selective formation of metal layers in an integrated circuit
US20060220248A1 (en) * 2005-03-31 2006-10-05 Tokyo Electron Limited Low-temperature chemical vapor deposition of low-resistivity ruthenium layers

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
Q.WANG, J.G.EKERDT, D.GAY, Y.-M.SUN, J.M.WHITE: "Low-tempreture chemical vapor deposition and scaling limitofultrathin Ru film", 《APP.PHY.LETT.》 *

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN106158723A (zh) * 2015-05-13 2016-11-23 格罗方德半导体公司 填充集成电路中的凹穴及其结果装置
CN106158723B (zh) * 2015-05-13 2018-05-08 格罗方德半导体公司 填充集成电路中的凹穴的方法
CN107564851A (zh) * 2016-06-30 2018-01-09 朗姆研究公司 双镶嵌填充
CN107564851B (zh) * 2016-06-30 2020-09-04 朗姆研究公司 双镶嵌填充

Also Published As

Publication number Publication date
US7829454B2 (en) 2010-11-09
KR101506755B1 (ko) 2015-03-27
JP5406191B2 (ja) 2014-02-05
JP2010539698A (ja) 2010-12-16
CN101965635B (zh) 2014-02-12
KR20100113475A (ko) 2010-10-21
US20090065939A1 (en) 2009-03-12
WO2009060320A3 (en) 2009-08-06
TW200913146A (en) 2009-03-16
TWI387051B (zh) 2013-02-21
WO2009060320A2 (en) 2009-05-14

Similar Documents

Publication Publication Date Title
CN101965635B (zh) 将选择性钌沉积集成到半导体器件的制造中的方法
CN101981686B (zh) 用于将选择性的低温钌沉积集成到半导体器件的铜金属化中的方法
CN100593236C (zh) 低电阻率钌层的低温化学气相沉积
CN102165573B (zh) 用于形成钌金属覆盖层的方法
US7473634B2 (en) Method for integrated substrate processing in copper metallization
CN102822949B (zh) 用于半导体器件的含金属覆盖层的表面清洁和选择性沉积的方法
US7432195B2 (en) Method for integrating a conformal ruthenium layer into copper metallization of high aspect ratio features
CN100572591C (zh) 用于增大由羰基金属前驱体沉积金属层的速率的方法
US8242019B2 (en) Selective deposition of metal-containing cap layers for semiconductor devices
US20120252210A1 (en) Method for modifying metal cap layers in semiconductor devices
US10002834B2 (en) Method and apparatus for protecting metal interconnect from halogen based precursors
US20080081464A1 (en) Method of integrated substrated processing using a hot filament hydrogen radical souce
US7718527B2 (en) Method for forming cobalt tungsten cap layers
KR20070083865A (ko) 금속 카르보닐 전구체로부터 금속 층이 증착하는 속도를증가시키는 방법 및 증착 시스템
US20190348369A1 (en) Method and apparatus for protecting metal interconnect from halogen based precursors
JP2000299296A (ja) 半導体素子の銅金属配線形成方法
JP2012074608A (ja) 配線形成方法
US8034406B2 (en) Integrated substrate processing in a vacuum processing tool

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant