CN102007597B - 低温薄膜晶体管工艺、装置特性和装置稳定性改进 - Google Patents

低温薄膜晶体管工艺、装置特性和装置稳定性改进 Download PDF

Info

Publication number
CN102007597B
CN102007597B CN200980113306.5A CN200980113306A CN102007597B CN 102007597 B CN102007597 B CN 102007597B CN 200980113306 A CN200980113306 A CN 200980113306A CN 102007597 B CN102007597 B CN 102007597B
Authority
CN
China
Prior art keywords
approximately
layer
silicon
gas
silicon nitride
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
CN200980113306.5A
Other languages
English (en)
Other versions
CN102007597A (zh
Inventor
杨亚堂
朴范洙
元泰景
崔寿永
约翰·M·怀特
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of CN102007597A publication Critical patent/CN102007597A/zh
Application granted granted Critical
Publication of CN102007597B publication Critical patent/CN102007597B/zh
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4908Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET for thin film semiconductor, e.g. gate of TFT
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66742Thin film unipolar transistors
    • H01L29/6675Amorphous silicon or polysilicon transistors
    • H01L29/66765Lateral single gate single channel transistors with inverted structure, i.e. the channel layer is formed after the gate

Abstract

本发明提供了一种用以形成一薄膜晶体管的方法和设备。形成一栅极介电层,所述栅极介电层可以是双层的,第一层以一低速率沉积,而第二层则以一高速率沉积。在一些实施方式中,所述第一介电层是一富硅氮化硅层。形成一活性层,所述活性层也可以是双层的,第一活性层以一低速率沉积,而第二活性层则以一高速率沉积。本文所述的薄膜晶体管在受力时具有优良的迁移率和稳定度。

Description

低温薄膜晶体管工艺、装置特性和装置稳定性改进
发明背景
发明领域
本发明的实施方式一般涉及具有稳定电气性能的薄膜晶体管,以及这种晶体管的制造方法。
现有技术描述
薄膜晶体管(TFT)广泛用于制造许多尺寸和类型的平板显示器。一般来说,薄膜晶体管成层形成于基板上。一导电底部栅极层被一介电材料覆盖,以在该导电底部栅极层和随后形成的顶部栅极层之间,支持维持一电场。一半导体层通常形成在介电层上。半导体层作为电子的供应者,供应电子到晶体管沟道(channel),晶体管沟道是形成在活性层上的一被掺杂的半导体材料。顶部栅极触点形成在沟道层上。
在操作中,经由源极结和漏极结(junction),一栅极电压被施加于栅极,及一偏压被施加于沟道。栅极电压藉由介电层通过晶体管产生一电场。电场促使电子从活性层移动进入沟道层。当有足够的电子迁移时,电流流经沟道层。
为了确保操作TFT的可靠度,在活性层的电子迁移率是非常重要的。电子必须是自由的,以随时响应施加的栅极电压,从活性层迁移到沟道层。如果在活性层的电子迁移率下降,则在沟道产生电流所需的栅极电压增加,可能导致晶体管失效。此外,特性的稳定度,例如,热和电应力下的阈值电压对于操作的可靠度是非常关键的。
因此,持续需要性能稳定且具有高电子迁移率的薄膜晶体管。
发明内容
本发明的实施方式一般提供一薄膜晶体管,所述薄膜晶体管通过在一基板上沉积一介电层、活性层、掺杂活性层和导电层来形成。在一个实施方式中,所述介电层是双层的,所述介电层包含一富硅氮化硅层和一氮化硅层,其中所述富硅氮化硅层作为第一介电层,而所述氮化硅层作为第二介电层。在另一个实施方式中,所述活性层是双层的,所述活性层包含一第一非晶硅层和一第二非晶硅层,其中所述第一非晶硅层以一低沉积速率沉积,而所所述第二非晶硅层以一较高沉积速率沉积。在一些实施方式中,所述薄膜晶体管具有一至少约1.90的折射率、一至少约0.83:1的硅氮比率、以及一介于约18原子百分比和约21原子百分比之间的硅氢键的含量。
本发明的实施方式还提供一种用以形成一薄膜晶体管的方法,所述方法包含在一基板上顺序地形成一介电层、一活性层、一掺杂活性层和一导电层。在一些实施方式中,所述介电层是由两层组成,即一第一介电层和一第二介电层。在一些实施方式中,所述第一介电层是一富硅氮化硅层。在其它实施方式中,以一低于所述第二介电层的沉积速率形成所述第一介电层。在其他实施方式中,所述活性层是作为双层形成的,所述活性层包含一第一非晶硅层和一第二非晶硅层,其中所述第一非晶硅层以一低沉积速率沉积,而所述第二非晶硅层以一较高沉积速率沉积。
附图简要说明
所以,上述简介的本发明的特征可参考实施方式进一步理解和叙述,部分实施方式绘示于附图中。然而要指出的是,附图仅说明本发明的典型实施方式,因此不应被视为其范围的限制,本发明亦适用于其它具有同等功效的实施方式。
图1是一横截面图,绘示依据本发明的一个实施方式的一PECVD设备。
图2是一TFT结构的示意图。
图3是根据本发明的一个实施方式的一流程图。
为了便于理解,已经在可能的情况下,使用相同的元件符号指示各图式中共有的相同的元件。可以设想,在一个实施方式中所揭示的元件也可有利地用于其它实施方式,而无需特别指明。
详细描述
本发明的实施方式一般提供一薄膜晶体管(TFT)及所述TFT的制造方法。
图1是一横截面图,绘示依据本发明的一个实施方式的一PECVD设备。该设备包括一腔室100,在所述腔室100中可沉积一或更多个薄膜至一基板120上。可使用的一个合适的PECVD设备可购自位于美国加州圣克拉拉市(SantaClara,CA)的应用材料公司(Applied Materials,Inc.)。虽然下面的说明将根据PECVD设备进行描述,但应理解本发明也同样适用于其它处理腔室,包括那些由其它制造商生产的处理腔室。
腔室100一般包括腔壁102、一底部104、一喷头106和基座118,所述腔室100界定一处理容积(process volume)。处理容积可经由一狭缝阀门(slitvalve)开口108进入,以使基板120能被转移进和转移出腔室100。基座118可耦接至一致动器(actuator)116,以升高和降低基座118。贯穿基座118移动设置举升销(lift pin)122,以在放置到基座118之前和从基座118移除之后,支撑一基板120。基座118还可以包括加热和/或冷却元件124,以保持基座118在期望的温度。基座118可能还包括接地线(grounding strap)126,以在基座118周围提供射频接地。
喷头106通过一固定机构(fastening mechanism)150耦接至一背板112。喷头106可通过一或更多个耦合支架150耦接至背板112,以帮助防止凹陷(sag)和/或控制喷头106的平直度/曲率(straightness/curvature)。在一个实施方式中,十二个耦合支架150可用于耦接喷头106至背板112。耦合支架150可包括一固定机构,诸如,螺母和螺栓组件。在一个实施方式中,螺母和螺栓组件可由电绝缘材料(electrically insulating material)制成。在另一个实施方式中,螺栓可由金属制成并且由电绝缘材料包围。在另一个实施方式中,喷头106可车上螺纹以接收螺栓。在又一个实施方式中,螺母可由一电绝缘材料形成。电绝缘材料有助于防止耦合支架150电性耦合可能存在于腔室100的任何等离子体。此外和/或替代性地,可有一中心耦合机构将背板112耦接至喷头106。中心耦合机构可围绕一背板支撑环(未显示),并悬挂在一桥接组件(bridge assembly)(未显示)上。喷头106可通过托架(bracket)134另外耦接至背板112。托架134可能有壁架(ledge)136,用以设置喷头106。背板112可设置在壁架114上,壁架114耦接腔壁102,以密封腔室100。
一气源132耦接至背板112,以经由喷头106中的气道(gas passage)提供处理气体和清洁气体给基板120。处理气体流动穿过一远程等离子体源/射频扼流圈单元(choke unit)130。一真空泵110被耦接到腔室100的低于基座118的位置,以将处理容积保持在一预定的压力下。一射频电源128被耦接到背板112和/或喷头106,以提供一射频电流给喷头106。射频电流在喷头106和基座118之间产生一电场,以便可以从喷头106和基座118之间的气体产生等离子体。可以使用不同频率,例如,介于约0.3MHz和约200MHz之间的一频率。在一个实施方式中,以13.56MHz的频率提供射频电流。
在处理基板之间,可提供清洁气体给远程等离子体源/射频扼流圈单元130,以使远程等离子体生成,并被提供来清洁腔室100的部件。来自耦合到远程等离子体源/射频扼流圈130的微波源138的一微波电流可引发等离子体。清洁气体可进一步由提供给喷头106的射频电源128激发。合适的清洁气体包括但不限于NF3、F2和SF6。在基板120的顶面和喷头106之间的间距可介于约400密尔(mil)至约1200密尔之间。在一个实施方式中,间距可能介于约400密尔到约800密尔之间。
PECVD可用来沉积TFT的各层。图2是一示意图,绘示依据本发明的一个实施方式的一TFT结构200。TFT结构200包括一基板202,所述基板可以是其上可形成有含硅介电层的任何基板。基板202可以是导电的或非导电的,而且可以是刚性的或弹性的。在一些实施方式中,基板202可以是一玻璃基板。在其他实施方式中,基板202可以是一经掺杂或以其他方式处理的玻璃基板。TFT结构200进一步包括一第一介电层204、一底部栅极层206、一第二介电层208、一第一活性层210、一第二活性层212、一掺杂半导体层214、一金属层216和一钝化层218。
TFT结构200的第一介电层204一般沉积在基板202上,以一第一沉积速率沉积达一第一厚度。在许多实施方式中,TFT结构200的第一介电层204是一氮化硅层。在一些实施方式中,第一介电层204可以是一富硅氮化硅层,例如,具有一硅氮比大于约0.80:1.0的富硅氮化硅层。在另一个实施方式中,富硅氮化硅层可能有大于约0.83:1.0的硅氮比。在另一个实施方式中,富硅氮化硅层可能有大于约0.85:1.0的硅氮比。与人们普遍的认知相反,富硅氮化硅层是“不好的氮化物”层,目前已发现,在低温下由于增加结构中硅氢键的比例所造成的高缺陷密度,富硅氮化硅层可减少TFT沉积中负方向的阈值电压漂移。这些缺陷可作为电子阱,其中高密度电子阱被认为随时间推移可用来减少入侵到介电层的电子数。从而减少会随时间推移降低阈值电压的负方向的阈值电压漂移。
第一介电层204可沉积达一第一厚度,所述第一厚度介于约
Figure GDA00002324304200051
(埃)和约
Figure GDA00002324304200052
之间,诸如,
Figure GDA00002324304200053
和约之间,例如,约
Figure GDA00002324304200055
在第一介电层是一富硅氮化硅层的实施方式中,第一介电层204具有高于标准氮化硅薄膜的一折射率。标准氮化硅薄膜具有约1.8至1.9的折射率。相反地,富硅氮化硅薄膜具有约1.9或更高的折射率。在一些实施方式中,折射率可介于约1.92和约1.96之间。在一些实施方式中,富硅氮化硅层(例如,上文根据第一介电层204所述的富硅氮化硅层)可具有比氮氢键含量高的硅氢键。在其它实施方式中,硅氢键的含量可能低于氮氢键的含量。例如,在一些实施方式中,硅氢键的含量可介于约18原子百分比和约30原子百分比之间,诸如,约21原子百分比和约27原子百分比之间。在其它实施方式中,氮氢键的含量可能少于约20原子百分比,诸如,低于约18原子百分比。
TFT结构200的底部栅极层206一般沉积在第一介电层204的上面或内部。底部栅极层206一般包括一金属(诸如,铬,或金属合金,诸如铝钕合金),并沉积至介约
Figure GDA00002324304200056
和约
Figure GDA00002324304200057
之间的厚度。底部栅极层可以是一双层,所述双层包含可能相同或不同的两种金属或合金。例如,底部栅极层可以是一双层,所述双层包含铬和铝钕合金。
第二介电层208可包括含有硅、氧、氮、碳或上述元素的组合的一层。例如,第二介电层208可以是氮化硅、氧化硅或碳化硅。此外,在一些实施方式中,第二介电层可以是氧氮化硅、氧碳化硅或碳氮化硅。在第二介电层208是一氮化硅层的实施方式中,所述氮化硅层可能是一计量氮化硅层(stoichiometric silicon nitride layer),或是一富硅氮化硅层。在一些实施方式中,第二介电层208的组成可能实质上类似于第一介电层。在一些实施方式中,第二介电层208可能有大于第一介电层204的硅氮比。在一些实施方式中,第二介电层208可能有小于第一介电层204的硅氮比。第二介电层208通常沉积达一第二厚度,所述第二厚度介于约
Figure GDA00002324304200058
和约
Figure GDA00002324304200059
之间,诸如,介于约
Figure GDA000023243042000510
Figure GDA000023243042000511
和约
Figure GDA000023243042000512
之间,例如,约
Figure GDA000023243042000513
第二厚度一般小于第一厚度。
第一介电层和第二介电层共同构成一栅极介电层,所述栅极介电层具有低介电常数和良好的阻挡性能。此外,栅极介电层通过TFT支持良好的电子迁移率,并且随时间推移促进稳定的电气性能。因此,形成的第二介电层最好有一较低的湿蚀刻速率,所述湿蚀刻速率介于约和约
Figure GDA00002324304200062
之间,例如,介于约
Figure GDA00002324304200063
和约
Figure GDA00002324304200064
之间。
第一活性层210可以是一非晶硅层、一多晶硅层或一氢化非晶硅层。第一活性层210一般沉积达一第三厚度,所述第三厚度可介于约和约
Figure GDA00002324304200066
之间,例如,介于约
Figure GDA00002324304200067
和约
Figure GDA00002324304200068
之间,例如,约
Figure GDA00002324304200069
当向栅极施加电压时,第一活性层210一般将电子供应至掺杂半导体层214。第一活性层210可以是一半导体材料(诸如,硅或锗或硅锗混合物)、一掺杂半导体材料(诸如,n型掺杂或p型掺杂硅材料),或透明导电氧化物材料,诸如氧化锌。
第二活性层212也可能是一非晶硅层,沉积达一第四厚度,所述第四厚度介于约
Figure GDA000023243042000610
和约
Figure GDA000023243042000611
之间,例如,约
Figure GDA000023243042000612
至约
Figure GDA000023243042000613
例如,约
Figure GDA000023243042000614
Figure GDA000023243042000615
第四厚度通常大于第三厚度。第二活性层212可具有实质上类似于第一活性层210的组成。第二活性层212也可能是一半导体材料、一掺杂半导体材料或一透明导电氧化物,大体上如上所述。
掺杂半导体层214一般形成TFT 200的一源/漏区。掺杂半导体层214一般将是一n型掺杂或p型掺杂硅区域。例如,层214可以是一非晶硅区,所述非晶硅区以硼、磷或砷中的一或更多种掺杂。金属层216可被溅射到层214上,并在所述层214上形成钝化层218。钝化层218可以是氮化硅层。
本发明的实施方式还提供一种形成TFT的方法,所述方法类似于上文结合图2描述的形成TFT的方法。图3是一流程图,描述根据本发明一实施方式的方法300。在步骤302中,在一基板上沉积可能是一富硅氮化硅层的一第一介电层。在示例性实施方式中,其中第一介电层是一富硅氮化硅层,通过提供一基板至一处理腔室,诸如上文结合图1所述的处理腔室,来沉积第一介电层。提供第一气体混合物给处理腔室,并产生一等离子体以在基板上沉积第一介电层。气体混合物一般包含:一硅源和一氮源,其中所述硅源诸如硅烷(SiH4),所述氮源诸如氮气(N2)、氨(NH3)或氮氨的混合物。此外,氢源(例如,氢气(H2))和载气(诸如氩气(Ar))可补充第一气体混合物。在一些实施方式中,氨也可作为氢源。
一般情况下,气体混合物流进处理腔室的流率将取决于处理中基板的尺寸。在一些实施方式中,例如,在一示例性实施方式中,被处理的一基板具有68cm×88cm的尺寸,可提供流量介于约4,000sccm至约19,000sccm之间的第一气体混合物,例如,介于约7,000sccm至约11,000sccm之间,例如,约9,000sccm。在这样的实施方式中,SiH4气体的气流介于约300sccm到约900sccm之间,例如,约400sccm至约700sccm,例如,约550sccm。NH3气体的气流介于约600sccm到约2,400sccm之间,例如,约800sccm和约2,000sccm之间,例如,约1,200sccm。N2气体的气流介于约1,000sccm到约7,000sccm之间,例如,约1,000sccm至约4,000sccm之间,例如,约1,000sccm。H2气体的气流介于约3,000sccm到约9,000sccm之间,例如,约5,000sccm至约7,000sccm之间,例如,约6,000sccm。
在一些实施方式中,第一气体混合物的气流可被调整,输送到基板的区域。例如,在一示例性实施方式中,第一气体混合物可以特定的流速来提供,所述流速介于约0.8sccm/cm2至约3.1sccm/cm2之间,例如,约1.0sccm/cm2至约2.0sccm/cm2之间,例如,约1.4sccm/cm2。在这样的实施方式中,SiH4气体的气流介于约0.05sccm/cm2到约0.15sccm/cm2之间,例如,约0.07sccm/cm2和约0.11sccm/cm2之间,例如,约0.09sccm/cm2。NH3气体的气流介于约0.10sccm/cm2和约0.40sccm/cm2之间,例如,约0.16sccm/cm2至约0.24sccm/cm2之间,例如,约0.20sccm/cm2。N2气体的气流介于约0.17sccm/cm2到约1.1sccm/cm2之间,例如,约0.17sccm/cm2和约0.5sccm/cm2之间,例如,约0.17sccm/cm2。H2气体的气流介于约0.5sccm/cm2和约1.5sccm/cm2之间,例如,约0.7sccm/cm2和约1.3sccm/cm2之间,例如,约1.0sccm/cm2
此外,在一些实施方式中,第一气体混合物的特征为:在NH3和SiH4(NH3:SiH4)之间的气体流速的比例约为0.7:1到约7.4:1,例如,约1.5:1到约3.0:1,例如,约2.2:1。在N2和SiH4(N2:SiH4)之间的气体流速的比例约为1:1到21:1,例如,约1.5:1到约10:1,例如,约2:1。在N2和NH3(N2:NH3)之间的气体流速的比例约为0.4:1到约11:1,例如,介于约0.6:1和约4:1之间,例如,约0.8:1。
一般在第一温度下沉积第一介电层,所述第一温度介于约150℃和约250℃之间,例如,约200℃。较低的沉积温度允许在各种基板上形成TFT。通常形成等离子体以增强沉积,并且通常通过施加射频功率至反应区以激发等离子体,其中射频功率是13.56MHz的频率,功率水平(power level)介于约2,000瓦(W)和约3,800W之间,例如,介于约2,400W和约3,200W之间,例如,约2,700W。在一些实施方式中,由RF所施加的特定功率可介于约0.30W/cm2和约0.70W/cm2之间,例如,约0.35W/cm2和约0.55W/cm2之间,例如,约0.45W/cm2。施加至第一气体混合物的功率与气流的比例一般介于约0.2W/sccm和约0.4W/sccm之间,例如,约0.33W/sccm。腔室内的压力一般维持在约4托(Torr)以下,例如,介于约1.0托和约3.0托之间,例如,约2.1托。在一些实施方式中,电极和基板之间的间距一般约介于约450密尔和1,000密尔之间,例如,约900密尔。这些条件导致第一介电层沉积的第一速率相对较高,例如,介于约和约
Figure GDA00002324304200082
之间,例如约
Figure GDA00002324304200083
第一介电层可沉积达一第一厚度,所述第一厚度介于约
Figure GDA00002324304200084
和约
Figure GDA00002324304200085
之间,例如,和约之间,例如,约
Figure GDA00002324304200088
第二介电层形成于步骤304中。第二介电层可与第一介电层一样形成于相同的处理腔室中,或根据个别实施方式的特定需要形成在不同的处理腔室中。第二介电层可以是氮化硅、氧化硅或碳化硅。此外,在一些实施方式中,第二介电层可以是氧氮化硅、氧碳化硅或碳氮化硅。在示例性实施方式中,其中第二介电层是氮化硅层,第二介电层可以用大致相同的前驱物和工艺条件,通过类似于第一介电层的工艺来形成。在一些实施方式中,可改变前驱物水平(precursor level),以形成具有不同成分的层。例如,如上文结合图2所描述的,如果第一介电层是一富硅氮化硅层,而第二介电层是一氮化硅层,则硅源的流速可能会降低,或氮源的流速会增加,以达成期望的薄膜组成。
在一些实施方式中,可通过提供第二气体混合物给一处理腔室,以及产生一等离子体来沉积第二介电层,来形成第二介电层。第二气体混合物一般包含:一硅源和一氮源,所述硅源诸如硅烷(SiH4),所述氮源诸如氮气(N2)、氨(NH3)或氮氨的混合物。此外,一氢源(例如,氢气(H2))和一载气(诸如,氩气(Ar))可补充第二气体混合物。在一些实施方式中,氨也可作为氢源。
一般情况下,气体混合物流进处理腔室的流率将取决于处理中基板的尺寸。在一些实施方式中,例如,在一示例性实施方式中,被处理的一基板具有68cm×88cm的尺寸,可提供流量高于第一气体混合物流量的第二气体混合物,所述流量介于约8,000sccm至约20,000sccm之间,例如,介于约10,000sccm至约18,000sccm之间,例如,约14,000sccm。在一些实施方式中,第二气体混合物的流量比第一气体混合物的流量高20%和100%之间,例如,比第一气体混合物的流量高约60%至70%之间,例如,比第一气体混合物的流量高约65%。在其它实施方式中,可以流量小于第一气体混合物的流量来提供第二气体混合物。在上述具有特征基板尺寸的实施方式中,SiH4气体的气流介于约140sccm到约360sccm之间,例如,介于约200sccm和约420sccm之间,例如,约250sccm。NH3气体的气流介于约600sccm至约1700sccm之间,例如,介于约800sccm和约1,300sccm之间,例如,约1,050sccm。N2气体的气流介于约4,000sccm至约10,000sccm之间,例如,介于约6,000sccm和约8,000sccm之间,例如,约7,000sccm。在这个实施方式中,H2气体的气流介于约3,500sccm到约8,500sccm之间,例如,约4,500sccm和约7,500sccm之间,例如,约6,000sccm。
在一些实施方式中,第二气体混合物的特定流速介于约1.4sccm/cm2和约3.3sccm/cm2之间,例如,约2.0sccm/cm2和约2.8sccm/cm2之间,例如,约2.4sccm/cm2。SiH4气体的特定流速介于约0.02sccm/cm2到约0.07sccm/cm2之间,例如,介于约0.03sccm/cm2和约0.05sccm/cm2之间,例如,约0.04sccm/cm2。NH3气体的特定流速介于约0.10sccm/cm2到约0.30sccm/cm2之间,例如,介于约0.14sccm/cm2和约0.22sccm/cm2之间,例如,约0.18sccm/cm2。N2气体的特定流速介于约0.7sccm/cm2到约1.7sccm/cm2之间,例如,介于约0.9sccm/cm2和约1.5sccm/cm2之间,例如,约1.2sccm/cm2。H2气体的特定流速介于约0.5sccm/cm2和约1.4sccm/cm2之间,例如,介于约0.8sccm/cm2和约1.2sccm/cm2之间,例如,约1.0sccm/cm2
此外,在一些实施方式中,第二气体混合物具有的特征为:NH3和SiH4(NH3:SiH4)的气体流速比例介于约1:1至约12:1之间,例如,介于约2:1和约6:1之间,例如约4:1。在N2和SiH4(N2:SiH4)间的气体流速的比例介于约10:1到约70:1之间,例如,介于约25:1到约35:1之间,例如,约30:1。在N2和NH3(N2:NH3)间的气体流速的比例介于约2:1到约16:1之间,例如,介于约4:1和约11:1之间,例如,约6.5:1。通常以速率小于第一介电层的速率来沉积第二介电层。
第二介电层一般将在与第一温度大体上相同的第二温度下沉积,所述温度介于约150℃和约250℃之间,例如,大约200℃。通常用一等离子体来加强沉积,且通常通过施加射频功率激发至反应区,其中采用13.56MHz的频率,以及介于约900瓦(W)和2,100W之间的功率水平,例如,功率水平介于约1,200W和约1,800W之间,例如,约1,500W。在第二介电层的一些实施方式中,由RF所施加的特定功率可介于约0.15W/cm2和约0.35W/cm2之间,例如,约0.20W/cm2和约0.30W/cm2之间,例如,约0.25W/cm2。施加至第二气体混合物的功率与气流的比例一般介于约0.09W/sccm和约0.11W/sccm之间,例如,约0.10W/sccm。腔室内的压力一般维持在约4托以下,例如,介于约0.6托和约2.0托之间,例如,约1.0托。在一些实施方式中,电极和基板之间的间距一般约介于450密尔和900密尔之间,例如,约600密尔。这些条件通常导致第二介电层的沉积速率低于第一介电层的沉积速率。在一些实施方式中,第二介电层可以第一介电层沉积速率的约40%和约60%之间的速率沉积。在如上所述的示例性实施方式中,第二介电层的沉积速率将介于约和约之间,例如,介于约
Figure GDA00002324304200103
和约之间,例如,约
Figure GDA00002324304200105
在实施方式中,其中第二介电层是一氮化硅层,所述氮化硅层可能是一计量氮化硅层,或一富硅氮化硅层。在一些实施方式中,第二介电层的组成可能实质上类似于第一介电层。在一些实施方式中,第二介电层可能具有大于第一介电层的硅氮比。在其它实施方式中,第二介电层可能具有小于第一介电层的硅氮比。第二介电层通常沉积达一第二厚度,所述第二厚度介于约
Figure GDA00002324304200106
和约
Figure GDA00002324304200107
之间,例如,介于约
Figure GDA00002324304200108
和约
Figure GDA00002324304200109
之间,例如,约
Figure GDA000023243042001010
第二厚度一般小于第一厚度。
一第一活性层形成于步骤306中。第一活性层可能是一非晶硅层、一多晶硅层、一氢化非晶硅层或一透明导电氧化物层,例如,氧化锌,如上文中参照图2所述。第一活性层可以是一半导体材料,例如,硅或锗;或一掺杂半导体材料,例如,一n型或P型掺杂硅材料。在一示例性实施方式中,其中第一活性层是一非晶硅层,一第三气体混合物被提供至一处理腔室,所述处理腔室可以是用来形成先前介电层的相同处理腔室。第三气体混合物包括一硅源,诸如硅烷、烷基硅烷、硅氧烷、硅氮烷、硅醇(silanol)或其它线性或环状硅源。第三气体混合物也可能包括与硅源不同的氢源,例如,氢气。
在一示例性实施方式中,其中硅源是硅烷(SiH4),而氢源是氢气(H2),以及基板的尺寸相同于上述实施方式,第三气体混合物的流量可以是介于约5,000sccm至约35,000sccm之间,例如,介于约7,000sccm至约20,000sccm之间,例如,约11,000sccm。SiH4气体的气流介于约400sccm至约1,400sccm之间,例如,介于约600sccm和约1,000sccm之间,例如,约800sccm。H2气体的气流介于约4,000sccm至约30,000sccm之间,例如,介于约7,000sccm和约13,000sccm之间,例如,约10,000sccm。
在一些实施方式中,第三气体混合物的特定流速介于约0.8sccm/cm2和约6.0sccm/cm2之间,例如,介于约1.5sccm/cm2和约2.5sccm/cm2之间,例如,约1.8sccm/cm2。SiH4气体的特定流速介于约0.08sccm/cm2和约0.22sccm/cm2之间,例如,介于约0.12sccm/cm2和约0.16sccm/cm2之间,例如,约0.14sccm/cm2。H2气体的特定流速介于约0.8sccm/cm2和约5.0sccm/cm2之间,例如,介于约1.2sccm/cm2和约2.5sccm/cm2之间,例如,约1.7sccm/cm2。此外,在H2和SiH4(H2:SiH4)之间的气流比例介于约4:1和约60:1之间,例如,约12:1。
在一些实施方式中,第一活性层一般将在类似于上述层的温度下沉积,所述温度介于约150℃和约250℃之间,例如,大约200℃。等离子体的使用一般被施加以13.56MHz的射频功率,功率水平介于约100W和约700W之间,例如,介于约300W和约500W之间,例如,约350W。在一些实施方式中,特定功率将介于约0.017W/cm2和约0.12W/cm2之间,例如,介于约0.030W/cm2和约0.070W/cm2之间,例如,约0.057W/cm2。施加至第三气体混合物的功率与气流的比例一般将介于约0.01W/sccm和约0.04W/sccm之间,例如,约0.03W/sccm。处理压力维持在约5托以下,例如,介于约1.0托和约5.0托之间,例如,约2.5托。在一些实施方式中,电极和基板之间的间距一般介于约400密尔和900密尔之间,例如,约550密尔。这些条件通常导致第一活性层的较低的沉积速率。整体而言,一较低的沉积速率是有吸引力的,因为可以保持活性层的电子迁移率。在如上所述的示例性实施方式中,第一活性层的沉积速率将介于约
Figure GDA00002324304200111
和约
Figure GDA00002324304200112
之间,例如,约
Figure GDA00002324304200113
在一些实施方式中,第一活性层沉积达一第三厚度,所述第三厚度介于约
Figure GDA00002324304200114
和约
Figure GDA00002324304200116
之间,例如,介于约
Figure GDA00002324304200117
和约
Figure GDA00002324304200118
之间,例如,约
Figure GDA00002324304200119
第二活性层形成于步骤308中。第二活性层可以是一非晶硅层、一多晶硅层、一氢化非晶硅层或一透明导电氧化物层,例如,氧化锌,如上文中参照图2所述。第二活性层可以是一半导体材料,例如,硅或锗;或一掺杂半导体材料,例如,一n型或P型掺杂硅材料。在一示例性实施方式中,其中第二活性层是一非晶硅层,一第四气体混合物被提供至一处理腔室,所述处理腔室可以与用来形成先前介电层和活性层的处理腔室相同或不同。第四气体混合物包括一硅源,例如,硅烷、烷基硅烷、硅氧烷、硅氮烷、硅醇或其它硅源。第四气体混合物也可能包括与硅源不同的氢源,例如,氢气。
在一示例性实施方式中,其中硅源是硅烷(SiH4),而氢源是氢气(H2),以及基板的尺寸例示于上述实施方式中,第四气体混合物的流量可以是介于约3,000sccm至约12,000sccm之间,例如,介于约6,000sccm至约8,000sccm之间,例如,约7,000sccm。SiH4气体的气流介于约500sccm至约2,200sccm之间,例如,介于约700sccm和约1,100sccm之间,例如,约900sccm。H2气体的气流介于约3,000sccm到约10,000sccm,例如,介于约5,000sccm至约7,000sccm之间,例如,约6,000sccm。
在一些实施方式中,第四气体混合物的特定流速介于约0.5sccm/cm2和约2.0sccm/cm2之间,例如,介于约0.9sccm/cm2和约1.3sccm/cm2之间,例如,约1.1sccm/cm2。SiH4气体的特定流速介于约0.08sccm/cm2和约0.40sccm/cm2之间,例如,介于约0.13sccm/cm2和约0.20sccm/cm2之间,例如,约0.15sccm/cm2。H2气体的特定流速介于约0.4sccm/cm2和约1.6sccm/cm2之间,例如,介于约0.8sccm/cm2和1.2sccm/cm2之间,例如,约1.0sccm/cm2。此外,在H2和SiH4(H2:SiH4)之间的气流比例介于约1:1至约18:1之间,例如,约7:1。
在一些实施方式中,第二活性层一般将在类似于上述层的温度下沉积,所述温度介于约150℃和约250℃之间,例如,大约200℃。等离子体的使用一般被施加以13.56MHz的射频功率,功率水平介于约400W和约2,000W之间,例如,介于约500W和约900W之间,例如,约750W。在一些实施方式中,特定功率可介于约0.07W/cm2和约0.40W/cm2之间,例如,介于约0.09W/cm2和约0.20W/cm2之间,例如,约0.12W/cm2。施加至第四气体混合物的功率与气流的比例一般将介于约0.05W/sccm和约0.15W/sccm之间,例如,约0.11W/sccm。处理压力维持在约5托以下,例如,介于约1.0托和约5.0托之间,例如,约2.1托。在一些实施方式中,电极和基板之间的间距一般介于约400密尔和约900密尔之间,例如,约500密尔。这些条件通常导致第二活性层的相对较高的沉积速率。第二活性层的沉积速率一般高于第一活性层,并可能会高于或低于第一或第二介电层的沉积速率。在如上所述的示例性实施方式中,第二活性层的沉积速率将介于约
Figure GDA00002324304200131
和约
Figure GDA00002324304200132
之间,例如,约
Figure GDA00002324304200133
在一些实施方式中,第二活性层沉积达一第四厚度,所述第四厚度可介于约
Figure GDA00002324304200134
和约
Figure GDA00002324304200135
之间,例如,介于约
Figure GDA00002324304200136
和约
Figure GDA00002324304200137
之间,例如,约
Figure GDA00002324304200138
在步骤310中,一含掺杂硅层沉积于第二活性层之上。含掺杂硅层可以是n型掺杂或P型掺杂的非晶硅层。在其它实施方式中,含掺杂硅层可以是一混合硅锗层,以n型或p型掺杂物来掺杂。掺杂硅层可作为一晶体管的沟道层,例如,上文中参照图2讨论的薄膜晶体管。使用的掺杂物可选自包含下列元素的群组:硼、磷、砷、以及上述元素的组合。在一示例性实施方式中,其中含掺杂硅层是一n型掺杂非晶硅层,第五气体混合物被提供至一处理腔室,所述处理腔室可以与用来形成先前介电层和活性层的处理腔室相同或不同。第五气体混合物包括一硅源,例如,硅烷、烷基硅烷、硅氧烷、硅氮烷、硅醇或其它具有n型掺杂物的硅源。在一示例性实施方式中,n型掺杂物可以是一含磷前驱物,例如,磷化氢(PH3)或低聚物磷化氢。第五气体混合物也可包括与硅源不同的氢源,例如,氢气。
在一示例性实施方式中,其中硅源是硅烷(SiH4),而氢源是氢气(H2),以及掺杂物前驱物是磷化氢(PH3),而基板的尺寸例示于上述实施方式中,第五气体混合物的流速可以是介于约3,000sccm至约20,000sccm之间,例如,介于约6000sccm和约17,000sccm之间,例如,约11,500sccm。SiH4气体的气流介于约500sccm至约1,400sccm之间,例如,介于约700sccm和约1,100sccm之间,例如,约900sccm。H2气体的气流介于约3,000sccm到约15,000sccm之间,例如,介于约5,000sccm至约13,000sccm之间,例如,约9,500sccm。PH3气体的气体流量介于约100sccm和约3,000sccm之间,例如,介于约300sccm和约2,000sccm之间,例如,约1,000sccm。
在一些实施方式中,第五气体混合物的特定流速介于约0.6sccm/cm2和约2.0sccm/cm2之间,例如,介于约0.9sccm/cm2和约1.9sccm/cm2之间,例如,约1.9sccm/cm2。SiH4气体的特定流速介于约0.08sccm/cm2和约0.24sccm/cm2之间,例如,介于约0.11sccm/cm2和约0.17sccm/cm2之间,例如,约0.14sccm/cm2。H2气体的特定流速介于约0.5sccm/cm2和约2.5sccm/cm2之间,例如,介于约1.0sccm/cm2和约2.0sccm/cm2之间,例如,约1.5sccm/cm2。PH3气体的特定流速介于约0.03sccm/cm2和约0.5sccm/cm2之间,例如,介于约0.04sccm/cm2和约0.30sccm/cm2之间,例如,约0.17sccm/cm2。此外,H2和SiH4(H2:SiH4)之间的气体流速比例介于约2:1至约36:1之间,例如约13:1,并且H2和PH3之间的气体流速比例一般约10:1(即,PH3占有约H2体积的0.5%)。
在一些实施方式中,含掺杂硅层一般在类似于上述层的温度下沉积,所述温度介于约150℃和约250℃之间,例如,大约200℃。等离子体的使用一般被施加以13.56MHz的射频功率,功率水平介于约100W和约600W之间,例如,介于约200W和约500W之间,例如,约350W。在一些实施方式中,特定功率可介于约0.01W/cm2和约0.10W/cm2之间,例如,介于约0.03W/cm2和约0.08W/cm2之间,例如,约0.06W/cm2。施加至第五气体混合物的功率与气流的比例一般介于约0.02W/sccm和约0.04W/sccm之间,例如,约0.03W/sccm。处理压力维持在约5托以下,例如,介于约1.5托和约5托之间,例如,约2.5托。在一些实施方式中,电极和基板之间的间距一般介于约400密尔和900密尔之间,例如,约550密尔。这些条件一般导致含掺杂硅层的沉积速率高于第一活性层,但低于第二活性层。在如上所述的示例性实施方式中,含掺杂硅层的沉积速率将介于约
Figure GDA00002324304200141
和约
Figure GDA00002324304200142
之间,例如,约
Figure GDA00002324304200144
在一些实施方式中,含掺杂硅层将被沉积达一第五厚度,所述第五厚度介于约
Figure GDA00002324304200145
和约
Figure GDA00002324304200146
之间,例如,介于约
Figure GDA00002324304200147
和约
Figure GDA00002324304200148
之间,例如,约
Figure GDA00002324304200149
在步骤312中,一导电层形成在含掺杂硅层上。该导电层可以是金属或金属合金,并且可根据本领域熟知的技艺通过溅射来沉积。一钝化层也可形成在导电层之上。在一些实施方式中,钝化层可以是一含硅和氮层,诸如,氮化硅,且也可通过本领域熟知技艺来形成。
取决于特定实施方式,方法300的步骤可执行在相同的处理腔室或不同的处理腔室中。在一些实施方式中,例如,在单一处理腔室中,执行步骤302-310可能是有利的。
实例
在一第一实例中,通过沉积一富硅氮化硅层在一基板上来形成一TFT,其中该基板上形成有一底栅极层。富硅氮化硅层被沉积的厚度达约一
Figure GDA00002324304200151
氮化硅层形成在相同处理腔室中,且形成在富硅氮化硅层上,达到约
Figure GDA00002324304200152
的深度。一第一非晶硅层形成在氮化硅层上,达到约
Figure GDA00002324304200153
的厚度。而后在同一处理腔室中,以较高沉积速率将非晶硅的一第二活性层沉积在该第一活性层上,达到厚度约
Figure GDA00002324304200154
再次在相同的腔室中,在上面沉积一掺杂非晶硅层达到约
Figure GDA00002324304200155
的厚度。而顶部已增加金属接触(metal contact)和钝化层。各种沉积步骤的工艺条件详列如下表1。
表1-实例1的工艺条件
Figure GDA00002324304200156
由此产生的TFT显示出阈值电压0.10伏特、开启电流2.98微安培(μA)、截止电流4.05微微安培(pA)、迁移率0.8cm2/(V·S)、以及亚阈值摆幅(subthreshold swing)0.62V/dec。
表2和表3的工艺条件显示两个互相比较的实例。表4展示由此产生的TFT的特性。实例1产生的TFT的特性也包括在表4中,以便于比较。表5概述在80℃和+/-40V的栅极偏压时每一实例的偏压温度应力数据,所述表5展示相较于比较的实例已改善了实例1的阈值电压漂移。
表2-比较实例1的工艺条件
Figure GDA00002324304200161
表3-比较实例2的工艺条件
表4-比较TFT的特性
  样本   迁移率(cm2/(V·S))   Vth(V)   Ioff(pA) Ion(μA)   Ion/ioff   S(V/dec)
 实例1   0.73   1.40   0.11   5.60   5.09x107   0.71
 比较1   0.70   1.30   1.70   5.50   3.24x106   0.73
 比较2   0.8   0.90   0.37   6.50   1.76x107   0.67
表5-偏压温度应力数据
Figure GDA00002324304200163
因此,此处所述的方法有利于通过控制栅极介电层和半导体层的薄膜特性,改善TFT装置的电子迁移率、稳定性和和均匀度。
虽然上文针对本发明的实施方式,但也可设计本发明的其它和进一步的实施方式而不偏离本发明的基本范围,本发明的范围由后附权利要求书所决定。

Claims (9)

1.一种形成一薄膜晶体管的方法,包含:
以一第一速率,在一基板上形成一富硅氮化硅层;
以一第二速率,在所述富硅氮化硅层上形成一氮化硅层;
以一第三速率,在所述氮化硅层上形成一第一非晶硅层;和
以一第四速率,在所述第一非晶硅层上形成一第二非晶硅层,其中所述第三速率介于
Figure FFW00000082291900011
Figure FFW00000082291900012
之间,并且所述第四速率介于
Figure FFW00000082291900013
之间。
2.如权利要求1所述的方法,其中所述第二速率低于所述第一速率。
3.如权利要求1所述的方法,其中所述富硅氮化硅层具有一硅氮比,所述硅氮比大于约0.83∶1。
4.如权利要求1所述的方法,其中所述富硅氮化硅层具有一硅氢键含量,所述硅氢键含量介于约18原子百分比和约30原子百分比之间。
5.如权利要求1所述的方法,其中所述富硅氮化硅层的厚度比所述氮化硅层厚。
6.如权利要求2所述的方法,其中所述富硅氮化硅层具有一硅氮比,所述硅氮比大于约0.83∶1。
7.一种形成一薄膜晶体管的方法,包含:
以一第一速率,在一基板上形成一富硅氮化硅层,达到一第一厚度;
在所述富硅氮化硅层上形成一底部栅极层,所述底部栅极层包含金属;
以一第二速率,在所述底部栅极层上形成一氮化硅层,达一第二厚度;
以一第三速率,在所述氮化硅层上形成一第一非晶硅层,达一第三厚度;
以一第四速率,在所述第一非晶硅层上形成一第二非晶硅层,达一第四厚度,其中所述第三速率介于
Figure FFW00000082291900015
Figure FFW00000082291900016
之间,并且所述第四速率介于
Figure FFW00000082291900018
之间;
在所述第二非晶硅层上形成一含掺杂硅层,达一第五厚度;和
在所述含掺杂硅层上形成一导电接触层。
8.如权利要求7所述的方法,其中所述富硅氮化硅层具有一硅氮比,所述硅氮比大于约0.83∶1。
9.如权利要求8所述的方法,其中所述富硅氮化硅层具有一硅氢键含量,所述硅氢键含量介于约18原子百分比和约30原子百分比之间。
CN200980113306.5A 2008-04-17 2009-04-16 低温薄膜晶体管工艺、装置特性和装置稳定性改进 Expired - Fee Related CN102007597B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US4582108P 2008-04-17 2008-04-17
US61/045,821 2008-04-17
PCT/US2009/040811 WO2009129391A2 (en) 2008-04-17 2009-04-16 Low temperature thin film transistor process, device property, and device stability improvement

Publications (2)

Publication Number Publication Date
CN102007597A CN102007597A (zh) 2011-04-06
CN102007597B true CN102007597B (zh) 2014-02-19

Family

ID=41199732

Family Applications (1)

Application Number Title Priority Date Filing Date
CN200980113306.5A Expired - Fee Related CN102007597B (zh) 2008-04-17 2009-04-16 低温薄膜晶体管工艺、装置特性和装置稳定性改进

Country Status (4)

Country Link
US (1) US8110453B2 (zh)
CN (1) CN102007597B (zh)
TW (1) TWI393191B (zh)
WO (1) WO2009129391A2 (zh)

Families Citing this family (347)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8986456B2 (en) 2006-10-10 2015-03-24 Asm America, Inc. Precursor delivery system
WO2009129391A2 (en) * 2008-04-17 2009-10-22 Applied Materials, Inc. Low temperature thin film transistor process, device property, and device stability improvement
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8883270B2 (en) 2009-08-14 2014-11-11 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen—oxygen species
US8877655B2 (en) 2010-05-07 2014-11-04 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
CN102629555B (zh) * 2011-10-11 2014-11-26 北京京东方光电科技有限公司 栅极绝缘层、tft、阵列基板、显示装置以及制备方法
US9341296B2 (en) 2011-10-27 2016-05-17 Asm America, Inc. Heater jacket for a fluid line
US9096931B2 (en) 2011-10-27 2015-08-04 Asm America, Inc Deposition valve assembly and method of heating the same
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9005539B2 (en) 2011-11-23 2015-04-14 Asm Ip Holding B.V. Chamber sealing member
US9167625B2 (en) 2011-11-23 2015-10-20 Asm Ip Holding B.V. Radiation shielding for a substrate holder
US9202727B2 (en) 2012-03-02 2015-12-01 ASM IP Holding Susceptor heater shim
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
CN102664194B (zh) * 2012-04-10 2015-01-07 深超光电(深圳)有限公司 薄膜晶体管
KR20130114996A (ko) * 2012-04-10 2013-10-21 삼성디스플레이 주식회사 표시 장치 및 그 제조방법
US9029253B2 (en) 2012-05-02 2015-05-12 Asm Ip Holding B.V. Phase-stabilized thin films, structures and devices including the thin films, and methods of forming same
US8728832B2 (en) * 2012-05-07 2014-05-20 Asm Ip Holdings B.V. Semiconductor device dielectric interface layer
US8933375B2 (en) 2012-06-27 2015-01-13 Asm Ip Holding B.V. Susceptor heater and method of heating a substrate
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9117866B2 (en) 2012-07-31 2015-08-25 Asm Ip Holding B.V. Apparatus and method for calculating a wafer position in a processing chamber under process conditions
US9169975B2 (en) 2012-08-28 2015-10-27 Asm Ip Holding B.V. Systems and methods for mass flow controller verification
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US8894870B2 (en) 2013-02-01 2014-11-25 Asm Ip Holding B.V. Multi-step method and apparatus for etching compounds containing a metal
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9396934B2 (en) 2013-08-14 2016-07-19 Asm Ip Holding B.V. Methods of forming films including germanium tin and structures and devices including the films
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US9605343B2 (en) 2013-11-13 2017-03-28 Asm Ip Holding B.V. Method for forming conformal carbon films, structures conformal carbon film, and system of forming same
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
CN105990448B (zh) * 2015-02-16 2019-06-18 南京瀚宇彩欣科技有限责任公司 薄膜晶体管
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
CN109496281B (zh) * 2016-07-28 2021-08-10 夏普株式会社 具有触摸面板的显示装置
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
CN111316417B (zh) 2017-11-27 2023-12-22 阿斯莫Ip控股公司 与批式炉偕同使用的用于储存晶圆匣的储存装置
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
WO2019158960A1 (en) 2018-02-14 2019-08-22 Asm Ip Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
TW202013553A (zh) 2018-06-04 2020-04-01 荷蘭商Asm 智慧財產控股公司 水氣降低的晶圓處置腔室
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
CN109103103B (zh) * 2018-07-16 2021-04-23 惠科股份有限公司 薄膜晶体管及其制备方法
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
CN109103105A (zh) * 2018-07-26 2018-12-28 惠科股份有限公司 薄膜晶体管及其制备方法、显示装置
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US20200058497A1 (en) * 2018-08-20 2020-02-20 Applied Materials, Inc Silicon nitride forming precursor control
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
CN109817575A (zh) * 2018-12-24 2019-05-28 惠科股份有限公司 阵列基板的制备方法、装置及阵列基板
CN109742150A (zh) * 2018-12-25 2019-05-10 惠科股份有限公司 一种阵列基板及其制造方法和显示面板
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TW202121506A (zh) 2019-07-19 2021-06-01 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210078405A (ko) 2019-12-17 2021-06-28 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 층을 형성하는 방법 및 바나듐 나이트라이드 층을 포함하는 구조
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
CN112909087A (zh) * 2021-03-08 2021-06-04 滁州惠科光电科技有限公司 一种显示面板、薄膜晶体管及其制备方法
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5273920A (en) * 1992-09-02 1993-12-28 General Electric Company Method of fabricating a thin film transistor using hydrogen plasma treatment of the gate dielectric/semiconductor layer interface
US5834345A (en) * 1995-09-28 1998-11-10 Nec Corporation Method of fabricating field effect thin film transistor
US6355943B1 (en) * 1998-10-07 2002-03-12 Lg. Philips Lcd Co., Ltd. Thin film transistor, method of producing the same, liquid crystal display, and thin film forming apparatus
CN1534361A (zh) * 2003-03-31 2004-10-06 ��������ʾ���Ƽ���˾ 薄膜晶体管液晶显示装置的制造方法

Family Cites Families (28)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5041888A (en) * 1989-09-18 1991-08-20 General Electric Company Insulator structure for amorphous silicon thin-film transistors
EP0608633B1 (en) * 1993-01-28 1999-03-03 Applied Materials, Inc. Method for multilayer CVD processing in a single chamber
JP3173926B2 (ja) 1993-08-12 2001-06-04 株式会社半導体エネルギー研究所 薄膜状絶縁ゲイト型半導体装置の作製方法及びその半導体装置
US6331717B1 (en) 1993-08-12 2001-12-18 Semiconductor Energy Laboratory Co. Ltd. Insulated gate semiconductor device and process for fabricating the same
JPH0878719A (ja) 1994-09-01 1996-03-22 Nec Corp 光電変換素子
GB9525784D0 (en) * 1995-12-16 1996-02-14 Philips Electronics Nv Hot carrier transistors and their manufacture
TW381187B (en) * 1997-09-25 2000-02-01 Toshiba Corp Substrate with conductive films and manufacturing method thereof
GB9726094D0 (en) 1997-12-10 1998-02-11 Philips Electronics Nv Thin film transistors and electronic devices comprising such
GB9726511D0 (en) * 1997-12-13 1998-02-11 Philips Electronics Nv Thin film transistors and electronic devices comprising such
US6380558B1 (en) * 1998-12-29 2002-04-30 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and method of fabricating the same
JP2001077366A (ja) * 1999-08-20 2001-03-23 Internatl Business Mach Corp <Ibm> 薄膜トランジスタ、液晶表示装置、及び薄膜トランジスタの製造方法
KR100739366B1 (ko) 1999-12-20 2007-07-16 엘지.필립스 엘시디 주식회사 박막 트랜지스터 및 그 제조방법
JP3637332B2 (ja) * 2002-05-29 2005-04-13 株式会社東芝 半導体装置及びその製造方法
GB0229699D0 (en) * 2002-12-19 2003-01-29 Koninkl Philips Electronics Nv Liquid crystal displays
US6764883B1 (en) 2003-01-07 2004-07-20 International Business Machines Corp. Amorphous and polycrystalline silicon nanolaminate
US7042052B2 (en) 2003-02-10 2006-05-09 Micron Technology, Inc. Transistor constructions and electronic devices
JP2005045139A (ja) 2003-07-25 2005-02-17 Sony Corp 薄膜トランジスタの製造方法、薄膜トランジスタ、および表示装置
ATE541065T1 (de) * 2004-03-12 2012-01-15 Univ Utrecht Holding Bv Verfahren zur herstellung von dünnschichten und vorrichtungen
US7125758B2 (en) * 2004-04-20 2006-10-24 Applied Materials, Inc. Controlling the properties and uniformity of a silicon nitride film by controlling the film forming precursors
US20060019502A1 (en) * 2004-07-23 2006-01-26 Park Beom S Method of controlling the film properties of a CVD-deposited silicon nitride film
KR101107682B1 (ko) 2004-12-31 2012-01-25 엘지디스플레이 주식회사 표시 소자용 박막 트랜지스터 기판 및 그 제조 방법
US20080158635A1 (en) 2005-02-23 2008-07-03 Pixtronix, Inc. Display apparatus and methods for manufacture thereof
JP4805587B2 (ja) * 2005-02-24 2011-11-02 エーユー オプトロニクス コーポレイション 液晶表示装置とその製造方法
US20080012065A1 (en) 2006-07-11 2008-01-17 Sandisk Corporation Bandgap engineered charge storage layer for 3D TFT
CN100576472C (zh) 2006-12-12 2009-12-30 中芯国际集成电路制造(上海)有限公司 具有非晶硅monos存储单元结构的半导体器件及其制造方法
US20090090913A1 (en) 2007-10-03 2009-04-09 Walker Andrew J Dual-gate memory device with channel crystallization for multiple levels per cell (mlc)
WO2009129391A2 (en) * 2008-04-17 2009-10-22 Applied Materials, Inc. Low temperature thin film transistor process, device property, and device stability improvement
KR101627728B1 (ko) * 2008-12-30 2016-06-08 삼성디스플레이 주식회사 박막 트랜지스터 기판 및 그 제조 방법

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5273920A (en) * 1992-09-02 1993-12-28 General Electric Company Method of fabricating a thin film transistor using hydrogen plasma treatment of the gate dielectric/semiconductor layer interface
US5834345A (en) * 1995-09-28 1998-11-10 Nec Corporation Method of fabricating field effect thin film transistor
US6355943B1 (en) * 1998-10-07 2002-03-12 Lg. Philips Lcd Co., Ltd. Thin film transistor, method of producing the same, liquid crystal display, and thin film forming apparatus
CN1534361A (zh) * 2003-03-31 2004-10-06 ��������ʾ���Ƽ���˾ 薄膜晶体管液晶显示装置的制造方法

Also Published As

Publication number Publication date
US20090261331A1 (en) 2009-10-22
WO2009129391A3 (en) 2010-02-18
TW201001561A (en) 2010-01-01
CN102007597A (zh) 2011-04-06
WO2009129391A2 (en) 2009-10-22
TWI393191B (zh) 2013-04-11
US8110453B2 (en) 2012-02-07

Similar Documents

Publication Publication Date Title
CN102007597B (zh) 低温薄膜晶体管工艺、装置特性和装置稳定性改进
KR100741435B1 (ko) 필름 형성 전구체를 제어함으로써 실리콘 질화물 필름의 특성 및 균일성을 제어하는 방법 및 실리콘 질화물을 포함하는 tft 소자
JP5744726B2 (ja) 多重アクティブチャネル層を用いた薄膜トランジスタ
JP6298118B2 (ja) ディスプレイ・デバイスのためのバリア材料
US7884035B2 (en) Method of controlling film uniformity and composition of a PECVD-deposited A-SiNx : H gate dielectric film deposited over a large substrate surface
Son et al. 42.4 L: Late‐News Paper: 4 inch QVGA AMOLED Driven by the Threshold Voltage Controlled Amorphous GIZO (Ga2O3‐In2O3‐ZnO) TFT
TWI514475B (zh) 形成無氫含矽介電層的方法
CN102386072B (zh) 微晶半导体膜的制造方法及半导体装置的制造方法
JP5965658B2 (ja) 半導体膜の作製方法
US20200211834A1 (en) Methods for forming films containing silicon boron with low leakage current
CN105144391A (zh) 金属氧化物tft稳定性改进
TWI567828B (zh) 製造閘極絕緣層的方法
WO2010111286A2 (en) High temperature thin film transistor on soda lime glass
TW200603409A (en) Thin-film transistor and method of fabricating the same
TWI786372B (zh) 電漿化學氣相沉積(cvd)裝置及電漿cvd方法
US20080241355A1 (en) Thin film transistor devices having high electron mobility and stability
JP2006120992A (ja) シリコン窒化膜の製造方法及びその製造装置
JP5199954B2 (ja) 半導体装置の製造方法
Park et al. Method of controlling film uniformity and composition of a PECVD-deposited A-SiN x: H gate dielectric film deposited over a large substrate surface

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C53 Correction of patent of invention or patent application
CB02 Change of applicant information

Address after: American California

Applicant after: Applied Materials Inc.

Address before: American California

Applicant before: Applied Materials Inc.

C14 Grant of patent or utility model
GR01 Patent grant
CF01 Termination of patent right due to non-payment of annual fee
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20140219

Termination date: 20190416