CN102084726A - 夹持式喷淋头电极总成 - Google Patents

夹持式喷淋头电极总成 Download PDF

Info

Publication number
CN102084726A
CN102084726A CN2009801268128A CN200980126812A CN102084726A CN 102084726 A CN102084726 A CN 102084726A CN 2009801268128 A CN2009801268128 A CN 2009801268128A CN 200980126812 A CN200980126812 A CN 200980126812A CN 102084726 A CN102084726 A CN 102084726A
Authority
CN
China
Prior art keywords
electrode
backing plate
ring
hole
holding ring
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN2009801268128A
Other languages
English (en)
Other versions
CN102084726B (zh
Inventor
巴巴克·卡德库达彦
拉金德尔·德辛德萨
安东尼·德拉列拉
迈克尔·C·凯洛格
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of CN102084726A publication Critical patent/CN102084726A/zh
Application granted granted Critical
Publication of CN102084726B publication Critical patent/CN102084726B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32091Radio frequency generated discharge the radio frequency energy being capacitively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/32541Shape
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/32568Relative arrangement or disposition of electrodes; moving means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/32605Removable or replaceable electrodes or electrode systems

Abstract

用于半导体基板加工的等离子体反应室的电极总成。所述总成包括上喷淋头电极,所述上喷淋头电极包括通过夹持环机械紧靠在垫板上的内电极和通过一系列间隔布置的凸轮锁紧靠在所述垫板上的外电极。保护环环绕所述垫板,并可移动至使所述保护环上的开口对准所述垫板上的开口的位置,从而能够使用工具旋转所述凸轮锁拆卸凸轮销,所述凸轮销从所述外电极的上表面向上延伸。为容纳热膨胀的差异,所述夹持环可包括位于间隔位置的膨胀部分,所述膨胀部分使所述夹持环能够吸收热应力。

Description

夹持式喷淋头电极总成
技术领域
本发明关于一种等离子处理室中的喷淋头(showerhead)电极总成,在所述等离子处理室中可生产半导体部件。
发明内容
根据一种实施方式,喷淋头电极总成包括夹持在垫板上的内电极和外电极,其中所述喷淋头电极总成形成电容耦合等离子处理室的上电极。所述内电极为圆形盘,有在其下表面的等离子体暴露面和在其上表面的安装面,所述下表面包括在所述盘外周的内部台阶和外部台阶。所述内部台阶的直径比所述外部台阶的直径小,所述外部台阶位于所述内部台阶和所述安装面之间。所述外部台阶配置为与夹持环向内延伸的法兰紧密配合,所述内部台阶配置为与所述外电极的内部台阶紧密配合,所述外电极环绕所述内电极,以使所述外电极的内锥面由所述等离子体暴露面的外缘延伸出来。所述安装面包括多个定位销凹槽(alignment pin recess),所述定位销凹槽配置为容纳定位销,所述定位销的排布方式匹配垫板上定位销孔,所述盘通过所述夹持环固定并紧靠所述垫板,且所述盘包括加工气体出口,所述加工气体出口的排布方式匹配所述垫板上的气体供应孔。
所述外电极为圆环形板状,包括在其下表面的等离子体暴露面和在其上表面的安装面,所述上表面包括在内部台阶和外部台阶之间的平面环形面,所述等离子体暴露面包括内部和外部倾斜表面。在所述平面环形面上有多个在周向彼此间隔的销座(pocket),其被配置为在其中容纳锁销(locking pin),所述锁销适合将所述外电极夹持在垫板上。
根据另一个实施方式,电容耦合等离子处理室的喷淋头电极总成包括热控板、垫板、保护环、内电极、外电极和夹持环。所述热控板通过所述等离子体处理室的温度控制壁支撑,所述热控板直径大于在所述等离子体处理室中拟加工的晶圆直径,并包括在其下表面上的环形凸起,在所述环形凸起之间具有集气室。所述垫板通过所述热控板支撑,且其直径小于所述热控板直径,所述垫板有贯通的气体通道,并且在水平延伸孔内有凸轮锁(cam lock)。所述保护环环绕所述垫板,并且是可旋转到将其上的开孔对准至少一个凸轮锁。所述内电极有贯通的气体通道,其与所述垫板上的所述气体通道之间流体相通,所述内电极的外周包括内部台阶和外部台阶,所述外部台阶位于所述内部台阶和所述内电极的面对所述垫板的表面之间。所述夹持环有覆盖在所述内电极的所述外部台阶上的内法兰,可选在所述内法兰和所述外部台阶之间配置压缩环,所述夹持环包括垂直延伸的阶梯开口,所述阶梯开口对准所述垫板上的螺纹开口,所述阶梯开口上的紧固件将所述夹持环固定在所述垫板上,并在所述内电极的所述外部台阶上施加压力。所述外电极环绕所述内电极并包括垂直延伸的锁销,所述锁销与所述凸轮锁相啮合,所述外电极支撑所述保护环,通过将所述锁销从所述凸轮锁中移出即可拆除所述外电极。
附图简要说明
图1所示为喷淋头电极总成的截面图,所述喷淋头电极总成形成用于蚀刻基板的电容耦合等离子反应室中的带有保护环的上电极。
图2A所示为典型凸轮锁的三维视图,所述凸轮锁用于夹持图1中所示的反应室中的外电极。
图2B所示为图2A中的典型凸轮锁电极夹的截面图。
图3所示为用于图2A和2B中的凸轮锁夹中的典型柱头螺栓侧视图和装配图。
图4A所示为用于图2A和2B中的凸轮锁夹中的典型凸轮杆的侧视图和装配图。
图4B所示为图4A中的凸轮杆的一部分的典型刀具路径边界(cutter-path edge)的截面图。
图5A所示为带有机械夹持在垫板上的内电极和外电极的喷淋头电极总成的截面图,图5B所示为该喷淋头电极在另一个位置上的截面图,图5C为图5B的局部放大图。
图6A-D图示了所述内电极的细节。
图7A-G图示了所述外电极的细节。
图8A-D图示了夹持所述内电极的夹持环的细节。
图9A-F图示了带有热膨胀区域的夹持环的细节。
图10A-C图示了垫板的细节。
具体实施方式
集成电路芯片的生产通常开始于高纯度单晶半导体材料基板(如硅或锗)的抛光薄片,称为“晶圆”。每个晶圆经过一系列的物理和化学加工工序,所述加工工序在所述晶圆上形成各种电路结构。在生产过程中,可采用各种技术在所述晶圆上沉积各种薄膜,所述技术例如热氧化技术以产生二氧化硅膜,化学雾化沉积技术以产生硅、二氧化硅、氮化硅膜,以及喷溅或其他几乎以产生其他金属膜。
在半导体晶圆上沉积一层膜后,使用一种称为“掺杂”的工艺将晶圆中的选定的杂质替换为半导体晶格,以产生半导体的独特电特性。经掺杂的硅晶圆随后可均匀涂敷光敏或辐射敏感材料的薄层,所述材料称“抗蚀剂(resist)”。随后可使用一种称为光刻的技术将限定电路中电子通路的小几何图案转印到所述抗蚀剂上。在光刻工序中,所述集成电路图案可被画在称为“掩模”的玻璃板上,然后所述集成电路图案被光学还原、投射并转印到光敏涂层上。
所述平面印刷的抗蚀剂图案随后通过一种称为蚀刻的技术转印到下方的半导体材料晶体表面上。通常使用真空处理室进行蚀刻并在所述基板上化学雾化沉积(CVD)材料,上述工序通过向所述真空处理室中供应蚀刻或沉积气体,并向所述气体施加一射频(RF)场激励所述气体进入等离子体状态实现。
反应离子蚀刻系统通常包含蚀刻室,所述蚀刻室中安装有上电极(或称阳极)和下电极(或称阴极)。所述阴极具有相对所述阳极和容器壁的负向偏压。拟蚀刻的晶圆覆盖合适的掩模并被直接放置于所述阴极上。化学反应气(例如CF4、CHF3、CClF3、HBr、Cl2、SF6或其混合物)与O2、N2、He或Ar被导入所述蚀刻室中,并保持其压强,所述压强通常在毫托范围内。所述上电极设有气孔,使气体通过所述电极均匀扩散到所述室中。在所述正极和所述负极之间建立的电场使所述反应气体离解形成等离子体。通过晶圆与活性离子的化学作用和所述离子碰撞所述晶圆的表面引起的动量交换蚀刻所述晶圆表面。所述电极产生的所述电场会将所述离子吸引向所述负极,从而使所述离子碰撞所述表面的方向几乎都呈垂直方向,由此该工序产生轮廓分明的垂直蚀刻侧壁面。所述蚀刻反应电极的生产可使用机械方法和/或导热胶连结两个或更多的不同部件,由此实现功能多样性。
图1所示为用于蚀刻基板的等离子体加工系统的喷淋头电极总成100的部分截面图。如图1所示,所述喷淋头电极总成100包括上电极110,垫板140和保护环170。所述喷淋头电极总成100还包括环绕在上电极110和垫板140外周的等离子体限制总成(或称晶圆区域等离子体(WAP)总成)180。
总成100还包括热控部件102,以及在其中带有液体流道并构成所述室的温度控制壁的上板104。上电极110优选包含内电极120和外电极130。内电极120优选为圆柱状板并可由导电高纯度材料制成,如单晶硅、多晶硅、碳化硅或其他合适的材料。如下文所述,垫板140通过机械紧固件被机械锁定在内电极120和外电极130上。保护环170包绕垫板140并且(如下文所述)开有通往凸轮锁部件的开口。
如图1所示的喷淋头电极总成100通常与静电卡盘(未图示)共同使用,所述静电卡盘带有一平整的下电极,晶圆被支撑在所述下电极上,位于上电极110下方间隔1-2cm。这样的等离子体加工系统的一个实例是平行板式反应器,例如加州Fremont的朗姆研究公司制造的ExelanTM电介质蚀刻系统。这样的夹持配置通过提供背面氦气(He)压力控制所述晶圆与所述卡盘之间的热交换率,由此提供晶圆的温度控制。
上电极110是消耗件,需要定期更换。为了向所述晶圆与所述上电极之间的间隙供应加工气体,上电极110带有气体释放通道106,所述排气通道的尺寸和分布适应于加工气体的供气,所述加工气体被所述电极激励并在反应区域内上电极110下方形成等离子体。
喷淋头电极总成100还包括环绕在上电极110和垫板140外周的等离子体限制总成(或称晶圆区域等离子体(WAP)总成)180。等离子体限制总成180优选包括一堆或多个彼此间隔开的石英环190,所述石英环环绕在上电极110和垫板140的外周。在加工过程中,等离子体限制总成180在反应区域中形成压力梯度,并增加反应室壁面与等离子体之间的电阻,从而将所述等离子体限制在上电极110和所述下电极(未图示)之间。
在使用过程中,限制环190将所述等离子体限制在所述室容积内,并控制所述反应室内的等离子体的压力。将所述等离子体限制在反应室内的功能决定于许多因素,包括限制环190之间的间距、反应室内限制环外和等离子体内的压力、气体的种类和流量、也包括射频能量的等级和频率。如果限制环190之间的间距很小能够更容易地实现对所述等离子体的限制。通常为了实现所述限制需要0.15英寸或更小的间距。但是,限制环190之间的间距还会决定所述等离子体的压力,需要将所述间距调整到所述压力能够在维持等离子体的同时,达到最佳加工性能。由气源供应的加工气体通过上板104中的一个或多个通道被供应到电极110,上板104允许加工气体被供应到所述晶圆上方的单个或多个区域。
内电极120优选由中心(未图示)到外边界具有均匀厚度的平盘/板状。内电极120的直径可小于、等于或大于拟加工的晶圆直径,例如若所述板由单晶硅制成的话不超过300mm,这是用于300mm晶圆的现有单晶硅材料的直径。为加工300mm晶圆,外电极130被相应调整将上电极110的直径由约15英寸扩大到约17英寸。外电极130可以是一个连续部件(如,环状的单晶硅、多晶硅、碳化硅或其他合适材料)或分段部件(如2-6个单独部分拼成的环,如单晶硅、多晶硅、碳化硅或其他合适材料的部分)。内电极120优选包括多个气体通道106,以将加工气体射入等离子体反应室内上电极110下方的空间。
内电极120和外电极130的等离子体暴露面优选单晶硅材料。高纯度单晶硅由于其向反应室中导入了最小量的非必须元素,因而在等离子体加工中能够使基板的污染最小化,并且其在等离子体加工中平滑磨损,因而能够使颗粒最少化。可用于上电极110的等离子体暴露面的替代材料(包括材料混合物)包括例如SiC,SiN和AlN。
在配置中,喷淋头电极总成110的尺寸足够大,可加工大基板,例如直径为300mm的半导体晶圆。对300mm晶圆,上电极110的直径至少为300mm。但是加工其他尺寸的晶圆时,可采用不同尺寸的喷淋头电极总成100。
制作垫板140的优选材料应当针对加工气体具有化学耐性,所述加工气体用于在等离子体处理室中加工半导体基板;具有与电极材料接近的导热系数;和/或具有导电性及导热性。可用于制造垫板140的优选材料包括,但不限于,石墨、SiC、铝(Al)、或其他合适的材料。
内电极120和外电极130可机械紧靠在垫板140上,而不在所述电极和所述垫板之间使用任何粘性胶连接,即不使用导热和导电的弹性连接材料将所述电极黏连在所述垫板上。
垫板140优选采用合适的机械紧固件紧靠在热控部件102上,所述机械紧固件可以是螺纹栓、螺钉或类似物。例如,可将螺栓(未图示)插入热控部件102的孔内,并转入垫板140上的螺纹开口内。热控部件102包括弯曲部分184,所述热控部件优选由机加工金属材料制造,例如铝、铝合金或类似材料。上温控板104优选由铝或铝合金制造。等离子体限制总成(或称晶圆区域等离子体总成(WAP))180位于喷淋头电极总成100外部。包括多个垂直可调等离子体限制环190的合适的等离子体限制总成180在专利号:5534751的共同所有美国专利中被披露,该专利被全文引述在此作为参考。
如2008年3月14日提交的专利申请号No.61/036862的共同转让美国专利所描述的,所述外电极可通过凸轮锁结构机械连接到所述垫板上,该专利的披露内容被引述在此作为参考。图2A所示为典型凸轮锁电夹盘的三维视图,包括电极201和垫板203的一部分。所述电夹盘能够快速、清洁并准确地将可消耗电极201紧靠在垫板上,所述垫板位于各种生产相关的工具中,例如如图1所示的等离子体蚀刻室。电极201可由各种材料构成,包括,但不限于,硅(Si)、碳化硅(SiC)或多晶所述垫板通常由铝或其他合适材料构成。
所述凸轮锁夹盘包括安装在插孔(socket)213中的柱头螺栓(锁销)205。所述柱头螺栓可由弹簧盘堆栈(disc spring stack)215包绕,例如不锈钢Belleville垫圈。柱头螺栓205与弹簧盘堆栈215可通过使用粘性胶或机械紧固件压入或扣入插孔213中。柱头螺栓205与弹簧盘堆栈215的排布方式使电极201与垫板203之间可能发生限量的侧向运动。限制侧向移动的量使电极201与垫板203之间形成紧密的连接,由此保证良好的热接触,同时为补偿两部件的热膨胀差异提供了移动空间。关于受限侧向移动特征的其他细节将在下文中进行更详细的讨论。
在一个特定典型实施方式中,插孔213由轴承级Torlon
Figure BPA00001293382800092
生产。作为替代方式,插孔213可由具有某些机械特性的其他材料生产,所述机械特性例如高强度和抗压性、抗蠕变性、尺寸稳定性、抗辐射和化学物质耐受性。多种材料,例如聚酰胺、聚酰亚胺、乙缩醛和极高分子量的聚乙烯材料,均可适用。无需采用高温特种塑料和其他相关材料形成插孔213,因为使用(例如蚀刻室)中所遇到的典型最高温度为230℃。通常,典型的工作温度更靠近130℃。
电极凸轮锁夹的其他部分包括凸轮杆207,所述凸轮杆两端被一对凸轮杆轴承209包绕。凸轮杆207及凸轮杆轴承总成安装在垫板孔211中,所述垫板孔通过机加工在垫板203上形成。在为300mm半导体晶圆设计的蚀刻室的典型应用中,可在电极201/垫板203的结合体的周边间隔排布八个或更多的电极夹。
凸轮杆轴承209可使用多种材料机加工而成,包括Torlon
Figure BPA00001293382800101
、Vespel
Figure BPA00001293382800102
、Celcon、Delrin
Figure BPA00001293382800104
、Teflon、Arlon或其他具有低摩擦系数和低颗粒脱落特性的材料例如含氟聚合物,乙缩醛,聚酰胺,聚酰亚胺,聚四氟乙烯,聚醚醚酮(PEEK)。柱头螺栓205与凸轮杆207可使用不锈钢进行机加工(如316,316L,17-7等)或任何其他提供高强度和抗腐蚀性的材料。
现在参见图2B,所述电极凸轮夹的截面图进一步示例了凸轮夹拉动电极201紧靠垫板203的工作。柱头螺栓205/弹簧盘堆栈215/插孔213的总成被安装在电极201中。如图所示,可转动该总成,将插孔213的外螺纹转入电极201的螺纹销座中。但是,所述插孔也可采用粘性胶或其他形式的机械紧固件安装。
在图3中,柱头螺栓205的正视图和装配图300提供了凸轮锁电夹盘的典型设计的附加细节,所述柱头螺栓有放大的头部、弹簧盘堆栈215和插孔213。在一个特定典型实施方式中,柱头螺栓/弹簧盘总成301被压入插孔213内。插孔213有外螺纹和六角头部件,允许电极201(参见图2A,2B)能够以小转矩(例如,在一个特定典型实施方式中,约20英寸-磅)容易地插入。如前所述,插孔213可由多种塑料机加工生产。使用塑料能够使颗粒的产生最小化,并且在将插孔213装入电极201上的匹配销座时不发生磨损。
柱头螺栓/插孔总成303图示了插孔213上部的内径大于柱头螺栓205中部的外径。这两部分之间的直径差异允许组装电极夹中发生受限的侧向移动,如前所述。柱头螺栓/弹簧盘总成301与插孔213之间在插孔213底部保持刚性接触,同时所述直径差异允许发生一定的侧向移动。(也可见图2B。)
参考图4A,凸轮杆207和凸轮杆轴承209的分解图400还显示了键销401。有键销401的凸轮杆207的端部首先被插入垫板孔211(见图2B)中。在垫板孔211远端的一对小匹配孔(未图示)使凸轮杆207能够在垫板孔211中合适地定位。凸轮杆207的侧面立视图420清晰的显示了六角开孔403在凸轮杆207一端的可能位置,和键销401在另一端的可能位置。
例如,继续参考图4A和2B,电极凸轮夹通过将凸轮杆207插入垫板孔211中组装起来。键销401通过与所述一对小匹配孔之一相接,限制凸轮杆207在垫板孔211中的旋转移动。尽管使用了六角形开口403,所述凸轮杆可首先向一个方向旋转(例如逆时针方向)使柱头螺栓205能够进入凸轮杆207,然后顺时针方向旋转至完全啮合,并锁定柱头螺栓205。将电极201固定在垫板203上所需的夹持力,通过将弹簧盘堆栈215压缩超过其自由堆积高度提供。凸轮杆207上有内切偏心孔,与柱头螺栓205的放大的头部相啮合。当弹簧盘堆栈215被压缩时,所述夹持力被转移到弹簧盘堆栈的各个单独的弹簧盘,至插孔213并经过电极201传递到垫板203。
在一个典型的实施模式中,一旦所述凸轮杆轴承被安装到凸轮杆207上并插入垫板孔211中,凸轮杆207被逆时针旋转至其最大旋转路径。随后柱头螺栓/插孔总成303(图3)以小力矩旋转进入电极201中。随后柱头螺栓205头部插入水平延伸的垫板孔211下方的垂直延伸通孔。电极201紧靠垫板203放置,凸轮杆207顺时针旋转直到所述键销进入所述两个小匹配孔中的第二个(未图示)或者听到一可听到的“咔哒”声(下文详细讨论)。该典型的实施模式可逆向操作,将电极201从垫板203中拆卸下来。
参考图4B,图4A中的凸轮杆207的侧面立视图402中A-A截面的截面图显示了将柱头螺栓205的头部充分锁定的刀具路径边界440。在一特定典型实施方式中,选择两个半径R1、R2使柱头螺栓205的头部,当柱头螺栓205被充分锁定时,如前所述,发出一声可听到的咔哒声。
图5A所示为用于电容耦合等离子体室的上电极总成500,所述电容耦合等离子体室具有下述特征:(a)凸轮锁定的非连结的外电极502;(b)夹持的非连结的内电极504;(c)垫板506;以及(d)保护环508,所述保护环允许将所述外电极固定在垫板506上的凸轮锁穿过。图5B所示为该电极总成在另一个位置上的截面图;图5C为图5B的部分放大图,其中显示了用于从所述垫板拆卸所述内电极的螺旋顶(jackscrew)的细节。
电极总成500包括热控板510,所述热控板从所述室外侧通过螺栓连接到所述室的温度控制上壁面512。所述外电极以可拆卸的方式通过凸轮锁514连接到垫板上,参考图2-4如前所述。所述内电极通过一夹持环516夹持到所述热控板上。所述夹持环包括一系列的孔,所述孔容纳转入螺纹开口的紧固件(例如螺栓、螺钉),所述螺纹开口位于垫板506的下表面。为避免所述夹持环与所述内电极外边界的台阶发生接触,硬质材料(例如硬聚酰亚胺材料,如CIRLEX)的压缩环518被压缩在所述内电极和所述夹持环相对表面之间的空间内。
在优选实施方式中,电极总成500的外电极502可通过下述步骤拆卸:(a)旋转保护环508至第一位置,使所述保护环上的四个孔对准四个凸轮锁514,所述凸轮锁在所述垫板的外围间隔分布;(b)将扳手插入并穿过所述保护环上的每个孔中,并旋转每个凸轮锁,松弛每个凸轮锁的垂直延伸的锁销;(c)将所述保护环旋转90度至第二位置,使所述保护环上四个孔对准另四个凸轮锁;(d)将扳手插入并穿过所述保护环上的每个孔中,并旋转每个凸轮锁,松弛每个凸轮锁的垂直延伸的锁销;由此可放低外电极502并将其从等离子室中取出。
图5A还显示了凸轮锁装置之一的截面图,其中可旋转凸轮锁514位于在垫板506的外围部分中延伸的水平延伸孔560中。圆柱状的凸轮锁514可通过工具(例如扳手)旋转至锁定位置,在该锁定位置上锁销562的放大端与凸轮锁514的凸轮面啮合,所述凸轮锁拉举所述锁销的所述放大端;或者可旋转至释放位置,在释放该位置上锁销562与凸轮锁514不啮合。所述垫板包括垂直延伸孔,所述锁销插入并穿过该垂直延伸孔与所述凸轮锁啮合。
图5B图示了所述电极总成的截面图,所述截面位于通过螺旋顶570和插入拴571的位置,所述插入拴进入保护环508上的入孔574中,并转入所述垫板上的螺纹孔中,以防所述保护环发生转动。优选地,间隔120度配置三个合适材料(例如不锈钢)的螺旋顶570以便拆卸所述内电极。插入拴571优选聚合物或陶瓷螺钉,将其转入垫板506的螺纹开口中。所述外电极的外部阶梯与所述保护环上的匹配阶梯相接,并在垫板506的外围与保护环508的内边界之间保持环形空隙575。螺旋顶570包括上螺纹杆570a,所述上螺纹杆进入垫板506上的螺纹开口中;下杆570b,所述下杆带有键孔570c以便于工具(例如扳手)啮合;环形法兰570d,所述环形法兰位于上、下杆之间。聚合物环572,例如CIRCLEX环,承受垫板506与每个法兰570d的相对表面之间的压力;聚合物垫圈573,例如TEFLON环,承受每个法兰570d的下表面与内电极504、夹持环516之间的相对表面之间的压力。在优选实施方式中,聚合物环572约0.031英寸厚、0.5英寸宽,带有12个供紧固件(用于将所述夹持环固定在垫板上)穿过的孔和3个供所述螺旋顶的杆570a穿过的孔。垫板506包括部分在内电极外边界下方延伸的开口,每个孔的深度足以容纳单独的法兰570和垫圈573。聚合物环572被容纳在所述垫板的环形槽内。在将所述内电极从所述垫板上拆卸的过程中,扳手旋转的方向使法兰570向所述内电极的上表面移动,由此克服由热交换环、O型环或类似中间插入物引起的可能的所述内电极和所述垫板之间的黏附。
图6A-D图示了内电极504的细节。内电极504优选高纯度(杂质少于10ppm)、低电阻(0.005至0.02欧-厘米)的单晶硅板,其带有在上表面(安装面)522的定位销孔(alignment pin hole)520,所述定位销孔容纳聚合物材料(例如TORLON5030)的定位销524;并带有在外边界526上的阶梯,所述阶梯与夹持环516、外电极502的内边界紧密配合。具有合适直径和/或构造(例如,直径0.017英寸的孔)的气孔528由所述上表面延伸到所述下表面(等离子体暴露面)530,且可依照任何合适的图案分布。在所示的实施方式中,所述气孔沿周向排布为13排,在距离电极中心点0.25英寸的第一排有4个气孔,在距离电极中心点0.7英寸的第二排有10个气孔,在距离电极中心点1.25英寸的第三排有20个气孔,在距离电极中心点1.95英寸的第四排有26个气孔,在距离电极中心点2.3英寸的第五排有30个气孔,在距离电极中心点2.7英寸的第六排有36个气孔,在距离电极中心点3.05英寸的第七排有40个气孔,在距离电极中心点3.75英寸的第八排有52个气孔,在距离电极中心点4.1英寸的第九排有58个气孔,在距离电极中心点4.5英寸的第十排有62个气孔,在距离电极中心点5.2英寸的第十一排有70个气孔,在距离电极中心点5.45英寸的第十二排有74个气孔,在距离电极中心点5.75英寸的第十三排有80个气孔。
所述电极的所述上表面包括6个定位销孔520,其中3个销孔在中心点附近,3个销孔在所述电极的外边界附近。所述销孔的直径可为约0.116英寸。所述3个中心附近的销孔径向分布,并包括一个在所述内电极中心点的约0.160英寸深的销孔,和两个距离所述内电极中心点约1.6英寸(在所述气孔的第三排和第四排之间)的约0.200英寸深的销孔。所述外边界附近的销孔约0.100英寸深,并包括一个与所述中心附近的销孔径向对齐的销孔,该销孔距离中心点的销孔约6英寸;和与第一个外边界附近的销孔偏移97.5°和170°的另两个销孔,所述另两个销孔距离中心点销孔的距离与第一个外边界附近的销孔相等,所述两个销孔之间偏移92.5°。
所述外部阶梯包括机加工至所述硅板上的内部台阶532和外部台阶534,由此完全围绕所述硅板周围延伸。在一个优选实施方式中,所述硅板厚度约0.400英寸、外径约12.560英寸,所述内部台阶532内径约12.004英寸、外径12.135英寸并向等离子体暴露面530中延伸约0.13英寸,外部台阶534内径约12.135英寸、外径12.560英寸,并向等离子体暴露面530中延伸约0.24英寸。内部台阶532有约0.13英寸长的纵向表面532a和约0.065英寸长的横向表面532b,外部台阶534有0.11英寸长的纵向表面534a和约0.218英寸长的横向表面534b。
图6A显示了内电极504的等离子体暴露面530及其带有的13排气孔的正视图。图6B显示了上表面522及其带有的13排气孔和6个销孔520的正视图。图6C显示了最靠近等离子体暴露面的内部台阶和最靠近所述内电极的所述上表面的外部台阶的侧视图。图6D为图6C中细节D的放大图,显示了带有6个圆角的内部和外部阶梯,所述圆角分别位于上表面522的外缘、下表面530的外缘、水平和垂直表面(532a、532b、534a、534b)与彼此之间以及其与上下表面之间的夹角处(例如以0.025的半径导圆角)。
外电极502包括外部台阶536(支撑保护环508)、内部台阶538(覆盖所述夹持环和所述内电极的内部台阶)、上表面(即安装面)540(与垫板506的下表面相啮合)、下表面(即等离子体暴露面)542(包括内锥面544、水平表面546、外锥面548和8个位于上表面540的安装所述锁销的销座550)。
图7A-G显示了所述外电极的不同视图。图7A显示了外电极502的等离子体暴露面542的俯视平面图,图7B显示了所述外电极的侧视图。所述外电极是圆环,所述圆环带有内/外锥面544、548以及在其之间形成等离子体暴露面542的水平表面546。所述外电极优选高纯度低电阻的单晶硅或高纯度多晶硅单片。作为替代方式,所述外电极可由高纯度单晶硅或多晶硅散片拼接而成。图7C显示了通过整个外电极的截面图,图7D显示了图7C中细节D的局部放大图。在优选实施方式中,所述外电极外径约17英寸、内径约12.024英寸。水平表面546由其约12.552英寸的内径径向延伸1.709英寸,至其约15.97英寸的外径。所述外电极的所述上表面包括内部台阶538和外部台阶536,以及在内外部台阶之间延伸的平面环形水平安装面552。安装面552内径约13.665英寸,外径约16.752英寸。图7C显示了8个安装锁销的销座550中的两个。
图7D图示了内、外锥面544、548和外电极502上的内部、外部阶梯536、538的优选实施方式的细节,其中所述锥面形成与水平等离子体暴露面546的20到40°的夹角。更优选地,内锥面544的形成的夹角为34.3°,外锥面548形成的夹角为24.9°。内部台阶538包括高约0.145英寸的纵向表面538a,和径向延伸约0.825英寸的横向表面538b。外部台阶536约0.076英寸的纵向表面536a,和径向延伸约0.124英寸的横向表面536b。内部台阶538与内锥面548之间的内纵向表面538c的高度约0.115英寸。外部台阶536和外锥面544之间的外纵向表面536c的高度约0.125英寸。安装锁销的销座550深约0.325英寸,所述外电极的整个厚度约0.440英寸。所述销座可为宽0.5英寸的螺纹孔,用于安装锁销的螺纹安装基底(图2-3中所示的插孔213)。所述销座的开口处可包括一个锥角,而所述销座的底部可包括无螺纹的螺纹隙段(thread relief section),其最大长度为0.040英寸。所述内部/外部阶梯和内/外锥面的所有夹角均导圆角(例如以0.025的半径导圆角)。
图7E为外电极502的上表面540的俯视图,其中8个安装锁销的8个销座550的位置为所述锁销的中心点与彼此间隔15.244英寸。还图示了一组37个孔552(与真空压强感应装置,例如压力计,相通),所述孔直径约0.025英寸、排列成7排、间隔0.07英寸,在安装面540上的部分排列成六边形形状,所述安装面沿约0.480英寸的半径略微延伸至所述内部台阶以内。三个定位销孔554半径约0.016英寸、深0.200英寸、在所述安装面的外周附近间隔120°布置。图7F是显示外电极502的所述上表面的立体图,图7G是显示外电极502的所述下表面的立体图。
夹持环516用螺栓固定到垫板506上,并紧压高硬度的聚合物压缩环518。聚合物环518紧压内电极504的外部台阶534和贴合在夹持环516上的外电极502的内部台阶538,所述外电极与所述内电极的内部台阶534贴合,所述外电极带有内锥面544,所述内锥面由内电极504的平面暴露面开始延伸。由于8个锁销施加的夹持力,所述外电极的安装面540紧靠垫板506的上的相对面,所述锁销通过所述垫板内的8个凸轮锁固定。保护环508覆盖垫板506的安装孔,所述保护环上的通孔524填入可移除的插入物571,所述插入物由抗等离子体聚合材料制成,例如Torlon
Figure BPA00001293382800181
、Vespel、Celcon
Figure BPA00001293382800183
、Delrin
Figure BPA00001293382800184
、Teflon、Arlon
Figure BPA00001293382800186
或其他具有低摩擦系数和低颗粒脱落的材料,例如含氟聚合物、乙缩醛、聚酰胺、聚酰亚胺、聚四氟乙烯、聚醚醚酮(PEEK)。
参考图5,垫板506和内电极504之间的电接触通过一个或多个热交界材料(TIM)和/或Q-垫556实现,所述TIM和/或Q-垫位于所述内电极的外围及所述中心定位销和外部Q垫之间的一个或多个位置。这样的TIM可以是带有导电材料的聚合物层压环,例如Geltec交界材料COH-4000、COH-2003、RE-10、COH-1002、DP-100、DP-200或DP-300,其使用的厚度可以是0.5mm、1.0mm、2.0mm或3.0mm,优选厚度0.012或0.02英寸和0.31英寸。例如TIM环或Q-垫可位于距离所述内电极中心2英寸和6英寸的位置。为了提供不同的加工气混合物和/或流量,可在中心定位销和外部Q-垫之间配置一种或多种可选气体分隔密封物。例如可在内电极504和垫板506之间配置一个O型环,其位置在内部和外部Q-垫之间,由此将内部气体分布区域与外部气体分布区域区分开来。O型环558位于内电极504和垫板506之间,沿所述外部Q-垫的内周布置,可实现所述内电极和垫板之间的气体和颗粒密封。
图8A-D图示了夹持环516的细节,所述夹持环厚0.419英寸、外径约13.575英寸、内径约12.590英寸、法兰由内径开始延伸约0.212英寸。夹持环516包括径向向内延伸的法兰564,所述法兰与内电极504的外部台阶534紧密配合。12个阶梯孔566穿过所述夹持环的上、下表面,容纳紧固件,例如螺钉、螺栓或其他合适的机械紧固件,所述紧固件转入垫板506上的螺纹开口内。法兰564和内电极504的相对面之间的压缩环518保护内电极免受由于铝垫板在紧固螺钉过程中的破裂导致的磨损。所述环优选0.031英寸厚、0.071英寸宽的矩形截面的CIRLEX。法兰564可包括容纳压缩环518的矩形槽。例如,所述槽可具有0.10英寸的宽度和0.01英寸的厚度。
图8A为夹持环516的侧视图,图8B是显示均匀分布的孔566的仰视图。图8C是所述夹持环在细节C位置的截面图,图8D是图8B中细节D的所述夹持环平面图。由8B和8D可见,阶梯孔566的较大部分为多边形,可防止多边形锁定垫圈的旋转,所述多边形锁定垫圈在面对螺钉头的面上带有锯齿以防在喷淋头电极总成的温度循环中螺钉发生松动。所述夹持环可包括开口568,以容纳由垫板506延伸出的螺旋顶570的下杆。所述螺旋顶的法兰容纳在所述夹持环的反面的凹处内。由此,在内电极的安装过程中,所述螺旋顶有助于将所述夹持环对准垫板。如前文所解释的,考虑到拆卸,可采用三个均匀间隔的螺旋顶将所述内电极从垫板506上拆除。
如前文所解释的,夹持环516可包括锁定垫圈,以防将夹持环固定在垫板上的紧固件由于热膨胀与收缩的差异发生松动。例如,锁定垫圈包括上、下两半的垫圈,一端具有径向槽,另一端具有一系列倾斜台阶,所述锁定垫圈被用于具有相对的倾斜表面的螺钉,以保持开槽的上、下表面在螺栓紧固过程中保持平行。在所述室对单独晶圆的一系列加工中,热膨胀与收缩的差异可引起紧固件(例如螺钉或螺栓)退出。所述夹持环为所述内电极提供适度夹持的时间至少需要达到500射频小时(在晶圆加工中在所述室中产生等离子体的时间)。假设每块晶圆的等离子体加工时间为300秒,500射频小时即可加工6000块晶圆。
为了抵消热膨胀的差异,夹持环516可被设计成吸收热载荷,这是由于利用了热膨胀区域577的膨胀,所述热膨胀区域在温度的循环中沿周向压缩以保持基本一致的夹持环直径。合适的夹持环设计在美国专利号:6200415的共同所有专利中被披露,该专利被全文引述在此作为参考。
图9A-F图示了另一个合适的夹持环设计,其中图9A是夹持环576的侧视图,图9B显示了图9A中的特征B的细节,图9C是所述夹持环的部分俯视图,显示了槽578形成的热膨胀区域577的特征,图9D是图9A中所示的环的俯视图,图9E显示了图9D中的细节E,图9F显示了图9D中的细节F。
如图9A所示,夹持环576可包括径向延伸槽578形式的热膨胀区域577。优选地,槽578配置为多个组,带有由所述夹持环的内周或外周延伸邻近槽。例如每组槽可包括两个或更多的槽,所述槽形成U型扩张/收缩区域577(例如,3个槽形成一个U型区域,5个槽形成两个U型区域)。在5个槽的实施方式中,3个槽578a由外周576a开始向内延伸,两个槽578b由内周576b开始向外延伸,向外延伸的槽位于向内延伸的槽之间。每个槽的末端可采取一个圆形端壁578c,位于内周/外周附近。例如,所述向内延伸的槽其延伸长度可超过50%的夹持环宽度,由此所述圆形端壁的位置在距离内周1/2宽度以内。优选地,所述槽延伸超过75%,更优选地超过80%,最佳地超过90%的夹持环宽度。
为了加工300mm的晶圆,所述内电极具有宽度12到13英寸,所述夹持环直径略大,带有向内延伸的法兰580以啮合内电极504的外部台阶534。夹持环576可包括至少4组槽,优选至少8组槽,更优选16组槽,最佳地24组槽。在一个优选实施方式中,所述槽的宽度约0.03到0.1英寸,优选0.05到0.09英寸,最优选0.06到0.08英寸,所述圆形端壁直径大于所述槽的宽度。每个容纳夹持紧固件的阶梯孔582可位于每组槽之间;或者如果所使用的紧固件数量少于所述槽的组数,阶梯孔582可在每组槽之间间隔分布,或者以其他任何的所需方式排布。
为了防止紧固件的退出,紧固件的杆可包括锁定垫圈,例如上述的成对的两半的锁定垫圈。例如,可使用一个或多个锁定垫圈啮合所述紧固件头部的下表面,以防止所述紧固件在所述夹持环温度的循环变化中的转动,由于所述阶梯孔上较大部分区域的对应形状,所述锁定垫圈无法转动。
图10A-C图示了垫板506的细节,其中图10A是所述垫板的正面584的正视图,图10B是所述垫板下表面586的正视图,图10C是截面位置在两个凸轮锁的锁孔560的截面图。
垫板506的上表面584与热控板510上的三个环形凸出511(见图5A)在环形区域588内啮合,环形区域588包括螺纹开口,所述螺纹开口用于容纳延伸穿过热控板510并将其紧靠在所述垫板上的紧固件。额外的螺纹开口位于所述上表面的周边部分,以安装额外的紧固件。十三排孔590在上、下表面之间延伸,以便对准所述内电极上的所述气孔。孔590比内电极气体通道大,以在热膨胀的差异中保持对准。调节热膨胀的孔尺寸的细节在共同转让的美国专利,公布号2008/0141941和2008/0090417中被披露,其内容被引述在此作为参考。热交界垫优选插入在凸出511和区域588之间以强化热与电传导。为监控室的真空压强,将一组孔592布置在槽594内侧,所述孔与所述外电极上的孔522紧密配合,所述槽中安装气体密封件,例如O型圈。
下表面586包括环形区域596,在该环形区域中热交界材料(例如Q-垫)被插入所述垫板和所述内电极之间。用于安装气体密封件(O型圈)的凹槽598将气孔590分隔至中心区域和外部环形区域。确定定位销孔600的尺寸以容纳定位销,所述定位销由内、外电极向上延伸,距离中心销孔相对较远的定位孔被放大或加长以适应所述电极和所述垫板之间热膨胀或收缩的差异。所述下表面包括8个纵向延伸的阶梯孔602,所述阶梯孔容纳锁销562,所述锁销由外电极向上延伸。水平延伸孔604容纳前文所述的圆柱凸轮锁514。
尽管本发明参考特定的实施方式进行了细节的描述,但显然对本领域的技术人员而言,在所附的权利要求范围内可进行多种变化和调整,并可采用等价物替换。

Claims (21)

1.喷淋头电极总成,其中所述喷淋头电极构成电容耦合等离子处理室的上电极,其包括:
垫板,所述垫板具有在其上、下表面之间延伸的气体通道;
夹持环,所述夹持环具有法兰和阶梯孔,所述法兰向内延伸,所述阶梯孔配置为容纳紧固件,所述紧固件与所述垫板的所述下表面中的螺纹开口相啮合;
喷淋头电极,所述喷淋头电极包括圆形板,所述板具有位于下表面的等离子体暴露面;位于上表面的安装面;内表面,所述内表面包括在所述板外周的台阶,所述台阶被配置为与所述夹持环的所述向内延伸的法兰配合;所述板包括按图案排列的加工气体出口,所述图案与所述垫板上的所述气体通道相匹配;以及
压缩环,所述压缩环位于所述夹持环法兰和所述喷淋头电极的台阶的相对表面之间;
螺旋顶,所述螺旋顶安装在所述垫板的下表面,可旋转所述螺旋顶使环形法兰在所述螺旋顶上向所述内电极的所述上表面移动,从而在所述内电极的拆卸过程中将所述内电极从所述垫板上分离。
2.如权利要求1所述的喷淋头电极总成,其中所述螺旋顶包括与所述垫板的所述下表面上的螺纹开口相啮合的上螺纹杆,和带有键槽以便与工具相啮合的下杆,所述工具旋转每一个所述螺旋顶;所述电极总成进一步包括上聚合物环和聚合物垫圈,所述上聚合物环带有容纳紧固件的开口和容纳所述上杆的开口,所述紧固件将所述夹持环固定在所述垫板上,所述聚合物环位于每个环形法兰和所述垫板的相对表面之间,所述聚合物垫圈环绕所述下杆并位于每个环法兰和所述喷淋头电极的相对表面之间。
3.如权利要求1所述的喷淋头电极总成,其中所述夹持环包括热膨胀区域,以容纳所述夹持环的热膨胀。
4.如权利要求3所述的喷淋头电极总成,其中所述热膨胀区域包括由所述夹持环的所述外边界向内延伸的槽,以及由所述夹持环的所述内边界向外延伸的槽。
5.如权利要求4所述的喷淋头电极总成,其中所述槽被布置为交替的向内和向外的槽的组,每组槽包括两个由所述夹持环的所述内边界向外延伸的槽,该槽的终点采取圆形端壁;和三个由所述夹持环的所述外边界向内延伸的槽,该槽的终点采取圆形端壁。
6.如权利要求1所述的喷淋头电极总成,进一步包括在所述垫板和所述喷淋头电极之间的热交界环和气体密封件,所述气体密封件位于所述气体通道和所述热交界环的外侧。
7.如权利要求1所述的喷淋头电极总成,进一步包括压缩环,所述压缩环位于所述夹持环的所述法兰和所述喷淋头电极的所述外部台阶之间。
8.喷淋头电极总成的外电极,其中所述外电极环绕内电极,所述喷淋头电极总成构成电容耦合等离子处理室的上电极,其包括:
环形板,所述环形板具有在下表面的等离子体暴露面,和在上表面的安装面,所述上表面包括位于上部内部台阶和上部外部台阶之间的平面环形表面,所述等离子体暴露面包括内、外锥面;
多个周向间隔的销座,所述销座位于所述平面环形表面上,所述销孔被配置为在其中容纳锁销,所述锁销用于将所述外电极夹持在所述喷淋头电极总成的垫板上。
9.如权利要求8所述的外电极,进一步包括在所述上表面上的定位销孔,所述定位销孔配置为对准延伸到所述垫板中的定位销,所述外部上方台阶配置为支撑所述喷淋头电极总成的保护环,由此所述保护环的外表面与所述外电极的所述外表面齐平。
10.如权利要求8所述的外电极,进一步包括在所述下表面的平面环形表面上的气孔,所述气孔适于与压力计单元共同作用测量所述室中的真空压强;在内、外锥面之间延伸的平面环形表面,所述外锥面与所述平面环形表面形成小于30度的夹角,所述内锥面与所述平面环形表面形成大于30度的夹角。
11.电容耦合等离子处理室的喷淋头电极总成,包括:
热控板,所述热控板由所述等离子处理室的温度控制壁支撑,所述热控板直径大于等离子处理室中拟加工的晶圆直径,并且包括在下表面上的至少一个集气室;
垫板,所述垫板由所述热控板支撑,所述垫板直径小于所述热控板直径,气体通道垂直延伸贯通所述垫板并与所述至少一个集气室相通,在孔内的可旋转的凸轮锁水平延伸进入所述垫板的外周;
保护环,所述保护环环绕所述垫板,所述保护环包括至少一个水平延伸通过所述保护环的通孔,所述保护环可环绕所述垫板旋转,以使所述通孔对准至少一个所述凸轮锁;
内电极,所述内电极具有垂直贯通延伸并与所述垫板内的所述气体通道流体相通的气体通道,所述内电极的外周包括内部和外部台阶,所述外部台阶位于所述内台阶和所述内电极的面对所述垫板的表面之间;
夹持环,所述夹持环具有覆盖在所述内电极的所述外部台阶上的内部法兰,在所述夹持环和所述内电极的所述外部台阶之间可选择带有压缩环,所述夹持环包括垂直延伸的阶梯开口,所述阶梯开口对准所述垫板上的螺纹孔,所述阶梯开口内的紧固件将所述夹持环紧靠在所述垫板上;以及
外电极,所述外电极环绕所述内电极并包括垂直延伸的锁销,所述锁销与所述凸轮锁相啮合,所述外电极支撑所述保护环,并可通过将所述锁销从所述凸轮锁中松弛拆卸所述外电极。
12.如权利要求11所述的电极总成,其中所述垫板包括垂直延伸孔和水平延伸孔,所述垂直延伸孔对准所述外电极上的所述锁销,所述水平延伸孔与所述轴向延伸孔相通,所述凸轮锁包括可旋转的凸轮杆,所述凸轮杆安装在所述水平延伸孔中,所述锁销包括在自由端带有放大头部的杆和位于插孔中的锁销基底,所述凸轮杆包括凸轮面,所述凸轮面与所述锁销的头部相啮合,以将所述外电极机械夹持在所述垫板上。
13.如权利要求12所述的电极总成,其中所述锁销可在所述插孔内垂直和水平移动,以容纳所述垫板和所述外电极在热膨胀中的差异。
14.如权利要求11所述的电极总成,其中所述夹持环包括热膨胀区域,以容纳所述夹持环的热膨胀。
15.如权利要求11所述的电极总成,其中所述内电极为单晶硅板,所述垫板为铝合金板。
16.如权利要求11所述的电极总成,进一步包括安装在所述垫板的所述下表面的螺旋顶,所述螺旋顶可旋转将所述螺旋顶上的法兰向所述内电极的所述上表面移动,以在所述内电极的拆卸过程中将所述内电极与所述垫板分离开来。
17.如权利要求11所述的电极总成,其中所述热控板包括在其下表面的环形凸起,所述环形突起限制与所述垫板上的所述气体通道相通的集气室,并且在所述内电极和所述垫板之间至少有两个热交界环。
18.如权利要求17所述的电极总成,进一步包括所述垫板和所述喷淋头电极之间的气体密封件,所述气体密封件位于所述气体通道和所述最靠外的热交界环外侧。
19.在具有上、下电极的电容耦合等离子室中处理半导体基板的方法,其中所述上电极包括如权利要求11所述的喷淋头电极总成,所述方法包括如下步骤:
将所述半导体基板支撑在所述下电极上;
向所述室中供应加工气体;
通过在所述上下电极之间提供射频能量激励所述加工气体进入等离子体状态;
利用所述等离子体加工所述半导体基板。
20.如权利要求19所述的方法,其中所述半导体基板包括半导体晶圆,所述加工步骤包括利用所述等离子体蚀刻所述半导体晶圆。
21.如权利要求11所述的电极总成的拆卸方法,包括去除所述保护环的通孔内的插入物;旋转所述保护环至第一位置,使所述通孔对准第一组凸轮锁;旋转所述凸轮锁,拆除所述第一组凸轮锁固定的锁销;旋转所述保护环至第二位置,使所述通孔对准第二组凸轮锁;旋转所述第二组凸轮锁,拆除所述第二组凸轮锁固定的锁销,由此将所述外电极从所述垫板上拆除;并移除所述夹持环中的紧固件并由此将所述夹持环和所述内电极从所述垫板上拆除。
CN200980126812.8A 2008-07-07 2009-07-06 夹持式喷淋头电极总成 Active CN102084726B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US12/216,526 US8161906B2 (en) 2008-07-07 2008-07-07 Clamped showerhead electrode assembly
US12/216,526 2008-07-07
PCT/US2009/003953 WO2010005541A2 (en) 2008-07-07 2009-07-06 Clamped showerhead electrode assembly

Publications (2)

Publication Number Publication Date
CN102084726A true CN102084726A (zh) 2011-06-01
CN102084726B CN102084726B (zh) 2014-10-08

Family

ID=41464712

Family Applications (1)

Application Number Title Priority Date Filing Date
CN200980126812.8A Active CN102084726B (zh) 2008-07-07 2009-07-06 夹持式喷淋头电极总成

Country Status (7)

Country Link
US (2) US8161906B2 (zh)
EP (1) EP2301309B1 (zh)
JP (1) JP5615813B2 (zh)
KR (1) KR101168847B1 (zh)
CN (1) CN102084726B (zh)
TW (2) TWI504317B (zh)
WO (1) WO2010005541A2 (zh)

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN104752131A (zh) * 2013-12-25 2015-07-01 北京北方微电子基地设备工艺研究中心有限责任公司 上电极组件进气装置及上电极组件
CN107578976A (zh) * 2013-01-25 2018-01-12 应用材料公司 具有可拆卸式气体分配板的喷淋头
CN109844176A (zh) * 2016-10-20 2019-06-04 朗姆研究公司 带有小间隙的销升降器组件
TWI680490B (zh) * 2014-07-11 2019-12-21 日商東京威力科創股份有限公司 電漿處理裝置及上部電極總成
CN111385955A (zh) * 2018-12-28 2020-07-07 中微半导体设备(上海)股份有限公司 一种等离子体处理器的安装结构及相应的等离子体处理器
CN111524775A (zh) * 2019-02-01 2020-08-11 中微半导体设备(上海)股份有限公司 一种等离子处理器以及用于等离子处理器的上电极组件

Families Citing this family (187)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7645341B2 (en) * 2003-12-23 2010-01-12 Lam Research Corporation Showerhead electrode assembly for plasma processing apparatuses
US8216418B2 (en) * 2007-06-13 2012-07-10 Lam Research Corporation Electrode assembly and plasma processing chamber utilizing thermally conductive gasket and o-rings
US8187414B2 (en) 2007-10-12 2012-05-29 Lam Research Corporation Anchoring inserts, electrode assemblies, and plasma processing chambers
KR101553422B1 (ko) * 2007-12-19 2015-09-15 램 리써치 코포레이션 플라즈마 처리 장치를 위한 복합 샤워헤드 전극 어셈블리
SG187387A1 (en) 2007-12-19 2013-02-28 Lam Res Corp Film adhesive for semiconductor vacuum processing apparatus
TWI455239B (zh) * 2008-03-14 2014-10-01 Lam Res Corp 凸輪鎖電極夾
US8187413B2 (en) * 2008-03-18 2012-05-29 Lam Research Corporation Electrode assembly and plasma processing chamber utilizing thermally conductive gasket
JP2009239067A (ja) * 2008-03-27 2009-10-15 Tokyo Electron Ltd 結合部材およびプラズマ処理装置
US8161906B2 (en) * 2008-07-07 2012-04-24 Lam Research Corporation Clamped showerhead electrode assembly
US8206506B2 (en) * 2008-07-07 2012-06-26 Lam Research Corporation Showerhead electrode
US8221582B2 (en) 2008-07-07 2012-07-17 Lam Research Corporation Clamped monolithic showerhead electrode
US8147648B2 (en) 2008-08-15 2012-04-03 Lam Research Corporation Composite showerhead electrode assembly for a plasma processing apparatus
US8869741B2 (en) * 2008-12-19 2014-10-28 Lam Research Corporation Methods and apparatus for dual confinement and ultra-high pressure in an adjustable gap plasma chamber
US8540844B2 (en) * 2008-12-19 2013-09-24 Lam Research Corporation Plasma confinement structures in plasma processing systems
TWI368543B (en) * 2009-01-12 2012-07-21 Inotera Memories Inc A showerhead clean rack and a ultrasonic cleaning method thereof
US8402918B2 (en) * 2009-04-07 2013-03-26 Lam Research Corporation Showerhead electrode with centering feature
US8272346B2 (en) * 2009-04-10 2012-09-25 Lam Research Corporation Gasket with positioning feature for clamped monolithic showerhead electrode
KR101050463B1 (ko) * 2009-05-07 2011-07-20 삼성모바일디스플레이주식회사 플라즈마 처리 장치
TWM412457U (en) * 2009-09-18 2011-09-21 Lam Res Corp Showerhead electrode for use in a plasma reaction chamber and showerhead electrode assembly
JP3160877U (ja) * 2009-10-13 2010-07-15 ラム リサーチ コーポレーションLam Research Corporation シャワーヘッド電極アセンブリの端部クランプ留めおよび機械固定される内側電極
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US8573152B2 (en) * 2010-09-03 2013-11-05 Lam Research Corporation Showerhead electrode
US9076826B2 (en) * 2010-09-24 2015-07-07 Lam Research Corporation Plasma confinement ring assembly for plasma processing chambers
JP5697389B2 (ja) * 2010-09-27 2015-04-08 東京エレクトロン株式会社 プラズマエッチング用の電極板及びプラズマエッチング処理装置
US8470127B2 (en) * 2011-01-06 2013-06-25 Lam Research Corporation Cam-locked showerhead electrode and assembly
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US9082593B2 (en) * 2011-03-31 2015-07-14 Tokyo Electron Limited Electrode having gas discharge function and plasma processing apparatus
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
US9947512B2 (en) * 2011-10-25 2018-04-17 Lam Research Corporation Window and mounting arrangement for twist-and-lock gas injector assembly of inductively coupled plasma chamber
US8545639B2 (en) 2011-10-31 2013-10-01 Lam Research Corporation Method of cleaning aluminum plasma chamber parts
US9058960B2 (en) 2012-05-09 2015-06-16 Lam Research Corporation Compression member for use in showerhead electrode assembly
US9267205B1 (en) * 2012-05-30 2016-02-23 Alta Devices, Inc. Fastener system for supporting a liner plate in a gas showerhead reactor
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) * 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
DE102012110125A1 (de) 2012-10-24 2014-04-24 Aixtron Se Vorrichtung zum Behandeln von Substraten mit einer auswechselbaren Deckenplatte sowie Verfahren zum Auswechseln einer derartigen Deckenplatte
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US9314854B2 (en) 2013-01-30 2016-04-19 Lam Research Corporation Ductile mode drilling methods for brittle components of plasma processing apparatuses
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US8883029B2 (en) * 2013-02-13 2014-11-11 Lam Research Corporation Method of making a gas distribution member for a plasma processing chamber
US8893702B2 (en) 2013-02-20 2014-11-25 Lam Research Corporation Ductile mode machining methods for hard and brittle components of plasma processing apparatuses
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
KR102127715B1 (ko) * 2013-08-09 2020-06-29 에스케이실트론 주식회사 에피텍셜 반응기
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
TW201517112A (zh) * 2013-10-09 2015-05-01 Applied Materials Inc 具有同軸和方位角對稱和具有一致中央觸發的多區中空陰極放電系統
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9433070B2 (en) 2013-12-13 2016-08-30 Kla-Tencor Corporation Plasma cell with floating flange
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
CN104952678A (zh) * 2014-03-28 2015-09-30 中微半导体设备(上海)有限公司 用于等离子体处理的电极组件及其制造方法
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9911579B2 (en) 2014-07-03 2018-03-06 Applied Materials, Inc. Showerhead having a detachable high resistivity gas distribution plate
JP6298373B2 (ja) * 2014-07-11 2018-03-20 東京エレクトロン株式会社 プラズマ処理装置および上部電極アセンブリ
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9478434B2 (en) 2014-09-24 2016-10-25 Applied Materials, Inc. Chlorine-based hardmask removal
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US10008404B2 (en) 2014-10-17 2018-06-26 Applied Materials, Inc. Electrostatic chuck assembly for high temperature processes
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
KR101593086B1 (ko) * 2015-05-06 2016-02-11 하나머티리얼즈(주) 원터치 공정 가스 분사체 조립체
US10008399B2 (en) 2015-05-19 2018-06-26 Applied Materials, Inc. Electrostatic puck assembly with metal bonded backing plate for high temperature processes
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10378108B2 (en) * 2015-10-08 2019-08-13 Applied Materials, Inc. Showerhead with reduced backside plasma ignition
US10651015B2 (en) * 2016-02-12 2020-05-12 Lam Research Corporation Variable depth edge ring for etch uniformity control
US10249526B2 (en) * 2016-03-04 2019-04-02 Applied Materials, Inc. Substrate support assembly for high temperature processes
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US11069553B2 (en) 2016-07-07 2021-07-20 Lam Research Corporation Electrostatic chuck with features for preventing electrical arcing and light-up and improving process uniformity
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US11251019B2 (en) * 2016-12-15 2022-02-15 Toyota Jidosha Kabushiki Kaisha Plasma device
KR101855654B1 (ko) * 2016-12-23 2018-05-08 주식회사 테스 대면적 샤워헤드 어셈블리
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10910195B2 (en) 2017-01-05 2021-02-02 Lam Research Corporation Substrate support with improved process uniformity
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10741425B2 (en) 2017-02-22 2020-08-11 Lam Research Corporation Helium plug design to reduce arcing
US10460978B2 (en) * 2017-03-08 2019-10-29 Lam Research Corporation Boltless substrate support assembly
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US20190048467A1 (en) * 2017-08-10 2019-02-14 Applied Materials, Inc. Showerhead and process chamber incorporating same
JP6863199B2 (ja) 2017-09-25 2021-04-21 トヨタ自動車株式会社 プラズマ処理装置
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
CN109958681B (zh) * 2017-12-22 2020-12-11 中微半导体设备(上海)股份有限公司 一种脆性材料零件安装装置及其应用
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
TWI766433B (zh) 2018-02-28 2022-06-01 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10957572B2 (en) 2018-05-02 2021-03-23 Applied Materials, Inc. Multi-zone gasket for substrate support assembly
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
DE102018126617A1 (de) 2018-10-25 2020-04-30 Aixtron Se Schirmplatte für einen CVD-Reaktor
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
KR20200093754A (ko) * 2019-01-29 2020-08-06 주성엔지니어링(주) 샤워헤드 및 이를 포함하는 기판처리장치
TW202115815A (zh) * 2019-10-04 2021-04-16 美商應用材料股份有限公司 用於易碎板以防止破裂的氣體分配組件安裝
CN112837985B (zh) * 2019-11-22 2023-01-24 中微半导体设备(上海)股份有限公司 上电极组件以及等离子体处理设备
KR102492702B1 (ko) * 2021-05-13 2023-01-31 플라텍(주) 체결용 조립체
KR102496053B1 (ko) * 2021-05-13 2023-02-07 플라텍(주) 체결용 조립체

Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0766180A (ja) * 1993-08-30 1995-03-10 Sony Corp プラズマ処理装置およびそのメンテナンス方法
JPH0913172A (ja) * 1995-06-28 1997-01-14 Ulvac Japan Ltd 真空装置用昇降機構
JPH09245994A (ja) * 1996-03-08 1997-09-19 Nagano Keiki Seisakusho Ltd プラズマ利用の加工装置用電極およびその電極の製造方法
TW200305184A (en) * 2002-03-29 2003-10-16 Samsung Electronics Co Ltd Electrode assembly for processing a semiconductor substrate and processing apparatus having the same
CN1759203A (zh) * 2003-04-30 2006-04-12 东京毅力科创株式会社 混合球锁联接装置
US20060254512A1 (en) * 2003-02-28 2006-11-16 Tokyo Electron Limited Apparatus for attachment of semiconductor hardware
TW200721301A (en) * 2005-09-23 2007-06-01 Lam Res Corp Actively heated aluminum baffle component having improved particle performance and methods of use and manufacture thereof
CN1977068A (zh) * 2003-12-23 2007-06-06 兰姆研究公司 用于等离子加工装置的喷淋头电极组件
WO2007081653A2 (en) * 2005-12-21 2007-07-19 Lam Research Corporation Apparatus for an optimized plasma chamber grounded electrode assembly

Family Cites Families (157)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3456549A (en) * 1967-10-10 1969-07-22 Herbert C Horton Hexagonal t-nuts
US4324036A (en) * 1979-06-04 1982-04-13 Quanta Chemical Ltd. "Method of making orthodontic screw-type device"
US4585920A (en) * 1982-05-21 1986-04-29 Tegal Corporation Plasma reactor removable insert
US4612077A (en) 1985-07-29 1986-09-16 The Perkin-Elmer Corporation Electrode for plasma etching system
US4908095A (en) * 1988-05-02 1990-03-13 Tokyo Electron Limited Etching device, and etching method
US5074456A (en) * 1990-09-18 1991-12-24 Lam Research Corporation Composite electrode for plasma processes
JPH04355917A (ja) * 1990-10-12 1992-12-09 Seiko Epson Corp 半導体装置の製造装置
US5356515A (en) 1990-10-19 1994-10-18 Tokyo Electron Limited Dry etching method
USD363464S (en) 1992-08-27 1995-10-24 Tokyo Electron Yamanashi Limited Electrode for a semiconductor processing apparatus
US5423936A (en) * 1992-10-19 1995-06-13 Hitachi, Ltd. Plasma etching system
KR100324792B1 (ko) 1993-03-31 2002-06-20 히가시 데쓰로 플라즈마처리장치
US5472565A (en) * 1993-11-17 1995-12-05 Lam Research Corporation Topology induced plasma enhancement for etched uniformity improvement
KR950020993A (ko) * 1993-12-22 1995-07-26 김광호 반도체 제조장치
US5680013A (en) 1994-03-15 1997-10-21 Applied Materials, Inc. Ceramic protection for heated metal surfaces of plasma processing chamber exposed to chemically aggressive gaseous environment therein and method of protecting such heated metal surfaces
US5589002A (en) 1994-03-24 1996-12-31 Applied Materials, Inc. Gas distribution plate for semiconductor wafer processing apparatus with means for inhibiting arcing
JP3468859B2 (ja) * 1994-08-16 2003-11-17 富士通株式会社 気相処理装置及び気相処理方法
US5746875A (en) * 1994-09-16 1998-05-05 Applied Materials, Inc. Gas injection slit nozzle for a plasma process reactor
US5590975A (en) * 1994-12-06 1997-01-07 Horntvedt; Earl Fastening assembly
US6086710A (en) 1995-04-07 2000-07-11 Seiko Epson Corporation Surface treatment apparatus
US5569356A (en) 1995-05-19 1996-10-29 Lam Research Corporation Electrode clamping assembly and method for assembly and use thereof
US5534751A (en) 1995-07-10 1996-07-09 Lam Research Corporation Plasma etching apparatus utilizing plasma confinement
US5792269A (en) 1995-10-31 1998-08-11 Applied Materials, Inc. Gas distribution for CVD systems
USD411516S (en) * 1996-03-15 1999-06-29 Tokyo Electron Limited Gas diffusion plate for electrode of semiconductor wafer processing apparatus
JP3728021B2 (ja) 1996-06-28 2005-12-21 日清紡績株式会社 プラズマエッチング電極及びその製造方法
JP3310171B2 (ja) * 1996-07-17 2002-07-29 松下電器産業株式会社 プラズマ処理装置
US6444037B1 (en) 1996-11-13 2002-09-03 Applied Materials, Inc. Chamber liner for high temperature processing chamber
US5740009A (en) * 1996-11-29 1998-04-14 Applied Materials, Inc. Apparatus for improving wafer and chuck edge protection
TW415970B (en) 1997-01-08 2000-12-21 Ebara Corp Vapor-phase film growth apparatus and gas ejection head
USD412513S (en) 1997-01-29 1999-08-03 Tokyo Electron Limited Upper electrode for manufacturing semiconductors
KR100434790B1 (ko) * 1997-05-20 2004-06-07 동경 엘렉트론 주식회사 처리 장치
US6024799A (en) * 1997-07-11 2000-02-15 Applied Materials, Inc. Chemical vapor deposition manifold
TW432578B (en) * 1997-09-18 2001-05-01 Tokyo Electron Ltd A vacuum processing apparatus
JP3480271B2 (ja) * 1997-10-07 2003-12-15 東京エレクトロン株式会社 熱処理装置のシャワーヘッド構造
US6110556A (en) 1997-10-17 2000-08-29 Applied Materials, Inc. Lid assembly for a process chamber employing asymmetric flow geometries
US6079356A (en) * 1997-12-02 2000-06-27 Applied Materials, Inc. Reactor optimized for chemical vapor deposition of titanium
US6039836A (en) * 1997-12-19 2000-03-21 Lam Research Corporation Focus rings
USD420022S (en) * 1997-12-24 2000-02-01 Applied Materials, Inc. Electrostatic chuck with improved spacing and charge migration reduction mask
US6050506A (en) * 1998-02-13 2000-04-18 Applied Materials, Inc. Pattern of apertures in a showerhead for chemical vapor deposition
US5997649A (en) 1998-04-09 1999-12-07 Tokyo Electron Limited Stacked showerhead assembly for delivering gases and RF power to a reaction chamber
US6302964B1 (en) 1998-06-16 2001-10-16 Applied Materials, Inc. One-piece dual gas faceplate for a showerhead in a semiconductor wafer processing system
US6550126B1 (en) * 1998-07-30 2003-04-22 Sony Electronics, Inc Method for mounting electrode assembly
US6228208B1 (en) * 1998-08-12 2001-05-08 Applied Materials, Inc. Plasma density and etch rate enhancing semiconductor processing chamber
US6050216A (en) * 1998-08-21 2000-04-18 M.E.C. Technology, Inc. Showerhead electrode for plasma processing
US6178919B1 (en) * 1998-12-28 2001-01-30 Lam Research Corporation Perforated plasma confinement ring in plasma reactors
US6558506B1 (en) * 1999-02-01 2003-05-06 Tokyo Electron Limited Etching system and etching chamber
US20020179245A1 (en) 1999-03-17 2002-12-05 Toshio Masuda Plasma processing apparatus and maintenance method therefor
KR100596822B1 (ko) * 1999-03-30 2006-07-03 동경 엘렉트론 주식회사 플라즈마 처리 장치, 그 보수 방법 및 그 시공 방법
US6305677B1 (en) * 1999-03-30 2001-10-23 Lam Research Corporation Perimeter wafer lifting
US6173673B1 (en) * 1999-03-31 2001-01-16 Tokyo Electron Limited Method and apparatus for insulating a high power RF electrode through which plasma discharge gases are injected into a processing chamber
US20050061445A1 (en) * 1999-05-06 2005-03-24 Tokyo Electron Limited Plasma processing apparatus
US6245192B1 (en) * 1999-06-30 2001-06-12 Lam Research Corporation Gas distribution apparatus for semiconductor processing
US6200415B1 (en) * 1999-06-30 2001-03-13 Lam Research Corporation Load controlled rapid assembly clamp ring
US6206972B1 (en) * 1999-07-08 2001-03-27 Genus, Inc. Method and apparatus for providing uniform gas delivery to substrates in CVD and PECVD processes
US6495233B1 (en) 1999-07-09 2002-12-17 Applied Materials, Inc. Apparatus for distributing gases in a chemical vapor deposition system
AUPQ270599A0 (en) * 1999-09-08 1999-09-30 Varian Australia Pty Ltd Spectrophotometer apparatus and phosphorescence measurement
JP4323021B2 (ja) 1999-09-13 2009-09-02 株式会社エフオーアイ プラズマ処理装置
USD441348S1 (en) * 1999-11-30 2001-05-01 Applied Materials, Inc. Process chamber lid
US6350317B1 (en) * 1999-12-30 2002-02-26 Lam Research Corporation Linear drive system for use in a plasma processing system
JP4437351B2 (ja) 2000-01-14 2010-03-24 キヤノンアネルバ株式会社 プラズマエッチング装置
US6477980B1 (en) * 2000-01-20 2002-11-12 Applied Materials, Inc. Flexibly suspended gas distribution manifold for plasma chamber
US6772827B2 (en) * 2000-01-20 2004-08-10 Applied Materials, Inc. Suspended gas distribution manifold for plasma chamber
US6237528B1 (en) * 2000-01-24 2001-05-29 M.E.C. Technology, Inc. Showerhead electrode assembly for plasma processing
US6170432B1 (en) * 2000-01-24 2001-01-09 M.E.C. Technology, Inc. Showerhead electrode assembly for plasma processing
US6383931B1 (en) 2000-02-11 2002-05-07 Lam Research Corporation Convertible hot edge ring to improve low-K dielectric etch
JP3411539B2 (ja) * 2000-03-06 2003-06-03 株式会社日立製作所 プラズマ処理装置及びプラズマ処理方法
US7196283B2 (en) * 2000-03-17 2007-03-27 Applied Materials, Inc. Plasma reactor overhead source power electrode with low arcing tendency, cylindrical gas outlets and shaped surface
JP4592916B2 (ja) * 2000-04-25 2010-12-08 東京エレクトロン株式会社 被処理体の載置装置
US6553932B2 (en) * 2000-05-12 2003-04-29 Applied Materials, Inc. Reduction of plasma edge effect on plasma enhanced CVD processes
KR100638917B1 (ko) * 2000-05-17 2006-10-25 동경 엘렉트론 주식회사 처리 장치 부품의 조립 기구 및 그 조립 방법
US6461435B1 (en) 2000-06-22 2002-10-08 Applied Materials, Inc. Showerhead with reduced contact area
US6753498B2 (en) * 2000-07-20 2004-06-22 Tokyo Electron Limited Automated electrode replacement apparatus for a plasma processing system
US6412437B1 (en) * 2000-08-18 2002-07-02 Micron Technology, Inc. Plasma enhanced chemical vapor deposition reactor and plasma enhanced chemical vapor deposition process
US6391787B1 (en) * 2000-10-13 2002-05-21 Lam Research Corporation Stepped upper electrode for plasma processing uniformity
US6630201B2 (en) * 2001-04-05 2003-10-07 Angstron Systems, Inc. Adsorption process for atomic layer deposition
KR100776843B1 (ko) * 2001-02-09 2007-11-16 동경 엘렉트론 주식회사 성막 장치 및 Ti막 성막 장치
US7211170B2 (en) 2001-04-02 2007-05-01 Lam Research Corporation Twist-N-Lock wafer area pressure ring and assembly
US6818096B2 (en) * 2001-04-12 2004-11-16 Michael Barnes Plasma reactor electrode
US6537928B1 (en) * 2002-02-19 2003-03-25 Asm Japan K.K. Apparatus and method for forming low dielectric constant film
KR100400044B1 (ko) * 2001-07-16 2003-09-29 삼성전자주식회사 간격 조절 장치를 가지는 웨이퍼 처리 장치의 샤워 헤드
US6786175B2 (en) 2001-08-08 2004-09-07 Lam Research Corporation Showerhead electrode design for semiconductor processing reactor
US6586886B1 (en) 2001-12-19 2003-07-01 Applied Materials, Inc. Gas distribution plate electrode for a plasma reactor
US20030127806A1 (en) 2001-12-27 2003-07-10 Belchuk Mark A. Alignment feature for a fuel cell seal
US6827815B2 (en) * 2002-01-15 2004-12-07 Applied Materials, Inc. Showerhead assembly for a processing chamber
US7479304B2 (en) * 2002-02-14 2009-01-20 Applied Materials, Inc. Gas distribution plate fabricated from a solid yttrium oxide-comprising substrate
JP4102873B2 (ja) * 2002-03-29 2008-06-18 東京エレクトロン株式会社 プラズマ処理装置用電極板及びプラズマ処理装置
US20030185729A1 (en) 2002-03-29 2003-10-02 Ho Ko Electrode assembly for processing a semiconductor substrate and processing apparatus having the same
US6936135B2 (en) * 2002-04-17 2005-08-30 Lam Research Corporation Twist-N-Lock wafer area pressure ring and assembly for reducing particulate contaminant in a plasma processing chamber
USD490450S1 (en) * 2002-05-20 2004-05-25 Tokyo Electron Limited Exhaust ring for semiconductor equipment
WO2003100817A1 (en) * 2002-05-23 2003-12-04 Lam Research Corporation Multi-part electrode for a semiconductor processing plasma reactor and method of replacing a portion of a mutli-part electrode
USD493873S1 (en) 2002-05-24 2004-08-03 Tokyo Electron Limited Heating gas supplier for semiconductor manufacturing equipment
US7543547B1 (en) * 2002-07-31 2009-06-09 Lam Research Corporation Electrode assembly for plasma processing apparatus
US7166200B2 (en) * 2002-09-30 2007-01-23 Tokyo Electron Limited Method and apparatus for an improved upper electrode plate in a plasma processing system
US6838012B2 (en) * 2002-10-31 2005-01-04 Lam Research Corporation Methods for etching dielectric materials
JP4753276B2 (ja) * 2002-11-26 2011-08-24 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
US7270713B2 (en) * 2003-01-07 2007-09-18 Applied Materials, Inc. Tunable gas distribution plate assembly
JP4472372B2 (ja) 2003-02-03 2010-06-02 株式会社オクテック プラズマ処理装置及びプラズマ処理装置用の電極板
US20040173313A1 (en) 2003-03-03 2004-09-09 Bradley Beach Fire polished showerhead electrode
US6942753B2 (en) * 2003-04-16 2005-09-13 Applied Materials, Inc. Gas distribution plate assembly for large area plasma enhanced chemical vapor deposition
KR100965758B1 (ko) * 2003-05-22 2010-06-24 주성엔지니어링(주) 액정표시장치용 플라즈마 강화 화학기상증착 장치의샤워헤드 어셈블리
US7083702B2 (en) 2003-06-12 2006-08-01 Applied Materials, Inc. RF current return path for a large area substrate plasma reactor
JP2005019606A (ja) * 2003-06-25 2005-01-20 Anelva Corp プラズマ処理装置におけるガスシャワーヘッドまたはターゲットプレートを電極に固定する装置
US7461614B2 (en) * 2003-11-12 2008-12-09 Tokyo Electron Limited Method and apparatus for improved baffle plate
WO2005052414A2 (en) * 2003-11-25 2005-06-09 Garlock Sealing Technologies, Llc Corrugated gasket core with profiled surface
CN1669796B (zh) * 2004-02-23 2012-05-23 周星工程股份有限公司 用于制造显示基板的装置及装配在其中的喷头组合
JP4403919B2 (ja) 2004-04-01 2010-01-27 株式会社Sumco 耐久性に優れたプラズマエッチング用シリコン電極板
US8083853B2 (en) 2004-05-12 2011-12-27 Applied Materials, Inc. Plasma uniformity control by gas diffuser hole design
US7712434B2 (en) * 2004-04-30 2010-05-11 Lam Research Corporation Apparatus including showerhead electrode and heater for plasma processing
US7740737B2 (en) * 2004-06-21 2010-06-22 Tokyo Electron Limited Plasma processing apparatus and method
US7988816B2 (en) * 2004-06-21 2011-08-02 Tokyo Electron Limited Plasma processing apparatus and method
KR100614648B1 (ko) * 2004-07-15 2006-08-23 삼성전자주식회사 반도체 소자 제조에 사용되는 기판 처리 장치
JP4550507B2 (ja) * 2004-07-26 2010-09-22 株式会社日立ハイテクノロジーズ プラズマ処理装置
US20060042754A1 (en) * 2004-07-30 2006-03-02 Tokyo Electron Limited Plasma etching apparatus
US20060043067A1 (en) * 2004-08-26 2006-03-02 Lam Research Corporation Yttria insulator ring for use inside a plasma chamber
US7244311B2 (en) * 2004-10-13 2007-07-17 Lam Research Corporation Heat transfer system for improved semiconductor processing uniformity
JP2006128000A (ja) * 2004-10-29 2006-05-18 Advanced Lcd Technologies Development Center Co Ltd プラズマ処理装置
US20060108069A1 (en) * 2004-11-19 2006-05-25 Samsung Electronics Co., Ltd. Plasma reaction chamber and captive silicon electrode plate for processing semiconductor wafers
US7430986B2 (en) 2005-03-18 2008-10-07 Lam Research Corporation Plasma confinement ring assemblies having reduced polymer deposition characteristics
US20060213617A1 (en) * 2005-03-25 2006-09-28 Fink Steven T Load bearing insulator in vacuum etch chambers
US8163087B2 (en) * 2005-03-31 2012-04-24 Tokyo Electron Limited Plasma enhanced atomic layer deposition system and method
KR100621778B1 (ko) 2005-06-17 2006-09-11 삼성전자주식회사 플라즈마 처리 장치
US7713379B2 (en) 2005-06-20 2010-05-11 Lam Research Corporation Plasma confinement rings including RF absorbing material for reducing polymer deposition
US7431788B2 (en) * 2005-07-19 2008-10-07 Lam Research Corporation Method of protecting a bond layer in a substrate support adapted for use in a plasma processing system
US20070032081A1 (en) * 2005-08-08 2007-02-08 Jeremy Chang Edge ring assembly with dielectric spacer ring
JP4628900B2 (ja) * 2005-08-24 2011-02-09 株式会社日立ハイテクノロジーズ プラズマ処理装置
US7641762B2 (en) * 2005-09-02 2010-01-05 Applied Materials, Inc. Gas sealing skirt for suspended showerhead in process chamber
US8789493B2 (en) * 2006-02-13 2014-07-29 Lam Research Corporation Sealed elastomer bonded Si electrodes and the like for reduced particle contamination in dielectric etch
US8008596B2 (en) 2006-03-16 2011-08-30 Tokyo Electron Limited Plasma processing apparatus and electrode used therein
US8635971B2 (en) * 2006-03-31 2014-01-28 Lam Research Corporation Tunable uniformity in a plasma processing system
US7662254B2 (en) * 2007-02-08 2010-02-16 Lam Research Corporation Methods of and apparatus for aligning electrodes in a process chamber to protect an exclusion area within an edge environ of a wafer
US7829468B2 (en) * 2006-06-07 2010-11-09 Lam Research Corporation Method and apparatus to detect fault conditions of plasma processing reactor
US7740736B2 (en) 2006-06-08 2010-06-22 Lam Research Corporation Methods and apparatus for preventing plasma un-confinement events in a plasma processing chamber
US7875824B2 (en) * 2006-10-16 2011-01-25 Lam Research Corporation Quartz guard ring centering features
US7482550B2 (en) * 2006-10-16 2009-01-27 Lam Research Corporation Quartz guard ring
US7854820B2 (en) * 2006-10-16 2010-12-21 Lam Research Corporation Upper electrode backing member with particle reducing features
US20080087641A1 (en) * 2006-10-16 2008-04-17 Lam Research Corporation Components for a plasma processing apparatus
US7776178B2 (en) * 2006-10-25 2010-08-17 Applied Materials, Inc. Suspension for showerhead in process chamber
US8702866B2 (en) 2006-12-18 2014-04-22 Lam Research Corporation Showerhead electrode assembly with gas flow modification for extended electrode life
US8398778B2 (en) 2007-01-26 2013-03-19 Lam Research Corporation Control of bevel etch film profile using plasma exclusion zone rings larger than the wafer diameter
US7862682B2 (en) * 2007-06-13 2011-01-04 Lam Research Corporation Showerhead electrode assemblies for plasma processing apparatuses
US8216418B2 (en) 2007-06-13 2012-07-10 Lam Research Corporation Electrode assembly and plasma processing chamber utilizing thermally conductive gasket and o-rings
WO2009042137A2 (en) * 2007-09-25 2009-04-02 Lam Research Corporation Temperature control modules for showerhead electrode assemblies for plasma processing apparatuses
US8152954B2 (en) * 2007-10-12 2012-04-10 Lam Research Corporation Showerhead electrode assemblies and plasma processing chambers incorporating the same
US8187414B2 (en) * 2007-10-12 2012-05-29 Lam Research Corporation Anchoring inserts, electrode assemblies, and plasma processing chambers
US8673080B2 (en) * 2007-10-16 2014-03-18 Novellus Systems, Inc. Temperature controlled showerhead
WO2009058376A2 (en) * 2007-10-31 2009-05-07 Lam Research Corporation Temperature control module using gas pressure to control thermal conductance between liquid coolant and component body
KR101553422B1 (ko) * 2007-12-19 2015-09-15 램 리써치 코포레이션 플라즈마 처리 장치를 위한 복합 샤워헤드 전극 어셈블리
JP5224855B2 (ja) 2008-03-05 2013-07-03 東京エレクトロン株式会社 電極ユニット、基板処理装置及び電極ユニットの温度制御方法
TWI455239B (zh) 2008-03-14 2014-10-01 Lam Res Corp 凸輪鎖電極夾
US8679288B2 (en) * 2008-06-09 2014-03-25 Lam Research Corporation Showerhead electrode assemblies for plasma processing apparatuses
US8221582B2 (en) * 2008-07-07 2012-07-17 Lam Research Corporation Clamped monolithic showerhead electrode
US8161906B2 (en) * 2008-07-07 2012-04-24 Lam Research Corporation Clamped showerhead electrode assembly
US8206506B2 (en) * 2008-07-07 2012-06-26 Lam Research Corporation Showerhead electrode
US8147648B2 (en) * 2008-08-15 2012-04-03 Lam Research Corporation Composite showerhead electrode assembly for a plasma processing apparatus
US8402918B2 (en) 2009-04-07 2013-03-26 Lam Research Corporation Showerhead electrode with centering feature
US8272346B2 (en) * 2009-04-10 2012-09-25 Lam Research Corporation Gasket with positioning feature for clamped monolithic showerhead electrode
TWM412457U (en) * 2009-09-18 2011-09-21 Lam Res Corp Showerhead electrode for use in a plasma reaction chamber and showerhead electrode assembly
JP3160877U (ja) * 2009-10-13 2010-07-15 ラム リサーチ コーポレーションLam Research Corporation シャワーヘッド電極アセンブリの端部クランプ留めおよび機械固定される内側電極

Patent Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0766180A (ja) * 1993-08-30 1995-03-10 Sony Corp プラズマ処理装置およびそのメンテナンス方法
JPH0913172A (ja) * 1995-06-28 1997-01-14 Ulvac Japan Ltd 真空装置用昇降機構
JPH09245994A (ja) * 1996-03-08 1997-09-19 Nagano Keiki Seisakusho Ltd プラズマ利用の加工装置用電極およびその電極の製造方法
TW200305184A (en) * 2002-03-29 2003-10-16 Samsung Electronics Co Ltd Electrode assembly for processing a semiconductor substrate and processing apparatus having the same
US20060254512A1 (en) * 2003-02-28 2006-11-16 Tokyo Electron Limited Apparatus for attachment of semiconductor hardware
CN1759203A (zh) * 2003-04-30 2006-04-12 东京毅力科创株式会社 混合球锁联接装置
CN1977068A (zh) * 2003-12-23 2007-06-06 兰姆研究公司 用于等离子加工装置的喷淋头电极组件
TW200721301A (en) * 2005-09-23 2007-06-01 Lam Res Corp Actively heated aluminum baffle component having improved particle performance and methods of use and manufacture thereof
WO2007081653A2 (en) * 2005-12-21 2007-07-19 Lam Research Corporation Apparatus for an optimized plasma chamber grounded electrode assembly

Cited By (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN107578976A (zh) * 2013-01-25 2018-01-12 应用材料公司 具有可拆卸式气体分配板的喷淋头
CN107578976B (zh) * 2013-01-25 2020-09-08 应用材料公司 具有可拆卸式气体分配板的喷淋头
CN104752131A (zh) * 2013-12-25 2015-07-01 北京北方微电子基地设备工艺研究中心有限责任公司 上电极组件进气装置及上电极组件
CN104752131B (zh) * 2013-12-25 2017-07-21 北京北方微电子基地设备工艺研究中心有限责任公司 上电极组件进气装置及上电极组件
TWI680490B (zh) * 2014-07-11 2019-12-21 日商東京威力科創股份有限公司 電漿處理裝置及上部電極總成
CN109844176A (zh) * 2016-10-20 2019-06-04 朗姆研究公司 带有小间隙的销升降器组件
CN109844176B (zh) * 2016-10-20 2022-05-13 朗姆研究公司 带有小间隙的销升降器组件
CN111385955A (zh) * 2018-12-28 2020-07-07 中微半导体设备(上海)股份有限公司 一种等离子体处理器的安装结构及相应的等离子体处理器
CN111524775A (zh) * 2019-02-01 2020-08-11 中微半导体设备(上海)股份有限公司 一种等离子处理器以及用于等离子处理器的上电极组件
CN111524775B (zh) * 2019-02-01 2023-03-10 中微半导体设备(上海)股份有限公司 一种等离子处理器以及用于等离子处理器的上电极组件

Also Published As

Publication number Publication date
TWI504317B (zh) 2015-10-11
KR101168847B1 (ko) 2012-07-30
WO2010005541A3 (en) 2010-04-22
EP2301309B1 (en) 2015-05-06
CN102084726B (zh) 2014-10-08
US20120171872A1 (en) 2012-07-05
US8313805B2 (en) 2012-11-20
KR20110016489A (ko) 2011-02-17
US20100003824A1 (en) 2010-01-07
TWM402580U (en) 2011-04-21
WO2010005541A2 (en) 2010-01-14
JP2011527520A (ja) 2011-10-27
TW201018321A (en) 2010-05-01
US8161906B2 (en) 2012-04-24
EP2301309A4 (en) 2013-07-31
EP2301309A2 (en) 2011-03-30
JP5615813B2 (ja) 2014-10-29

Similar Documents

Publication Publication Date Title
CN102084726B (zh) 夹持式喷淋头电极总成
CN102037790B (zh) 卡紧的整体喷头电极
CN202695373U (zh) 凸轮锁定的网状电极及其组件
CN201919233U (zh) 夹紧的单体喷头电极和喷头电极组件
US10262834B2 (en) Edge-clamped and mechanically fastened inner electrode of showerhead electrode assembly
CN202025711U (zh) 喷头电极总成和用于喷头电极总成的垫圈
JP3189241U (ja) シャワーヘッド電極およびガスケット
US8402918B2 (en) Showerhead electrode with centering feature

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant