CN102150253B - 自对准沟槽的形成方法 - Google Patents

自对准沟槽的形成方法 Download PDF

Info

Publication number
CN102150253B
CN102150253B CN200980135752.6A CN200980135752A CN102150253B CN 102150253 B CN102150253 B CN 102150253B CN 200980135752 A CN200980135752 A CN 200980135752A CN 102150253 B CN102150253 B CN 102150253B
Authority
CN
China
Prior art keywords
groove
group
etch
methods according
stop layer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN200980135752.6A
Other languages
English (en)
Other versions
CN102150253A (zh
Inventor
沃纳·云林
理查德·莱恩
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Micron Technology Inc
Original Assignee
Micron Technology Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Micron Technology Inc filed Critical Micron Technology Inc
Priority to CN201310308912.0A priority Critical patent/CN103400794B/zh
Publication of CN102150253A publication Critical patent/CN102150253A/zh
Application granted granted Critical
Publication of CN102150253B publication Critical patent/CN102150253B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/76224Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3083Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/3086Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3083Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/3088Process specially adapted to improve the resolution of the mask
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/31051Planarisation of the insulating layers
    • H01L21/31053Planarisation of the insulating layers involving a dielectric removal step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0603Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions
    • H01L29/0642Isolation within the component, i.e. internal isolation
    • H01L29/0649Dielectric regions, e.g. SiO2 regions, air gaps
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B12/00Dynamic random access memory [DRAM] devices
    • H10B12/01Manufacture or treatment
    • H10B12/02Manufacture or treatment for one transistor one-capacitor [1T-1C] memory cells
    • H10B12/03Making the capacitor or connections thereto
    • H10B12/038Making the capacitor or connections thereto the capacitor being in a trench in the substrate
    • H10B12/0387Making the trench

Abstract

本发明涉及半导体装置的形成方法,其包含形成自对准沟槽,其中使用第一组沟槽(300)来对准第二组沟槽(302)。本文所教示的方法可用作间距加倍技术,且因此可提高装置整合度。另外,通过使用极薄CMP终止层(211)并使周围材料凹陷与所述CMP终止层(211)的厚度大致相等的量可在所述装置的表面上提供改善的平坦性。

Description

自对准沟槽的形成方法
技术领域
本发明实施例涉及半导体结构的形成方法,更具体来说涉及在半导体处理中形成自对准沟槽的方法。
背景技术
集成电路设计者制造更快更小集成电路的一种方式是降低包括集成电路的各元件间的分隔距离。此增加衬底上电路元件密度的方法通常称作“缩放”或增加装置整合度。在设计整合度较高的集成电路的方法中,人们研发出经改善的装置构造及制造方法。
发明内容
本发明揭示包含自对准沟槽的半导体结构的制造技术。自对准沟槽可用作间距加倍技术的一部分,其可提高装置整合度。有利的是,本文所述的制造技术使得能够更精确地蚀刻、将对衬底的应力降至最低和/或提高半导体结构表面的平坦性。
附图说明
本文所揭示的本发明的实例性实施例阐释于附图中,其并非按比例绘出而是仅用于阐释目的:
图1显示部分形成的半导体装置的剖视图。
图2显示使用光掩模图案化硬掩模后图1中部分形成半导体装置的剖视图。
图3显示使用硬掩模图案化第二硬掩模后图2中部分形成半导体装置的剖视图。
图4显示使某些层图案化并在所述层上形成间隔层后图3中部分形成半导体装置的剖视图。
图5显示在衬底中蚀刻出第一组沟槽后图4中部分形成半导体装置的剖视图。
图6显示填充沟槽后图5中部分形成半导体装置的剖视图。
图7显示平坦化后图6中部分形成半导体装置的剖视图。
图8显示在经填充沟槽之间选择性蚀刻占位层并沉积间隔层材料后图7中部分形成半导体装置的剖视图。
图9显示执行间隔层蚀刻并随后以自对准方式在衬底中选择性蚀刻出第二组沟槽后图8中部分形成半导体装置的剖视图。
图10显示填充第二组沟槽后图9中部分形成半导体装置的剖视图。
图11显示在蚀刻终止层上终止平坦化后图10中部分形成半导体装置的剖视图。
图12显示使表面的多个部分选择性凹陷后图11中部分形成半导体装置的详细剖视图。
图13显示去除蚀刻终止层后图12中部分形成半导体装置的详细剖视图。
图14展示图13半导体装置的俯视图。
具体实施方式
图1是部分形成的包含衬底110的半导体装置的剖视图,在衬底上形成有若干额外层以有助于掩模工艺。衬底110包括适用于半导体处理的各种工件中的一者或多者。在一些实施例中,衬底110包含在衬底上制造的半导体结构,例如掺杂硅平台。尽管所示衬底110包括单晶硅晶片,但在其它实施例中,衬底110包括其它形式的半导体层,所述半导体层任选地包含半导体装置的其它有源部分或可操作部分。衬底在本文中还用于指代包含形成于衬底上的集成层的工件。
如图1中所示,在一些实施例中,衬底110上生长或沉积有氧化物层210。氧化物层210可包括厚度介于约之间的薄垫氧化物。可使用适宜沉积方法(例如化学蒸气沉积(“CVD”)或物理蒸气沉积(“PVD”))来沉积氧化物层210,或可通过下伏表面的氧化来生长氧化物层。
图1的部分形成的半导体装置还展示蚀刻终止层211,其是通过例如CVD或PVD等适宜沉积方法在氧化物层210上形成。蚀刻终止层211用作后续平坦化步骤的终止层(例如,CMP终止层),如下文所述。相对于常规CMP终止层,蚀刻终止层211可以极薄,例如,厚度可介于约之间、更具体来说介于约之间。从下文所述可以了解,此薄蚀刻终止层可提高平坦性以便于随后的处理。在一些实施例中,蚀刻终止层211包括氮化物,例如氮化硅(“Si3N4”)。在其它实施例中,蚀刻终止层211包括氧化铝(“Al2O3”)或另一材料,可通过化学和/或机械蚀刻工艺来选择性地蚀刻其相邻材料(尤其为氧化硅)。
如图1中所示,一些实施例还提供在蚀刻终止层211上形成的占位材料212。优选地,占位材料212的厚度可足以提供在下文所述的后续步骤中形成间隔层材料的空间。因此,占位材料212可具有适于随后界定侧壁间隔层高度的厚度,例如介于约 之间、更具体来说介于之间。在所示实施例中,占位材料212包括多晶硅,但也可使用可相对于周围材料、且尤其相对于沟槽隔离材料进行选择性蚀刻的其它材料。
根据本发明实施例,提供硬掩模来蚀刻第一组沟槽。在图1中所示的实施例中,提供两个硬掩模层213、214,第一硬掩模层214用于图案化第二硬掩模层213,且经由用作掩模的第二硬掩模层213来蚀刻第一组沟槽。在下文中将更详细地阐述此实施例,这是因为此布置提供了某些性能优点,例如蚀刻第一组沟槽的纵横比得以改善。然而,仅使用单一硬掩模来蚀刻第一组沟槽的其它实施例也是可能的,例如通过使用光掩模来蚀刻碳质硬掩模且然后经由所述碳质硬掩模来蚀刻沟槽。在其它实施例中,根本不使用硬掩模,且代之以仅使用光掩模来形成第一组沟槽。
再次参照图1中所示的实施例,通过任一适宜沉积方法(例如CVD或PVD)在占位材料212上形成第二硬掩模层213。第二硬掩模层213可包括例如原硅酸四乙酯(“TEOS”)等氧化硅形式,且其厚度可介于约之间、更具体来说介于之间。第一硬掩模层214可包括碳,例如不定型碳。具体来说,不定型碳可呈对光高度透明的透明碳形式,例如A.海布(A.Helmbold),D.迈斯纳(D.Meissner),固体薄膜(Thin Solid Films),283(1996),196-203中所揭示者,其全部揭示内容以引用方式并入本文中。第一硬掩模层214的厚度可介于约之间、更具体来说介于之间。因此,第二硬掩模213的厚度相对于第一硬掩模214的厚度的百分比小于100%、更具体来说为30-70%、甚至更具体来说为40-60%。在蚀刻第一组沟槽时,与直接使用第一硬掩模214相比,相对于第一硬掩模层214减小第二硬掩模层213的厚度可有利地提供较低纵横比。
如图1中所示,一些实施例还提供形成于第一硬掩模214上的涂层215、216。电介质抗反射涂层(“DARC”)215可有利地保护第一硬掩模214。DARC 215可包括富硅材料,例如氮氧化硅(“SixOyNz”)。有机底部抗反射涂层(“BARC”)216的介面上还可包含有光阻剂218。
图1中所示的光阻剂218提供图案以经由光刻和蚀刻技术去除第一硬掩模214的若干部分。在一些实施例中,如图1中所示,光阻剂218提供由间隙彼此分隔的平行线图案(示于图1中的横截面中;也可参见图13)。在一些实施例中,例如在期望装置整合度较高时,线与线间间隙的宽度可大约等于“F”,其中F可为使用特定光刻技术可形成的最小特征尺寸。然而,通常来说,在图中使用“F”来代表相对尺寸。所属领域技术人员应了解,在F大于最小分辨率时也可实施本文所述的方法。其它实施例可使用界定其它图案的光阻剂218,进而在后续步骤中产生了不同的沟槽构造。在一实例性实施例中,通过以下步骤形成光阻剂218:在光敏材料上实施旋涂,经由含有期望图案的掩模将光敏材料暴露于光中,且然后使所述材料显影成为光阻剂218。随后,可经由光阻剂218中的间隙来蚀刻第一硬掩模214。对第一硬掩模214实施光刻及蚀刻后,可剥除光阻剂218,或可在第一硬掩模214的蚀刻期间去除光阻剂,由此产生图2中所示的部分形成的半导体装置。
在图2中,已使用线和间隙交替的图案蚀刻第一硬掩模214。如上所述,在一些实施例中,可经由第一硬掩模214在衬底中蚀刻出第一组沟槽。然而,在图2-3中所示的实施例中,经由较厚的第一硬掩模214蚀刻相对较薄的第二硬掩模213,且然后去除第一硬掩模214。此工艺产生了图3中所示的部分形成的半导体装置,其中已将第二硬掩模213图案化。
参照图4,第二硬掩模213的图案已转移(例如,经由选择性湿法蚀刻)到占位材料212上。沿占位材料212与第二硬掩模213的侧壁可形成上侧壁间隔层217。上侧壁间隔层217可通过以下步骤形成:将均匀厚氧化硅(例如与第二硬掩模213中相同的材料)保形沉积至上表面和侧壁表面上,且然后定向蚀刻所沉积的氧化物以便优先去除水平表面,但在期望位置保留上侧壁间隔层217。在一些实施例中,上侧壁间隔层217具有大约1/4F的厚度,此可在上侧壁间隔层217之间提供大约1/2F的间隙。所述构造可有利地提供两组一致的沟槽,其宽度大致相等且由大致相等的距离分隔,如下文所述。
参照图5,已经由第二硬掩模213和上侧壁间隔层217在衬底110中蚀刻出第一组沟槽300。蚀刻工艺可为选择性蚀刻工艺,其优先蚀刻形成沟槽时欲去除的材料(例如硅),而并不蚀刻第二硬掩模213和间隔层217的材料(例如TEOS或其它基于氧化硅的材料)。所属领域技术人员应了解,例如在层间电介质(“ILD”)中形成镶嵌沟槽时,可使用其它硬掩模材料及其它选择性蚀刻化学品。因此,图5显示,在形成第一组沟槽300后第二硬掩模213仍保留在适当位置。然而,所属领域技术人员应认识到,选择性蚀刻可能并不完美,因此在第一组沟槽300的蚀刻工艺中会消除第二硬掩模213的全部或一部分。
在一些实施例中,第一组沟槽300由一系列沟槽间区域301分隔,随后在301中可形成第二组沟槽。在一些实施例中,沟槽间区域301的宽度可大约等于1.5F。因此,在图5中所示的实施例中,在形成第一组沟槽300后,沟槽宽度大约等于1/2F,上侧壁间隔层217的宽度大约等于1/4F,且包含上侧壁间隔层217的沟槽间区域301的宽度大约等于1.5F。
第一组沟槽300具有深度“D1”,所述深度定义为在第一组沟槽300的蚀刻步骤后立即测量的从沟槽间区域301中的衬底110顶部到沟槽300的底部硅的距离。在一些实施例中,D1介于约之间、更具体来说介于之间。
第一组沟槽300也具有由沟槽深度“D2”(在此情形下包含掩模层)与沟槽顶部处的沟槽宽度的比率所定义的纵横比。深度D2定义为在第一组沟槽300的蚀刻步骤后立即测量的从沟槽间区域301中的顶部表面到沟槽300底部的距离。由于上述原因,在第一组沟槽的蚀刻步骤后立即测量,沟槽间区域301的顶部表面可位于第二硬掩模213顶部处(如图5中所示)或位于占位材料212顶部处。在一些实施例中,纵横比介于约5∶1与100∶1之间、更具体来说介于约10∶1与25∶1之间。
形成第一组沟槽300后,可填充沟槽。在一些实施例中,沟槽300可内衬有一个或一个以上衬层。举例来说,在图6中所示的实施例中,在沟槽中以及沟槽间区域301表面上生长厚度介于约之间的薄氧化物层220。氧化物层220可有利地修复沟槽300壁的蚀刻损坏。图6还展示厚度介于约之间的氮化物层221,所述氮化物层作为障壁层沉积于氧化物层220上以促进后续的氧化物致密化。厚度介于约之间的TEOS层223可任选地形成于氮化物层221上,其可在SOD致密化期间提供扩散路径以使氧化剂的分布更均匀。然后使用例如电隔离材料等填充剂材料225来填充沟槽300。在一实例性实施例中,填充剂材料225包括旋涂电介质。如图6中所示,填充步骤可包含过填充沟槽300,以便填充剂材料225延伸至沟槽300顶部上方。
图7显示对表面实施平坦化后图6中部分形成的半导体装置的剖视图。平坦化工艺可包括化学机械抛光工艺。如图7中所示,平坦化步骤可终止于占位材料212上,以便在平坦化步骤后,部分形成的装置的表面在沟槽300中包括填充剂材料225且在沟槽间区域301中包括暴露的占位材料212。
接下来,可去除上侧壁间隔层217(如果其在早期工艺中未被去除),且可从沟槽间区域301中选择性蚀刻占位材料212,由此产生部分形成的装置,其中沟槽300中的填充剂材料225突出至沟槽间区域301的表面上方。在一些实施例中,可在各向同性湿法蚀刻中使用TMAH从沟槽间区域301选择性去除占位材料212。然后可毯覆沉积第二间隔层材料230(例如TEOS)以贴合装置表面,如图8中所示。
然后可使用定向间隔层蚀刻优先从水平表面蚀刻间隔层材料230。定向间隔层蚀刻在大致垂直表面上的适当位置留下了呈侧壁间隔层形式的第二间隔层材料230,例如沟槽300上方的填充剂材料部分的侧壁,其突出至沟槽间区域301的表面上方。然后第二间隔层材料230的这些剩余部分可用于对准沟槽间区域301内由间隔层与第一沟槽300间隔的第二组沟槽302。在一些实施例中,在优先蚀刻第二间隔层材料230后,间隔层材料可具有大约等于1/2F的厚度。所述实施例可提供大致一致的第一和第二组沟槽的宽度以及大致一致的第一与第二组沟槽间的间隔或间隙。
图9展示在衬底110中蚀刻出第二组沟槽302后部分形成的半导体装置的剖视图。图8展示第一沟槽300比第二沟槽302深的实施例,但其它构造也是可能的:第二组可比第一组深、或第一组与第二组可具有大致相同的深度。如上所述,实施例可提供间距加倍技术,其中第一组300中的沟槽与第二组302中的沟槽的间距小于距离F(例如,大约1/2F)。
图10展示已填充第二沟槽302后的部分形成的半导体装置。第二沟槽302可内衬有氧化物衬层310,例如生长到厚度介于约之间的氧化物。如图10中所示,然后可使用第二填充剂材料312(例如使用高密度等离子体(“HDP”)氧化物)过填充沟槽302使其延伸至沟槽302顶部上方。应注意,尽管在所示实施例中通过不同技术和实施例填充所示沟槽300、302,但所述两组沟槽均是通过在选择性蚀刻方面化学上相似的氧化硅形式来填充。
填充沟槽302后,然后可对部分形成的装置实施平坦化。可使用化学机械抛光(“CMP”)工艺来实施平坦化,且其可止于蚀刻终止层211上。通常很难以足够高的精确度控制平坦化工艺止于薄蚀刻终止层211顶部表面上,从而造成在CMP工艺结束时消耗了所示薄蚀刻终止层211的大部分厚度。通常在终止CMP工艺的过程中消耗约的蚀刻终止层211,此可代表约50%到80%的蚀刻终止层211厚度。实施此平坦化步骤后部分形成的装置的剖视图示于图11中。
在一些实施例中,平坦化步骤后的装置表面可主要包括蚀刻终止层211和结构材料(例如氧化硅)。然后可通过(例如)选择性蚀刻蚀刻终止层氮化物的相邻氧化物来使结构材料选择性凹陷到与蚀刻终止层的下表面大致共面。
图12显示结构材料选择性凹陷后装置表面的详图。结构材料(示为氧化物)的凹陷量优选地约等于剩余蚀刻终止层211的厚度。在所示实施例中,结构材料凹陷约更具体来说因此,凹陷结构材料的上表面与蚀刻终止层211的下表面大致共面(例如,内,更具体来说内)。如图12中所示,装置的其它部分(例如氮化物衬层221)可突出至沿蚀刻终止层211选择性凹陷的部分的上方。
接下来,可通过(例如)选择性蚀刻氮化物来去除蚀刻终止层211。所述蚀刻步骤也可去除突出至结构材料表面上的其它部分,例如氮化物衬层221。本发明者观察到所述工艺可增加装置表面的平坦性。图13显示了这些步骤后半导体装置的详图。
图11及14显示填充有隔离材料的平行沟槽,其是根据本文所述方法制得。在一实施例中,深沟槽300代表阵列(例如,例如DRAM等存储器阵列)中晶体管之间的浅沟槽隔离。这些较深沟槽与平行浅沟槽302交替出现。从2006年3月2日公开的美国专利申请案第2006-0046407号(其揭示内容以引用方式并入本文中)的工艺流程可以了解,这些浅沟槽302可代表U型突出晶体管结构中源极柱与漏极柱之间的间隙,且可保留填充有绝缘材料或在“3面”沟道结构中可使用栅极电介质和栅极电极材料来替代。如所纳入的‘407公开案中所述,随后的中等深度的交叉沟槽可在晶体管行之间提供间隙,其中可围绕U型半导体结构在至少两侧形成栅极电极材料。在其它实施例中,沟槽可用于深DRAM电容器中;均可用于沟槽隔离中且可具有大约相等的深度;可在绝缘材料中用作镶嵌沟槽以供随后使用金属线来填充;等等。
尽管并未示于图中,但然后可生长厚度介于约之间的牺牲氧化物层且随后剥除以去除和/或修复上表面上的任何受损硅。
已阐述各种方法来为半导体结构的形成提供若干优点。举例来说,已教示各种使用占位材料作为在衬底中形成自对准沟槽的一部分的方法。自对准沟槽可用作间距加倍技术的一部分,其可提高装置整合度。举例来说,在所示实施例中,部分形成的装置的间距在图1到图7中所示的阶段为2F,但间距在图13中所示的阶段为F;特征尺寸从图1阶段的F缩减到图13阶段的1/2F。有利的是,本文所述的制造技术通过(例如)使用相对薄的第二硬掩模来改善沟槽蚀刻期间的纵横比而使得能够更精确地进行蚀刻。另外,本文所揭示的方法通过(例如)提供相对薄的蚀刻终止层及使周围材料凹陷与在CMP步骤期间消耗后蚀刻终止层的剩余量大约相等的量的步骤序列来提高半导体结构的表面的平坦性。
根据一个实施例,提供形成装置的方法。所述方法包括在衬底上形成多晶硅层及在衬底中形成第一组沟槽,其中所述多晶硅层的剩余部分保留于衬底上在第一组的沟槽间的沟槽间区域中。所述方法进一步包括使用填充剂材料填充第一组沟槽,其中所述填充剂材料向上至少延伸到毗邻多晶硅层的剩余部分的水平。另外,所述方法包括从沟槽间区域选择性蚀刻多晶硅层的剩余部分,在沟槽间区域中的填充剂材料的侧壁上形成间隔层,及在衬底中于间隔层之间蚀刻出第二组沟槽。
在另一实施例中,提供在衬底上形成装置的方法。所述方法包括在衬底上形成蚀刻终止层及经由蚀刻终止层和衬底蚀刻多个第一沟槽。所述方法进一步包括使用隔离材料填充第一沟槽并使其突出至衬底上方,在隔离材料的突出部分的侧壁上形成间隔层,及在间隔层之间蚀刻多个第二沟槽。所述方法进一步包括使用第二填充剂材料填充第二沟槽,对第二填充剂材料实施平坦化及在蚀刻终止层上终止平坦化。
在另一实施例中,提供形成集成电路的方法。所述方法包括在衬底上形成结构,所述结构包括结构材料和蚀刻终止层,所述蚀刻终止层具有上表面和下表面。所述方法进一步包括对所述结构实施平坦化及在蚀刻终止层上终止平坦化。所述方法进一步包括选择性地使结构材料凹陷到与蚀刻终止层的下表面大致共面,及选择性去除蚀刻终止层。
所属领域技术人员应了解,可对上述方法和结构作出各种其它省略、添加及修改,此并不背离本发明的范围。举例来说,尽管所示实施例涉及在半导体材料中蚀刻交替“浅”沟槽隔离(“STI”)及使用电绝缘隔离材料进行填充,但所属领域技术人员应了解,本文所教示的原则及优点可应用于其它背景中。举例来说,本文所教示的一些方法可用于界定使用金属线填充的紧密相间的自对准镶嵌沟槽。所有所述改变均意欲属于本发明的范围内,如随附权利要求所界定。

Claims (26)

1.一种形成装置的方法,所述方法包括:
在衬底上形成多晶硅层;
在所述衬底中形成第一组沟槽,其中所述多晶硅层的剩余部分保留于所述衬底上在所述第一组的沟槽间的沟槽间区域中;
使用填充剂材料填充所述第一组沟槽,其中所述填充剂材料向上至少延伸到毗邻所述多晶硅层的所述剩余部分的水平;
从所述沟槽间区域选择性蚀刻所述多晶硅层的所述剩余部分;
在所述沟槽间区域中的所述填充剂材料的侧壁上形成间隔层;及
在所述衬底中在所述间隔层间蚀刻出第二组沟槽。
2.根据权利要求1所述的方法,其进一步包括在填充后对所述衬底上的所述填充剂材料实施平坦化以暴露所述沟槽间区域中的所述多晶硅层的所述剩余部分,然后选择性蚀刻所述多晶硅层的所述剩余部分。
3.根据权利要求1所述的方法,其中形成多晶硅层包括形成厚度介于之间的多晶硅层。
4.根据权利要求1所述的方法,其中蚀刻所述第二组沟槽包括蚀刻与所述第一组沟槽实质上平行的第二组沟槽。
5.根据权利要求4所述的方法,其中蚀刻所述第二组沟槽包括以小于F的宽度分隔所述第一组沟槽中的沟槽与所述第二组沟槽中的沟槽,其中F为使用光刻技术可形成的最小特征尺寸。
6.根据权利要求5所述的方法,其中在蚀刻所述第二组沟槽后,所述第一和第二组沟槽中的所述沟槽间距大致一致且具有大致一致的宽度。
7.根据权利要求5所述的方法,其中所述第一组中的所述沟槽的深度大于所述第二组的深度。
8.根据权利要求1所述的方法,其中形成第一组沟槽包括经由硬掩模层在所述多晶硅层上蚀刻所述第一组沟槽。
9.根据权利要求8所述的方法,其中所述硬掩模层包括原硅酸四乙酯(“TEOS”)。
10.根据权利要求8所述的方法,其进一步包括在蚀刻所述第一组沟槽之前在所述硬掩模层的至少一部分侧壁上形成间隔层。
11.根据权利要求8所述的方法,其中在所述形成所述第一组沟槽的步骤后立即测量,所述第一组沟槽从所述沟槽间区域的顶部表面到所述第一组沟槽的底部的深度介于之间。
12.根据权利要求8所述的方法,其中所述第一组沟槽的纵横比介于5:1与100:1之间,其中所述纵横比为所述第一组沟槽的深度与所述第一组的沟槽的宽度的比率,其中深度是从所述沟槽间区域的顶部表面到所述第一组沟槽的底部计算的。
13.根据权利要求8所述的方法,其进一步包括使用碳质硬掩模图案化所述硬掩模层并去除所述碳质硬掩模,然后蚀刻所述第一组沟槽。
14.根据权利要求13所述的方法,其中所述碳质硬掩模的厚度介于 之间。
15.根据权利要求14所述的方法,其中所述硬掩模层的厚度介于之间。
16.根据权利要求1所述的方法,其进一步包括在所述多晶硅层下方形成蚀刻终止层,且在蚀刻所述第二组沟槽后使用第二填充剂材料填充所述第二组沟槽并对所述第二填充剂材料实施平坦化,其中所述第二填充剂材料的平坦化包括在所述蚀刻终止层上终止。
17.一种在衬底上形成装置的方法,其包括:
在所述衬底上形成蚀刻终止层;
经由所述蚀刻终止层和所述衬底蚀刻多个第一沟槽;
使用隔离材料填充所述第一沟槽并使其突出至所述衬底上方;
在所述隔离材料的突出部分的侧壁上形成间隔层;
在所述间隔层之间蚀刻多个第二沟槽;
使用第二填充剂材料填充所述第二沟槽;及
对所述第二填充剂材料实施平坦化并在所述蚀刻终止层上终止平坦化。
18.根据权利要求17所述的方法,其中形成蚀刻终止层包括形成厚度介于之间的所述蚀刻终止层。
19.根据权利要求17所述的方法,其中平坦化包括化学机械平坦化。
20.根据权利要求17所述的方法,其中形成蚀刻终止层包括形成氮化硅蚀刻终止层。
21.根据权利要求17所述的方法,其中形成蚀刻终止层包括形成氧化铝蚀刻终止层。
22.根据权利要求17所述的方法,其中使用隔离材料填充所述第一沟槽并使其突出至所述衬底上方包括:
使用隔离材料过填充所述沟槽;
对所述隔离材料实施平坦化以暴露位于所述第一沟槽的侧壁间在所述隔离材料下方的占位材料;
选择性蚀刻位于所述第一沟槽的侧壁之间的所述占位材料。
23.根据权利要求22所述的方法,其中使用隔离材料过填充所述沟槽包括使用旋涂电介质过填充所述沟槽。
24.根据权利要求22所述的方法,其中填充所述第一沟槽中的每一者进一步包括使所述沟槽内衬有至少一个衬层。
25.根据权利要求17所述的方法,其中蚀刻多个第二沟槽包括以小于F的宽度间隔所述第二沟槽与所述第一沟槽,其中F为使用光刻技术可形成的最小特征尺寸。
26.根据权利要求17所述的方法,其中蚀刻多个第二沟槽包括将所述第二沟槽蚀刻到小于所述第一沟槽的深度的深度。
CN200980135752.6A 2008-09-11 2009-08-14 自对准沟槽的形成方法 Active CN102150253B (zh)

Priority Applications (1)

Application Number Priority Date Filing Date Title
CN201310308912.0A CN103400794B (zh) 2008-09-11 2009-08-14 自对准沟槽的形成方法

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US12/209,117 US8101497B2 (en) 2008-09-11 2008-09-11 Self-aligned trench formation
US12/209,117 2008-09-11
PCT/US2009/053881 WO2010030468A1 (en) 2008-09-11 2009-08-14 Self-aligned trench formation

Related Child Applications (1)

Application Number Title Priority Date Filing Date
CN201310308912.0A Division CN103400794B (zh) 2008-09-11 2009-08-14 自对准沟槽的形成方法

Publications (2)

Publication Number Publication Date
CN102150253A CN102150253A (zh) 2011-08-10
CN102150253B true CN102150253B (zh) 2015-07-29

Family

ID=41799645

Family Applications (2)

Application Number Title Priority Date Filing Date
CN201310308912.0A Active CN103400794B (zh) 2008-09-11 2009-08-14 自对准沟槽的形成方法
CN200980135752.6A Active CN102150253B (zh) 2008-09-11 2009-08-14 自对准沟槽的形成方法

Family Applications Before (1)

Application Number Title Priority Date Filing Date
CN201310308912.0A Active CN103400794B (zh) 2008-09-11 2009-08-14 自对准沟槽的形成方法

Country Status (5)

Country Link
US (4) US8101497B2 (zh)
KR (1) KR101477337B1 (zh)
CN (2) CN103400794B (zh)
TW (1) TWI508221B (zh)
WO (1) WO2010030468A1 (zh)

Families Citing this family (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8101497B2 (en) 2008-09-11 2012-01-24 Micron Technology, Inc. Self-aligned trench formation
US8692310B2 (en) 2009-02-09 2014-04-08 Spansion Llc Gate fringing effect based channel formation for semiconductor device
US8652925B2 (en) 2010-07-19 2014-02-18 International Business Machines Corporation Method of fabricating isolated capacitors and structure thereof
US8288083B2 (en) 2010-11-05 2012-10-16 Micron Technology, Inc. Methods of forming patterned masks
CN102412263B (zh) * 2011-09-15 2013-06-12 上海华力微电子有限公司 具有金属前介质填充结构的半导体器件及其制备方法
US8673731B2 (en) * 2012-08-20 2014-03-18 International Business Machines Corporation Techniques for gate workfunction engineering to reduce short channel effects in planar CMOS devices
US9275873B2 (en) * 2013-09-26 2016-03-01 Taiwan Semiconductor Manufacturing Company, Ltd. Masking process and structures formed thereby
US9698015B2 (en) * 2013-10-21 2017-07-04 Applied Materials, Inc. Method for patterning a semiconductor substrate
JP6362449B2 (ja) 2014-07-01 2018-07-25 ルネサスエレクトロニクス株式会社 半導体集積回路装置の製造方法
US9419001B1 (en) * 2016-01-15 2016-08-16 Inotera Memories, Inc. Method for forming cell contact
CN109920760B (zh) 2017-12-12 2021-01-12 联华电子股份有限公司 半导体装置的形成方法
CN115332153A (zh) * 2017-12-29 2022-11-11 联华电子股份有限公司 半导体元件及其制作方法
CN113488430B (zh) * 2018-04-03 2023-04-25 长鑫存储技术有限公司 一种自对准沟槽的形成方法
US10978553B2 (en) * 2019-01-28 2021-04-13 Micron Technology, Inc. Formation of a capacitor using a hard mask
US10825691B1 (en) * 2019-08-29 2020-11-03 Micron Technology, Inc. Semiconductor structure stack
US11063157B1 (en) * 2019-12-27 2021-07-13 Taiwan Semiconductor Manufacturing Company, Ltd. Trench capacitor profile to decrease substrate warpage
TWI825469B (zh) * 2021-08-26 2023-12-11 南亞科技股份有限公司 半導體元件的製造方法

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101044615A (zh) * 2004-09-01 2007-09-26 微米技术有限公司 具有垂直u形晶体管的dram单元

Family Cites Families (224)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US674094A (en) * 1901-02-05 1901-05-14 James Crofts Nicklin Pile for tubes.
US4234362A (en) 1978-11-03 1980-11-18 International Business Machines Corporation Method for forming an insulator between layers of conductive material
US4508579A (en) 1981-03-30 1985-04-02 International Business Machines Corporation Lateral device structures using self-aligned fabrication techniques
US4432132A (en) 1981-12-07 1984-02-21 Bell Telephone Laboratories, Incorporated Formation of sidewall oxide layers by reactive oxygen ion etching to define submicron features
US4419809A (en) 1981-12-30 1983-12-13 International Business Machines Corporation Fabrication process of sub-micrometer channel length MOSFETs
DE3242113A1 (de) 1982-11-13 1984-05-24 Ibm Deutschland Gmbh, 7000 Stuttgart Verfahren zur herstellung einer duennen dielektrischen isolation in einem siliciumhalbleiterkoerper
US4716131A (en) 1983-11-28 1987-12-29 Nec Corporation Method of manufacturing semiconductor device having polycrystalline silicon layer with metal silicide film
US4570325A (en) 1983-12-16 1986-02-18 Kabushiki Kaisha Toshiba Manufacturing a field oxide region for a semiconductor device
US4984039A (en) 1985-05-03 1991-01-08 Texas Instruments Incorporated Tapered trench structure and process
US4648937A (en) 1985-10-30 1987-03-10 International Business Machines Corporation Method of preventing asymmetric etching of lines in sub-micrometer range sidewall images transfer
GB8528967D0 (en) 1985-11-25 1986-01-02 Plessey Co Plc Semiconductor device manufacture
US5514885A (en) 1986-10-09 1996-05-07 Myrick; James J. SOI methods and apparatus
US4838991A (en) 1987-10-30 1989-06-13 International Business Machines Corporation Process for defining organic sidewall structures
US4776922A (en) 1987-10-30 1988-10-11 International Business Machines Corporation Formation of variable-width sidewall structures
US4855804A (en) 1987-11-17 1989-08-08 Motorola, Inc. Multilayer trench isolation process and structure
US5328810A (en) 1990-05-07 1994-07-12 Micron Technology, Inc. Method for reducing, by a factor or 2-N, the minimum masking pitch of a photolithographic process
US5013680A (en) 1990-07-18 1991-05-07 Micron Technology, Inc. Process for fabricating a DRAM array having feature widths that transcend the resolution limit of available photolithography
US5053105A (en) 1990-07-19 1991-10-01 Micron Technology, Inc. Process for creating an etch mask suitable for deep plasma etches employing self-aligned silicidation of a metal layer masked with a silicon dioxide template
US5047117A (en) 1990-09-26 1991-09-10 Micron Technology, Inc. Method of forming a narrow self-aligned, annular opening in a masking layer
DE4034612A1 (de) 1990-10-31 1992-05-07 Huels Chemische Werke Ag Verfahren zur herstellung von methacryloxy- oder acryloxygruppen enthaltenden organosilanen
IT1243919B (it) 1990-11-20 1994-06-28 Cons Ric Microelettronica Procedimento per l'ottenimento di solchi submicrometrici planarizzati in circuiti integrati realizzati con tecnologia ulsi
US5087586A (en) 1991-07-03 1992-02-11 Micron Technology, Inc. Process for creating fully-recessed field isolation regions by oxidizing a selectively-grown epitaxial silicon layer
US5315142A (en) 1992-03-23 1994-05-24 International Business Machines Corporation High performance trench EEPROM cell
US5229316A (en) 1992-04-16 1993-07-20 Micron Technology, Inc. Semiconductor processing method for forming substrate isolation trenches
US5330879A (en) 1992-07-16 1994-07-19 Micron Technology, Inc. Method for fabrication of close-tolerance lines and sharp emission tips on a semiconductor wafer
JPH0677480A (ja) 1992-08-24 1994-03-18 Hitachi Ltd 半導体装置
US5319753A (en) 1992-09-29 1994-06-07 Zilog, Inc. Queued interrupt mechanism with supplementary command/status/message information
DE4236609A1 (de) 1992-10-29 1994-05-05 Siemens Ag Verfahren zur Erzeugung einer Struktur in der Oberfläche eines Substrats
US5407785A (en) 1992-12-18 1995-04-18 Vlsi Technology, Inc. Method for generating dense lines on a semiconductor wafer using phase-shifting and multiple exposures
US5470661A (en) 1993-01-07 1995-11-28 International Business Machines Corporation Diamond-like carbon films from a hydrocarbon helium plasma
JP3311070B2 (ja) 1993-03-15 2002-08-05 株式会社東芝 半導体装置
JPH06318680A (ja) 1993-05-10 1994-11-15 Nec Corp 半導体記憶装置およびその製造方法
JP3403231B2 (ja) 1993-05-12 2003-05-06 三菱電機株式会社 半導体装置およびその製造方法
US6042998A (en) 1993-09-30 2000-03-28 The University Of New Mexico Method and apparatus for extending spatial frequencies in photolithography images
JP3720064B2 (ja) 1994-01-20 2005-11-24 株式会社ルネサステクノロジ 半導体集積回路
US5492858A (en) 1994-04-20 1996-02-20 Digital Equipment Corporation Shallow trench isolation process for high aspect ratio trenches
JP3745392B2 (ja) 1994-05-26 2006-02-15 株式会社ルネサステクノロジ 半導体装置
US5447884A (en) 1994-06-29 1995-09-05 International Business Machines Corporation Shallow trench isolation with thin nitride liner
US5600153A (en) 1994-10-07 1997-02-04 Micron Technology, Inc. Conductive polysilicon lines and thin film transistors
US5583065A (en) 1994-11-23 1996-12-10 Sony Corporation Method of making a MOS semiconductor device
US5795830A (en) 1995-06-06 1998-08-18 International Business Machines Corporation Reducing pitch with continuously adjustable line and space dimensions
KR100190757B1 (ko) 1995-06-30 1999-06-01 김영환 모스 전계 효과 트랜지스터 형성방법
JP3393286B2 (ja) 1995-09-08 2003-04-07 ソニー株式会社 パターンの形成方法
US5702976A (en) 1995-10-24 1997-12-30 Micron Technology, Inc. Shallow trench isolation using low dielectric constant insulator
US5789320A (en) 1996-04-23 1998-08-04 International Business Machines Corporation Plating of noble metal electrodes for DRAM and FRAM
JPH09293793A (ja) 1996-04-26 1997-11-11 Mitsubishi Electric Corp 薄膜トランジスタを有する半導体装置およびその製造方法
JP3164026B2 (ja) 1996-08-21 2001-05-08 日本電気株式会社 半導体装置及びその製造方法
US5989998A (en) 1996-08-29 1999-11-23 Matsushita Electric Industrial Co., Ltd. Method of forming interlayer insulating film
US5817560A (en) 1996-09-12 1998-10-06 Advanced Micro Devices, Inc. Ultra short trench transistors and process for making same
US6395613B1 (en) 2000-08-30 2002-05-28 Micron Technology, Inc. Semiconductor processing methods of forming a plurality of capacitors on a substrate, bit line contacts and method of forming bit line contacts
US5998256A (en) 1996-11-01 1999-12-07 Micron Technology, Inc. Semiconductor processing methods of forming devices on a substrate, forming device arrays on a substrate, forming conductive lines on a substrate, and forming capacitor arrays on a substrate, and integrated circuitry
US5926717A (en) 1996-12-10 1999-07-20 Advanced Micro Devices, Inc. Method of making an integrated circuit with oxidizable trench liner
US5679591A (en) 1996-12-16 1997-10-21 Taiwan Semiconductor Manufacturing Company, Ltd Method of making raised-bitline contactless trenched flash memory cell
US5763315A (en) 1997-01-28 1998-06-09 International Business Machines Corporation Shallow trench isolation with oxide-nitride/oxynitride liner
US6309975B1 (en) 1997-03-14 2001-10-30 Micron Technology, Inc. Methods of making implanted structures
US5869384A (en) 1997-03-17 1999-02-09 Taiwan Semiconductor Manufacturing Company, Ltd. Trench filling method employing silicon liner layer and gap filling silicon oxide trench fill layer
US6288431B1 (en) 1997-04-04 2001-09-11 Nippon Steel Corporation Semiconductor device and a method of manufacturing the same
US6063688A (en) 1997-09-29 2000-05-16 Intel Corporation Fabrication of deep submicron structures and quantum wire transistors using hard-mask transistor width definition
DE59814170D1 (de) 1997-12-17 2008-04-03 Qimonda Ag Speicherzellenanordnung und Verfahren zu deren Herstellung
US6291334B1 (en) 1997-12-19 2001-09-18 Applied Materials, Inc. Etch stop layer for dual damascene process
US6004862A (en) 1998-01-20 1999-12-21 Advanced Micro Devices, Inc. Core array and periphery isolation technique
JP2975917B2 (ja) 1998-02-06 1999-11-10 株式会社半導体プロセス研究所 半導体装置の製造方法及び半導体装置の製造装置
US5933725A (en) 1998-05-27 1999-08-03 Vanguard International Semiconductor Corporation Word line resistance reduction method and design for high density memory with relaxed metal pitch
US6245662B1 (en) 1998-07-23 2001-06-12 Applied Materials, Inc. Method of producing an interconnect structure for an integrated circuit
US6191444B1 (en) 1998-09-03 2001-02-20 Micron Technology, Inc. Mini flash process and circuit
US6333866B1 (en) 1998-09-28 2001-12-25 Texas Instruments Incorporated Semiconductor device array having dense memory cell array and heirarchical bit line scheme
US6074931A (en) * 1998-11-05 2000-06-13 Vanguard International Semiconductor Corporation Process for recess-free planarization of shallow trench isolation
US6071789A (en) 1998-11-10 2000-06-06 Vanguard International Semiconductor Corporation Method for simultaneously fabricating a DRAM capacitor and metal interconnections
US5977579A (en) 1998-12-03 1999-11-02 Micron Technology, Inc. Trench dram cell with vertical device and buried word lines
US6037238A (en) 1999-01-04 2000-03-14 Vanguard International Semiconductor Corporation Process to reduce defect formation occurring during shallow trench isolation formation
KR100322531B1 (ko) 1999-01-11 2002-03-18 윤종용 파임방지막을 이용하는 반도체소자의 트랜치 소자분리방법 및이를 이용한 반도체소자
US6027982A (en) 1999-02-05 2000-02-22 Chartered Semiconductor Manufacturing Ltd. Method to form shallow trench isolation structures with improved isolation fill and surface planarity
US6271141B2 (en) 1999-03-23 2001-08-07 Micron Technology, Inc. Methods of forming materials over uneven surface topologies, and methods of forming insulative materials over and between conductive lines
US6211044B1 (en) 1999-04-12 2001-04-03 Advanced Micro Devices Process for fabricating a semiconductor device component using a selective silicidation reaction
US6159801A (en) 1999-04-26 2000-12-12 Taiwan Semiconductor Manufacturing Company Method to increase coupling ratio of source to floating gate in split-gate flash
US6110837A (en) 1999-04-28 2000-08-29 Worldwide Semiconductor Manufacturing Corp. Method for forming a hard mask of half critical dimension
US6136662A (en) 1999-05-13 2000-10-24 Lsi Logic Corporation Semiconductor wafer having a layer-to-layer alignment mark and method for fabricating the same
JP2000323563A (ja) * 1999-05-14 2000-11-24 Nec Corp 半導体装置の製造方法
DE19928781C1 (de) 1999-06-23 2000-07-06 Siemens Ag DRAM-Zellenanordnung und Verfahren zu deren Herstellung
JP2001077196A (ja) 1999-09-08 2001-03-23 Sony Corp 半導体装置の製造方法
US6282113B1 (en) 1999-09-29 2001-08-28 International Business Machines Corporation Four F-squared gapless dual layer bitline DRAM array architecture
US6362057B1 (en) 1999-10-26 2002-03-26 Motorola, Inc. Method for forming a semiconductor device
JP2001144170A (ja) 1999-11-11 2001-05-25 Mitsubishi Electric Corp 半導体装置およびその製造方法
US6582891B1 (en) 1999-12-02 2003-06-24 Axcelis Technologies, Inc. Process for reducing edge roughness in patterned photoresist
KR100311050B1 (ko) 1999-12-14 2001-11-05 윤종용 커패시터의 전극 제조 방법
US6573030B1 (en) 2000-02-17 2003-06-03 Applied Materials, Inc. Method for depositing an amorphous carbon layer
US6967140B2 (en) 2000-03-01 2005-11-22 Intel Corporation Quantum wire gate device and method of making same
US6297554B1 (en) 2000-03-10 2001-10-02 United Microelectronics Corp. Dual damascene interconnect structure with reduced parasitic capacitance
US6423474B1 (en) 2000-03-21 2002-07-23 Micron Technology, Inc. Use of DARC and BARC in flash memory processing
US6297127B1 (en) 2000-06-22 2001-10-02 International Business Machines Corporation Self-aligned deep trench isolation to shallow trench isolation
US6632741B1 (en) 2000-07-19 2003-10-14 International Business Machines Corporation Self-trimming method on looped patterns
KR100386946B1 (ko) 2000-08-01 2003-06-09 삼성전자주식회사 트렌치 소자 분리형 반도체 장치의 형성방법
KR100370129B1 (ko) 2000-08-01 2003-01-30 주식회사 하이닉스반도체 반도체 소자 및 그의 제조방법
US6455372B1 (en) 2000-08-14 2002-09-24 Micron Technology, Inc. Nucleation for improved flash erase characteristics
US6348380B1 (en) 2000-08-25 2002-02-19 Micron Technology, Inc. Use of dilute steam ambient for improvement of flash devices
SE517275C2 (sv) 2000-09-20 2002-05-21 Obducat Ab Sätt vid våtetsning av ett substrat
US6335257B1 (en) 2000-09-29 2002-01-01 Vanguard International Semiconductor Corporation Method of making pillar-type structure on semiconductor substrate
US6483154B1 (en) 2000-10-05 2002-11-19 Advanced Micro Devices, Inc. Nitrogen oxide plasma treatment for reduced nickel silicide bridging
US6667237B1 (en) 2000-10-12 2003-12-23 Vram Technologies, Llc Method and apparatus for patterning fine dimensions
JP2002124585A (ja) 2000-10-17 2002-04-26 Hitachi Ltd 不揮発性半導体記憶装置およびその製造方法
US6534243B1 (en) 2000-10-23 2003-03-18 Advanced Micro Devices, Inc. Chemical feature doubling process
US6265285B1 (en) 2000-10-25 2001-07-24 Vanguard International Semiconductor Corporation Method of forming a self-aligned trench isolation
US6926843B2 (en) 2000-11-30 2005-08-09 International Business Machines Corporation Etching of hard masks
KR100351506B1 (en) 2000-11-30 2002-09-05 Samsung Electronics Co Ltd Method for forming insulation layer of semiconductor device
JP2002198525A (ja) 2000-12-27 2002-07-12 Toshiba Corp 半導体装置及びその製造方法
JP2002203913A (ja) 2000-12-28 2002-07-19 Hitachi Ltd 半導体記憶装置の製造方法および半導体記憶装置
US6531727B2 (en) 2001-02-09 2003-03-11 Micron Technology, Inc. Open bit line DRAM with ultra thin body transistors
US6424001B1 (en) 2001-02-09 2002-07-23 Micron Technology, Inc. Flash memory with ultra thin vertical body transistors
US6597203B2 (en) 2001-03-14 2003-07-22 Micron Technology, Inc. CMOS gate array with vertical transistors
US6545904B2 (en) 2001-03-16 2003-04-08 Micron Technology, Inc. 6f2 dram array, a dram array formed on a semiconductive substrate, a method of forming memory cells in a 6f2 dram array and a method of isolating a single row of memory cells in a 6f2 dram array
US20020137306A1 (en) * 2001-03-20 2002-09-26 Tai-Ju Chen Method for forming polysilicon-filled trench isolations
KR100413829B1 (ko) 2001-03-23 2003-12-31 삼성전자주식회사 트렌치 격리 구조 및 그 형성 방법
US7176109B2 (en) 2001-03-23 2007-02-13 Micron Technology, Inc. Method for forming raised structures by controlled selective epitaxial growth of facet using spacer
US6475867B1 (en) 2001-04-02 2002-11-05 Advanced Micro Devices, Inc. Method of forming integrated circuit features by oxidation of titanium hard mask
US6548347B2 (en) 2001-04-12 2003-04-15 Micron Technology, Inc. Method of forming minimally spaced word lines
US6699799B2 (en) 2001-05-09 2004-03-02 Samsung Electronics Co., Ltd. Method of forming a semiconductor device
US6740594B2 (en) 2001-05-31 2004-05-25 Infineon Technologies Ag Method for removing carbon-containing polysilane from a semiconductor without stripping
US6960806B2 (en) 2001-06-21 2005-11-01 International Business Machines Corporation Double gated vertical transistor with different first and second gate materials
US6737333B2 (en) 2001-07-03 2004-05-18 Texas Instruments Incorporated Semiconductor device isolation structure and method of forming
US6475875B1 (en) * 2001-07-09 2002-11-05 Chartered Semiconductor Manufacturing Ltd. Shallow trench isolation elevation uniformity via insertion of a polysilicon etch layer
JP2003031650A (ja) 2001-07-13 2003-01-31 Toshiba Corp 半導体装置の製造方法
JP2003031686A (ja) 2001-07-16 2003-01-31 Sony Corp 半導体記憶装置およびその製造方法
US6522584B1 (en) 2001-08-02 2003-02-18 Micron Technology, Inc. Programming methods for multi-level flash EEPROMs
US6599684B2 (en) 2001-08-13 2003-07-29 Eastman Kodak Company Color photothermographic element comprising a dye-forming system for forming a novel infrared dye
US6744094B2 (en) 2001-08-24 2004-06-01 Micron Technology Inc. Floating gate transistor with horizontal gate layers stacked next to vertical body
TW497138B (en) 2001-08-28 2002-08-01 Winbond Electronics Corp Method for improving consistency of critical dimension
DE10142590A1 (de) 2001-08-31 2003-04-03 Infineon Technologies Ag Verfahren zur Seitenwandverstärkung von Resiststrukturen und zur Herstellung von Strukturen mit reduzierter Strukturgröße
US7045859B2 (en) 2001-09-05 2006-05-16 International Rectifier Corporation Trench fet with self aligned source and contact
US6518148B1 (en) 2001-09-06 2003-02-11 Taiwan Semiconductor Manufacturing Company, Ltd Method for protecting STI structures with low etching rate liners
JP2003133437A (ja) 2001-10-24 2003-05-09 Hitachi Ltd 半導体装置の製造方法および半導体装置
JP2003168749A (ja) 2001-12-03 2003-06-13 Hitachi Ltd 不揮発性半導体記憶装置及びその製造方法
US6657276B1 (en) 2001-12-10 2003-12-02 Advanced Micro Devices, Inc. Shallow trench isolation (STI) region with high-K liner and method of formation
US7226853B2 (en) 2001-12-26 2007-06-05 Applied Materials, Inc. Method of forming a dual damascene structure utilizing a three layer hard mask structure
TW576864B (en) 2001-12-28 2004-02-21 Toshiba Corp Method for manufacturing a light-emitting device
US6638441B2 (en) 2002-01-07 2003-10-28 Macronix International Co., Ltd. Method for pitch reduction
DE10207131B4 (de) 2002-02-20 2007-12-20 Infineon Technologies Ag Verfahren zur Bildung einer Hartmaske in einer Schicht auf einer flachen Scheibe
US6759180B2 (en) 2002-04-23 2004-07-06 Hewlett-Packard Development Company, L.P. Method of fabricating sub-lithographic sized line and space patterns for nano-imprinting lithography
US6951709B2 (en) 2002-05-03 2005-10-04 Micron Technology, Inc. Method of fabricating a semiconductor multilevel interconnect structure
US6602779B1 (en) 2002-05-13 2003-08-05 Taiwan Semiconductor Manufacturing Co., Ltd Method for forming low dielectric constant damascene structure while employing carbon doped silicon oxide planarizing stop layer
US6900521B2 (en) 2002-06-10 2005-05-31 Micron Technology, Inc. Vertical transistors and output prediction logic circuits containing same
US6734107B2 (en) 2002-06-12 2004-05-11 Macronix International Co., Ltd. Pitch reduction in semiconductor fabrication
US6559017B1 (en) 2002-06-13 2003-05-06 Advanced Micro Devices, Inc. Method of using amorphous carbon as spacer material in a disposable spacer process
US6777725B2 (en) 2002-06-14 2004-08-17 Ingentix Gmbh & Co. Kg NROM memory circuit with recessed bitline
KR100476924B1 (ko) 2002-06-14 2005-03-17 삼성전자주식회사 반도체 장치의 미세 패턴 형성 방법
US6924191B2 (en) 2002-06-20 2005-08-02 Applied Materials, Inc. Method for fabricating a gate structure of a field effect transistor
US6689695B1 (en) 2002-06-28 2004-02-10 Taiwan Semiconductor Manufacturing Company Multi-purpose composite mask for dual damascene patterning
US6835663B2 (en) 2002-06-28 2004-12-28 Infineon Technologies Ag Hardmask of amorphous carbon-hydrogen (a-C:H) layers with tunable etch resistivity
US6734063B2 (en) 2002-07-22 2004-05-11 Infineon Technologies Ag Non-volatile memory cell and fabrication method
US6764949B2 (en) 2002-07-31 2004-07-20 Advanced Micro Devices, Inc. Method for reducing pattern deformation and photoresist poisoning in semiconductor device fabrication
US6673684B1 (en) 2002-07-31 2004-01-06 Advanced Micro Devices, Inc. Use of diamond as a hard mask material
US6800930B2 (en) 2002-07-31 2004-10-05 Micron Technology, Inc. Semiconductor dice having back side redistribution layer accessed using through-silicon vias, and assemblies
US6939808B2 (en) 2002-08-02 2005-09-06 Applied Materials, Inc. Undoped and fluorinated amorphous carbon film as pattern mask for metal etch
US7071043B2 (en) 2002-08-15 2006-07-04 Micron Technology, Inc. Methods of forming a field effect transistor having source/drain material over insulative material
US6566280B1 (en) 2002-08-26 2003-05-20 Intel Corporation Forming polymer features on a substrate
US6888187B2 (en) 2002-08-26 2005-05-03 International Business Machines Corporation DRAM cell with enhanced SER immunity
US6794699B2 (en) 2002-08-29 2004-09-21 Micron Technology Inc Annular gate and technique for fabricating an annular gate
US6756284B2 (en) 2002-09-18 2004-06-29 Silicon Storage Technology, Inc. Method for forming a sublithographic opening in a semiconductor process
US6576558B1 (en) 2002-10-02 2003-06-10 Taiwan Semiconductor Manufacturing Company High aspect ratio shallow trench using silicon implanted oxide
US6706571B1 (en) 2002-10-22 2004-03-16 Advanced Micro Devices, Inc. Method for forming multiple structures in a semiconductor device
US6804142B2 (en) 2002-11-12 2004-10-12 Micron Technology, Inc. 6F2 3-transistor DRAM gain cell
US6747338B1 (en) 2002-11-27 2004-06-08 Analog Devices, Inc. Composite dielectric with improved etch selectivity for high voltage MEMS structures
US7119020B2 (en) 2002-12-04 2006-10-10 Matsushita Electric Industrial Co., Ltd. Method for fabricating semiconductor device
US6686245B1 (en) 2002-12-20 2004-02-03 Motorola, Inc. Vertical MOSFET with asymmetric gate structure
US7304336B2 (en) 2003-02-13 2007-12-04 Massachusetts Institute Of Technology FinFET structure and method to make the same
DE10362018B4 (de) 2003-02-14 2007-03-08 Infineon Technologies Ag Anordnung und Verfahren zur Herstellung von vertikalen Transistorzellen und transistorgesteuerten Speicherzellen
US7084076B2 (en) 2003-02-27 2006-08-01 Samsung Electronics, Co., Ltd. Method for forming silicon dioxide film using siloxane
US7015124B1 (en) 2003-04-28 2006-03-21 Advanced Micro Devices, Inc. Use of amorphous carbon for gate patterning
US6773998B1 (en) 2003-05-20 2004-08-10 Advanced Micro Devices, Inc. Modified film stack and patterning strategy for stress compensation and prevention of pattern distortion in amorphous carbon gate patterning
US6835662B1 (en) 2003-07-14 2004-12-28 Advanced Micro Devices, Inc. Partially de-coupled core and periphery gate module process
DE10332725A1 (de) 2003-07-18 2005-02-24 Forschungszentrum Jülich GmbH Verfahren zur selbstjustierenden Verkleinerung von Strukturen
US7105431B2 (en) 2003-08-22 2006-09-12 Micron Technology, Inc. Masking methods
DE10345455A1 (de) 2003-09-30 2005-05-04 Infineon Technologies Ag Verfahren zum Erzeugen einer Hartmaske und Hartmasken-Anordnung
KR100536801B1 (ko) 2003-10-01 2005-12-14 동부아남반도체 주식회사 반도체 소자 및 그 제조 방법
US6867116B1 (en) 2003-11-10 2005-03-15 Macronix International Co., Ltd. Fabrication method of sub-resolution pitch for integrated circuits
DE10361695B3 (de) 2003-12-30 2005-02-03 Infineon Technologies Ag Transistorstruktur mit gekrümmtem Kanal, Speicherzelle und Speicherzellenfeld für DRAMs sowie Verfahren zur Herstellung eines DRAMs
US6998332B2 (en) 2004-01-08 2006-02-14 International Business Machines Corporation Method of independent P and N gate length control of FET device made by sidewall image transfer technique
US6875703B1 (en) 2004-01-20 2005-04-05 International Business Machines Corporation Method for forming quadruple density sidewall image transfer (SIT) structures
US20050158963A1 (en) * 2004-01-20 2005-07-21 Advanced Micro Devices, Inc. Method of forming planarized shallow trench isolation
US7064078B2 (en) 2004-01-30 2006-06-20 Applied Materials Techniques for the use of amorphous carbon (APF) for various etch and litho integration scheme
WO2005094231A2 (en) 2004-03-19 2005-10-13 The Regents Of The University Of California Methods for fabrication of positional and compositionally controlled nanostructures on substrate
US7098105B2 (en) 2004-05-26 2006-08-29 Micron Technology, Inc. Methods for forming semiconductor structures
US7183205B2 (en) 2004-06-08 2007-02-27 Macronix International Co., Ltd. Method of pitch dimension shrinkage
US7176104B1 (en) 2004-06-08 2007-02-13 Integrated Device Technology, Inc. Method for forming shallow trench isolation structure with deep oxide region
US7473644B2 (en) 2004-07-01 2009-01-06 Micron Technology, Inc. Method for forming controlled geometry hardmasks including subresolution elements
DE102004036461A1 (de) 2004-07-28 2006-02-16 Infineon Technologies Ag Elektronische Datenspeichervorrichtung für hohen Lesestrom
KR100704470B1 (ko) 2004-07-29 2007-04-10 주식회사 하이닉스반도체 비결정성 탄소막을 희생 하드마스크로 이용하는반도체소자 제조 방법
US7151040B2 (en) 2004-08-31 2006-12-19 Micron Technology, Inc. Methods for increasing photo alignment margins
US7910288B2 (en) 2004-09-01 2011-03-22 Micron Technology, Inc. Mask material conversion
US7115525B2 (en) 2004-09-02 2006-10-03 Micron Technology, Inc. Method for integrated circuit fabrication using pitch multiplication
US7655387B2 (en) 2004-09-02 2010-02-02 Micron Technology, Inc. Method to align mask patterns
KR100598108B1 (ko) 2004-09-23 2006-07-07 삼성전자주식회사 측벽 트랜지스터를 가지는 비휘발성 메모리 소자 및 그제조방법
KR100614651B1 (ko) 2004-10-11 2006-08-22 삼성전자주식회사 회로 패턴의 노광을 위한 장치 및 방법, 사용되는포토마스크 및 그 설계 방법, 그리고 조명계 및 그 구현방법
US7208379B2 (en) 2004-11-29 2007-04-24 Texas Instruments Incorporated Pitch multiplication process
KR100596795B1 (ko) 2004-12-16 2006-07-05 주식회사 하이닉스반도체 반도체 소자의 캐패시터 및 그 형성방법
US7271107B2 (en) 2005-02-03 2007-09-18 Lam Research Corporation Reduction of feature critical dimensions using multiple masks
US7390746B2 (en) 2005-03-15 2008-06-24 Micron Technology, Inc. Multiple deposition for integration of spacers in pitch multiplication process
US7253118B2 (en) 2005-03-15 2007-08-07 Micron Technology, Inc. Pitch reduced patterns relative to photolithography features
US7431927B2 (en) 2005-03-24 2008-10-07 Epitomics, Inc. TNFα-neutralizing antibodies
US7611944B2 (en) 2005-03-28 2009-11-03 Micron Technology, Inc. Integrated circuit fabrication
KR100640639B1 (ko) 2005-04-19 2006-10-31 삼성전자주식회사 미세콘택을 포함하는 반도체소자 및 그 제조방법
US7429536B2 (en) 2005-05-23 2008-09-30 Micron Technology, Inc. Methods for forming arrays of small, closely spaced features
US7547599B2 (en) 2005-05-26 2009-06-16 Micron Technology, Inc. Multi-state memory cell
US7560390B2 (en) 2005-06-02 2009-07-14 Micron Technology, Inc. Multiple spacer steps for pitch multiplication
US7396781B2 (en) 2005-06-09 2008-07-08 Micron Technology, Inc. Method and apparatus for adjusting feature size and position
US7541632B2 (en) 2005-06-14 2009-06-02 Micron Technology, Inc. Relaxed-pitch method of aligning active area to digit line
US7413981B2 (en) 2005-07-29 2008-08-19 Micron Technology, Inc. Pitch doubled circuit layout
US7291560B2 (en) 2005-08-01 2007-11-06 Infineon Technologies Ag Method of production pitch fractionizations in semiconductor technology
US7816262B2 (en) 2005-08-30 2010-10-19 Micron Technology, Inc. Method and algorithm for random half pitched interconnect layout with constant spacing
US7829262B2 (en) 2005-08-31 2010-11-09 Micron Technology, Inc. Method of forming pitch multipled contacts
US7776744B2 (en) 2005-09-01 2010-08-17 Micron Technology, Inc. Pitch multiplication spacers and methods of forming the same
US7687342B2 (en) 2005-09-01 2010-03-30 Micron Technology, Inc. Method of manufacturing a memory device
US7572572B2 (en) 2005-09-01 2009-08-11 Micron Technology, Inc. Methods for forming arrays of small, closely spaced features
US7393789B2 (en) 2005-09-01 2008-07-01 Micron Technology, Inc. Protective coating for planarization
US7759197B2 (en) 2005-09-01 2010-07-20 Micron Technology, Inc. Method of forming isolated features using pitch multiplication
US8716772B2 (en) 2005-12-28 2014-05-06 Micron Technology, Inc. DRAM cell design with folded digitline sense amplifier
US7476933B2 (en) 2006-03-02 2009-01-13 Micron Technology, Inc. Vertical gated access transistor
US7842558B2 (en) 2006-03-02 2010-11-30 Micron Technology, Inc. Masking process for simultaneously patterning separate regions
US20070210449A1 (en) 2006-03-07 2007-09-13 Dirk Caspary Memory device and an array of conductive lines and methods of making the same
US7691722B2 (en) 2006-03-14 2010-04-06 Micron Technology, Inc. Isolation trench fill using oxide liner and nitride etch back technique with dual trench depth capability
US7537866B2 (en) 2006-05-24 2009-05-26 Synopsys, Inc. Patterning a single integrated circuit layer using multiple masks and multiple masking layers
US8129289B2 (en) 2006-10-05 2012-03-06 Micron Technology, Inc. Method to deposit conformal low temperature SiO2
KR100894792B1 (ko) * 2007-11-02 2009-04-24 주식회사 하이닉스반도체 반도체 메모리 소자의 소자 분리막 형성 방법
US7824983B2 (en) * 2008-06-02 2010-11-02 Micron Technology, Inc. Methods of providing electrical isolation in semiconductor structures
US8101497B2 (en) 2008-09-11 2012-01-24 Micron Technology, Inc. Self-aligned trench formation

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101044615A (zh) * 2004-09-01 2007-09-26 微米技术有限公司 具有垂直u形晶体管的dram单元

Also Published As

Publication number Publication date
US8101497B2 (en) 2012-01-24
US20100062579A1 (en) 2010-03-11
US20140030869A1 (en) 2014-01-30
CN103400794B (zh) 2016-08-31
US20120108069A1 (en) 2012-05-03
US20130113069A1 (en) 2013-05-09
CN102150253A (zh) 2011-08-10
TW201017815A (en) 2010-05-01
US8552526B2 (en) 2013-10-08
CN103400794A (zh) 2013-11-20
KR101477337B1 (ko) 2015-01-06
US8685859B2 (en) 2014-04-01
KR20110071084A (ko) 2011-06-28
TWI508221B (zh) 2015-11-11
US8343875B2 (en) 2013-01-01
WO2010030468A1 (en) 2010-03-18

Similar Documents

Publication Publication Date Title
CN102150253B (zh) 自对准沟槽的形成方法
US7396738B1 (en) Method of forming isolation structure of flash memory device
CN109244075A (zh) 3d存储器件的制造方法
JP2008244417A (ja) 半導体素子の微細パターン形成方法
US8691661B2 (en) Trench with reduced silicon loss
JP2008227360A (ja) 半導体装置の製造方法
US6872633B2 (en) Deposition and sputter etch approach to extend the gap fill capability of HDP CVD process to ≦0.10 microns
US6103581A (en) Method for producing shallow trench isolation structure
KR100875079B1 (ko) 플래시 메모리 소자의 제조 방법
KR20080074486A (ko) 반도체 소자의 소자 분리막 형성 방법
US20030100166A1 (en) Method for avoiding the effects of lack of uniformity in trench isolated integrated circuits
EP1496548B1 (en) Method for manufacturing differential isolation structures in a semiconductor electronic device and corresponding structure
US6423612B1 (en) Method of fabricating a shallow trench isolation structure with reduced topography
US6699772B1 (en) Hybrid trench isolation technology for high voltage isolation using thin field oxide in a semiconductor process
KR100864933B1 (ko) 반도체 소자의 제조 방법
TWI481014B (zh) 半導體記憶元件及其製造方法
KR20110047820A (ko) 유기막과 산화막이 적층된 하드마스크막을 이용한 반도체장치 제조 방법
KR20060105894A (ko) 반도체 소자의 제조방법
JP2003152073A (ja) 半導体装置の製造方法
KR20070090621A (ko) 반도체 소자의 소자분리막 형성방법
KR20040055462A (ko) 반도체소자의 트렌치소자분리막 평탄화방법
KR20080013173A (ko) 반도체소자의 리세스게이트 제조 방법
KR20050041421A (ko) 반도체 소자의 소자분리방법
KR20050002403A (ko) 반도체소자의 제조방법
KR20050006855A (ko) 반도체소자의 소자분리막 형성방법

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant