CN102326256A - 用于改进沟槽屏蔽式半导体装置和肖特基势垒整流器装置的结构和方法 - Google Patents

用于改进沟槽屏蔽式半导体装置和肖特基势垒整流器装置的结构和方法 Download PDF

Info

Publication number
CN102326256A
CN102326256A CN2010800085917A CN201080008591A CN102326256A CN 102326256 A CN102326256 A CN 102326256A CN 2010800085917 A CN2010800085917 A CN 2010800085917A CN 201080008591 A CN201080008591 A CN 201080008591A CN 102326256 A CN102326256 A CN 102326256A
Authority
CN
China
Prior art keywords
groove
width
area
grooves
photomask
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN2010800085917A
Other languages
English (en)
Other versions
CN102326256B (zh
Inventor
托马斯·E·格雷布斯
马克·赖尼希默
约瑟夫·叶季纳科
迪安·E·普罗布斯特
加里·多尔尼
约翰·本杰明
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Fairchild Semiconductor Corp
Original Assignee
Fairchild Semiconductor Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Fairchild Semiconductor Corp filed Critical Fairchild Semiconductor Corp
Publication of CN102326256A publication Critical patent/CN102326256A/zh
Application granted granted Critical
Publication of CN102326256B publication Critical patent/CN102326256B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/544Marks applied to semiconductor devices or parts, e.g. registration marks, alignment structures, wafer maps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76831Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers in via holes or trenches, e.g. non-conductive sidewall liners
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0657Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66083Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by variation of the electric current supplied or the electric potential applied, to one or more of the electrodes carrying the current to be rectified, amplified, oscillated or switched, e.g. two-terminal devices
    • H01L29/6609Diodes
    • H01L29/66143Schottky diodes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7801DMOS transistors, i.e. MISFETs with a channel accommodating body or base region adjoining a drain drift region
    • H01L29/7802Vertical DMOS transistors, i.e. VDMOS transistors
    • H01L29/7811Vertical DMOS transistors, i.e. VDMOS transistors with an edge termination structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/86Types of semiconductor device ; Multistep manufacturing processes therefor controllable only by variation of the electric current supplied, or only the electric potential applied, to one or more of the electrodes carrying the current to be rectified, amplified, oscillated or switched
    • H01L29/861Diodes
    • H01L29/872Schottky diodes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/86Types of semiconductor device ; Multistep manufacturing processes therefor controllable only by variation of the electric current supplied, or only the electric potential applied, to one or more of the electrodes carrying the current to be rectified, amplified, oscillated or switched
    • H01L29/861Diodes
    • H01L29/872Schottky diodes
    • H01L29/8725Schottky diodes of the trench MOS barrier type [TMBS]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2223/00Details relating to semiconductor or other solid state devices covered by the group H01L23/00
    • H01L2223/544Marks applied to semiconductor devices or parts
    • H01L2223/54426Marks applied to semiconductor devices or parts for alignment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2223/00Details relating to semiconductor or other solid state devices covered by the group H01L23/00
    • H01L2223/544Marks applied to semiconductor devices or parts
    • H01L2223/54453Marks applied to semiconductor devices or parts for use prior to dicing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Abstract

本发明说明了用于改进沟槽屏蔽式功率半导体装置等的性能的各种结构和方法。

Description

用于改进沟槽屏蔽式半导体装置和肖特基势垒整流器装置的结构和方法
技术领域
本发明总体涉及半导体技术,具体涉及功率半导体装置及其制造方法。
背景技术
几种类型的功率半导体装置(如晶体管和二极管)均包括竖直装置。竖直装置通常包括形成于半导体基板的半导体外延层中的台面结构,其中该台面通过布置在外延层中且在台面周围的一个或多个沟槽限定。可控电流沿着大体与外延层和半导体基板的表面垂直的(如,竖直的)方向从台面顶部流到台面底部。竖直装置通常具有电流流过电阻量低的台面的开启(ON)状态、以及除了极少的泄漏电流以外电流流动基本上受到抑制的关闭(OFF)状态。在OFF状态下,在抑制电流流动的同时可通过该台面承受的电压可非常高,比如在几十伏的范围内。然而,因为半导体材料中发生的各种过程,诸如载流子雪崩(carrier avalanche),在OFF状态下抑制电流的能力在一定电压(通常被称为击穿电压)水平下失效。
需要继续对沟槽屏蔽式功率半导体装置的可靠性和性能进行改进。这包括提升上述击穿电压的值以及改进台面中形成的装置的电特性。
发明内容
本申请的第一发明涉及一种沟槽屏蔽式半导体装置。其第一一般示例性实施方式主要包括:具有表面的半导体区;该半导体区的第一区域;布置在该半导体区内且围绕第一区域的第一传导类型的阱区;以及在半导体区内延伸的多个沟槽。每个沟槽的第一端布置在阱区的第一部分中,第二端布置在阱区的第二部分中,且第一端与第二端之间的中间部分布置在第一区域内。每个沟槽还具有衬以介电层的相对侧壁、以及布置在该介电层的至少一个部分上的传导电极。任何适当和期望类型的装置区均可布置在相邻沟槽的中间部分之间。以上配置有助于从装置区排除电位线和场,以提升装置的击穿电压。本发明的其他示例性实施方式可包括布置在多个前述沟槽的任一侧上的端沟槽,每个此类端沟槽均布置在阱区相应部分中。另外一些示例性实施方式可包括布置在多个前述沟槽的第一和第二端处的额外端沟槽,每个此类额外端沟槽均布置在阱区的相应部分中。以上配置还有助于从装置区排除电位线和场,以提升装置的击穿电压。
本申请的第二发明涉及一种制造具有一个或多个沟槽的半导体装置的方法。作为做出本发明的一部分,发明者已经发现,具有改进质量的介电层且具有倒圆底壁的沟槽可以提供改进的击穿电压。本发明一个一般示例性实施方式包括:在半导体区内形成一个或多个初始沟槽,每个沟槽均具有底壁以及一个或多个侧壁;在初始沟槽的壁上生长牺牲氧化层;去除该牺牲氧化层;去除牺牲氧化层后在一个或多个沟槽的侧壁和底壁上形成介电层;以及用传导材料填充一个或多个沟槽。发明者已经发现,生长和去除牺牲氧化层可使沟槽的底壁变圆,并且通过连接(即,还原)沟槽壁的蚀刻半导体材料的自由键(dangling bond)来提高随后形成的介电层的质量。
本申请的第三发明涉及一种制造具有一个或多个沟槽的半导体装置的方法。作为做出本发明的一部分,发明者已经发现,可能引起击穿条件的传导桥可在沟槽的介电层中形成,并找到了一种生长可阻止这些传导桥形成的更佳的氧化物介电层的方法。本发明的一个一般示例性实施方式包括:在半导体区内形成一个或多个沟槽,每个沟槽均有底壁和一个或多个侧壁;在1100℃或更高的温度条件下、在以一种或多种惰性气体稀释的干燥氧环境中、在一个或多个沟槽的沟槽底壁和侧壁上形成氧化层;以及用传导材料填充一个或多个沟槽。在沟槽屏蔽式功率装置的背景中,以上氧化物生长条件提供了具备更高质量的更好的介电层。
本申请的第四发明涉及用于限定光刻胶层的光掩模,限定的光刻胶层进而用于限定半导体晶片中的沟槽。一个示例性的半导体晶片可具有在第一表面处的第一区域、在晶片表面处且与第一区域相邻的第二区域、以及第一与第二区域之间的周界边缘。第一区域相对于第二区域处在不同的高度,或下凹或升高。第二区域可包括裸片的形成沟槽处的活性区,且第一区域可形成在第二区域周围。这些沟槽也可延伸至第一区域中。发明者已经发现,传统的光掩模难以以亚微米沟槽尺寸在第一和第二区域中最低的一个中限定光刻胶层。本申请的第三发明提供了具有光学临近校正(opticalproximity correction)特征的光掩模,用于在第一和第二区域的最低的一个中限定光刻胶沟槽区。根据本发明的一个示例性实施方式包括:对准标志,用于将光掩模与半导体晶片的第一与第二区域之间的周界边缘对准;以及条带区的阵列,用于限定半导体晶片的第一表面中的多个沟槽。每个条带区都具有将要在半导体晶片的第一区域内对准的第一部分以及将要在第二区域内对准的第二部分。条带区的第一部分具有第一宽度,条带区的第二部分具有第二宽度,其中第一宽度与第二宽度不同。在限定正性(positive-tone)光刻胶的情况下,在最低区域上对准的宽度可大于在其他区域上对准的宽度。在限定负性光刻胶的情况下,最低区域上对准的宽度可小于其他区域上对准的宽度。
本申请的第五发明涉及一种具有P-掺杂多晶硅沟槽电极的沟槽屏蔽式半导体装置。发明者已经发现,P-掺杂多晶硅材料增强了沟槽电极将装置台面从电位线和场屏蔽开的能力,且提升了装置的击穿电压。本发明的第一示例性实施方式涉及一种半导体装置,该装置主要包括:具有表面的半导体区;以及延伸入半导体区的多个沟槽,每个沟槽均具有第一端、第二端以及第一与第二端之间的中间部分,每个沟槽还具有衬以介电层的相对侧壁、以及布置在介电层的至少一个部分中的传导电极,其中,传导电极包括P-掺杂多晶硅。本发明的第二示例性实施方式涉及一种制造具有一个或多个沟槽的半导体装置的方法,该方法主要包括:在半导体区内形成一个或多个沟槽,每个沟槽均具有底壁及一个或多个侧壁,该一个或多个沟槽限定半导体区的与该一个或多个沟槽相邻的表面;在一个或多个沟槽的侧壁和底壁上形成介电层;以及用P-掺杂多晶硅材料填充一个或多个沟槽。多晶硅可通过在沉积过程中的原位掺杂、通过在沉积之后用P型掺杂剂注入、或通过在退火过程中气相暴露于P型掺杂剂来实现掺杂。
参考附图在具体实施方式中对这些发明及其他发明的这些和其他实施方式进行详细说明。
本文中说明的示例性实施方式以及本发明的一些方面可单独或组合使用。
附图说明
图1示出了根据本申请的发明的一个示例性沟槽屏蔽式功率半导体装置的局部横截面图。
图2示出了根据本申请的发明的图1中所示的示例性装置的顶部平面图。
图3示出了根据本申请的发明的图1和2中所示的示例性装置的另一局部横截面图。
图4示出了根据本申请的其他发明的制造沟槽屏蔽式功率半导体装置的示例性方法的流程图。
图5-10示出了根据本申请的发明的在根据图4中示出的示例性方法的各个制造阶段中,沟槽屏蔽式功率半导体装置的横截面图。
图11-13示出了根据本申请的另一发明的用于限定半导体晶片中的特征的示例性光掩模的顶部平面图。
图14示出了根据本申请的发明的沟槽形成前的示例性沟槽屏蔽式功率半导体装置的局部横截面图。
图15示出了根据本申请的发明的另一个示例性沟槽屏蔽式功率半导体装置的局部横截面图。
具体实施方式
下文参考附图对根据本发明的技术进行更完整的说明,其中示出了发明的示例性实施方式。然而,本发明可以有不同的实施方式,且不应被解释为限于本文中所述的实施方式。相反,提供这些实施方式是为了让该发明公开彻底、完整且向本领域的技术人员完全传达本发明的范围。附图中,为了清晰,可对层厚度和区进行放大。在整个说明书中,相同的参考标号用于说明相同的元件。
应当理解的是,当说明一个元件(如层、区、或电极等)在另一元件“上方”、“上面”、“连接至”,“耦接至”、“电气耦接至”另一个元件等时,其可以直接位于其他元件上方、上面,连接至、或耦接至其他的元件,或者可以存在居间的元件。相对地,若说一个元件“直接位于...上”、“直接连接至”、“直接耦接至”、“直接电气连接至”另一元件等时,则其中不存在居间元件。本文中使用的与空间相关的术语,诸如“上方”、“下面”、“之上”、“下方”、“上”、“下”、“前”、“后”、“右”、“左”等是为了方便说明图中所示的一个元件或特征与另一元件或特征之间的关系。应当理解的是,除了图中描绘的方位之外,空间相关的术语旨在包括在使用或运行中的装置的不同方位。例如,如果图中的对象翻转,描述为在另一元件或特征“下面”或“下方”的元件的方位就变为在另一元件或特征的“上方”或“之上”。因此,示例性术语“上方”可能包括上方和下方方位。
本文中使用的术语仅用于本发明的解释性目的,不应被解释为限制本发明的意义或范围。如本说明书中使用的,除非在文中明确表示特定的情况,否则单数形式可以包括复数形式。而且,本说明书中使用的表述“包括”和/或“包含”既不限定提到的形状、数目、步骤、步骤、操作、构件、元件、和/或它们的组,也不排除出现或增加一个或多个其他不同的形状、数目、步骤、操作、构件、元件、和/或它们的组,或增加这些特征。本文中使用的术语“和/或”包括一个或多个相关所列项的任何及所有组合。如本文所用,诸如“第一”“第二”等的术语用于说明各个项。然而,明显的是,此类项不应被这些术语限定。术语仅用于将一个项与其他项区分。因此,只要不背离本发明的范围,要说明的第一项也可能指的是第二项。
如半导体技术中所知的,有可注入、扩散或生长至半导体区中以使该半导体区成为n型的n型掺杂剂(如砷、磷),也有可注入、扩散或生长至半导体区中以使该区成为p型的p型掺杂剂(例如,硼)。在很多的装置制造工艺中,通常将n型掺杂剂注入或扩散至已有的p型区中,以制成n型子区。在该n型子区中,n型掺杂剂的浓度超过p型掺杂剂的浓度。在该子区内有“净”n型掺杂浓度,其等于引入的n型掺杂剂的浓度减去已有的p型掺杂剂的浓度。n型子区的电属性的主要部分与净n型掺杂浓度(而不是引入的n型掺杂剂的浓度)相关,而且因为该原因,半导体技术要区分净浓度和引入浓度。装置其他区在形成期间可以用引入区内仅一种类型的掺杂剂形成,这种情况下“净”掺杂浓度等于引入掺杂浓度。
图1示出了根据本发明的示例性沟槽屏蔽式功率半导体装置100的局部截面图。装置100可包括肖特基势垒整流器、p-n结整流器、MOSFET晶体管、BJT晶体管、IGBT晶体管、或其组合。为了说明,且不丧失一般性,本文中说明的装置100包括在装置裸片的中央区中的活性肖特基势垒整流器、以及在中央部分周围的场终止区中的寄生p-n结整流器。
装置100包括N+掺杂半导体基板105、布置在基板105底部上的第一传导层140、以及布置在半导体基板105顶面上的一个或多个外延生长半导体n型层106(“外延半导体层”)。如上所述,半导体区可用n型掺杂剂掺杂为n传导型区(或“n型区”),用p型掺杂剂掺杂为p传导型区(或“p型区”),或者不掺杂(“本征型区”)。装置100还包括:装置区120、或活性区120,装置的活性部件位于该区(如肖特基势垒整流器);以及场终止区150,其成形为裸片外围的电场,以提高装置的反向击穿电压。如下面的说明,场终止区150具有寄生p-n结整流器。
在装置区120中,装置100还包括布置在半导体层106中的多个沟槽122、交叉置于沟槽122之间的半导体材料的多个台面130、布置在台面130顶部上方的传导接触层112,以及布置在接触层112上方的第二传导层110。每个沟槽122从层106的顶面朝向基板105延伸至层106中,且具有衬以介电层123的相对侧壁、以及布置在侧壁之间的屏蔽电极124。接触层112与每个台面130一起形成单个肖特基势垒二极管,其中二极管平行地电气耦接在第一传导层104与第二传导层110之间。接触层112是肖特基势垒整流器的阳极,基板105为阴极。第一传导层104提供了整流器的阴极端子,第二传导层110提供了阳极端子。作为示例性制造过程的典型结果,接触层112可置于屏蔽电极112与第二传导层110之间,这种情况下,其形成对屏蔽电极124和层110这两者的电接触。
屏蔽电极124通过第二传导层110电耦接至与接触层112相同的电位。屏蔽电极124用于建立深入半导体层106内并且在台面130的顶表面以下的阳极电位电绝缘岛,从而基本上将接触层112与台面130顶部之间的肖特基接触从基板105和半导体层106的下部部分上存在的阴极电位屏蔽开。屏蔽电极124也可用于消耗载流子(电子)的台面130,这导致沿伸入台面130的任何反向偏压的等电位线的分布(最初沿中心线)沿台面的高度以基本线性的方式分布,而不是集中在台面的顶部。这通过保持反向偏压的等电位线远离肖特基接触,而大大地提高了肖特基势垒二极管的反向偏压漏泄电流和击穿电压。沟槽122可以具有用于低击穿电压应用(VB<50v)的0.05至2.0微米的深度,用于中击穿电压应用(90v<VB<150v)的1至5微米的深度,或用于很高击穿电压应用的高达25微米的深度。
在场终止区150中,装置100还包括:相对较深的布置在半导体层106中的相对深的净p掺杂隔离阱156、布置在隔离阱156中与装置区域120中最左边的沟槽122相邻的端沟槽162、以及布置在端沟槽162与最左边的沟槽122之间的端台面170。隔离阱156和端沟槽162各自从半导体层106的顶表面朝向基板105沿伸至层106中。阱156的最右边缘在端台面170内或装置区域120的最左边沟槽122处终止。每个沟槽162优选地包含在隔离阱156中。每个端沟槽162具有衬以介电层163的相对侧壁、以及布置在侧壁之间的屏蔽电极164。第二传导层110布置在隔离阱156上方,且电耦接至阱156和端沟槽162的屏蔽电极164。介电场层158布置在阱156与处于阱156的最左边缘处的第二传导层110之间(如,沿着场终止区域150的外围)。作为示例性制造过程的典型结果,接触层112可置于阱156与第二传导层110之间,这种情况下,其形成对阱156和层110这两者的电接触。(传导接触形成为从层112到阱156,因为与台面130的较低的n型掺杂水平相比较,阱的p型掺杂水平相对较高。)也作为典型结果,接触层112可置于在屏蔽电极164与第二传导层110之间,这种情况下,其形成对屏蔽电极164和层110这两者的电接触。
因为电耦接至第二传导层110,隔离阱156电气耦接至出现在屏蔽电极124、164和接触层112上的相同电位。阱156在其自身与n型层106之间形成p-n结,消耗层106的在载流子阱156周围的区域,并将电场从沟槽122、162和端台面170排除。即使沟槽122在适当位置,反向偏压的等电位线和电场也会蔓延至台面130的底部部分中,最初沿其中心线,其可能引发限定击穿电压的载流子雪崩击穿条件。如果没有阱156和沟槽162,反向偏压的等电位线和电场可能会比内部台面130更大程度地蔓延至最左台面130中,使最左台面130成为击穿条件的位置。如果没有阱156和沟槽162,最左台面130处的击穿电压的值会低于内部台面130的值,因为等电位线和电场在最左台面130中蔓延的程度更大。隔离阱156和端沟槽162用于将等电位线和电场从最左沟槽122排除,阻止电势和电场更大程度地蔓延至最左沟槽130内。实际上,阱156和沟槽162可配置为使电势和电场比内部台面更小程度地蔓延至最左沟槽130内。这会使得雪崩击穿条件在装置区域120的中央部分内发生,其会将反向偏压电流分布到更大的区域上,以减小电流的损害效应。如必要,阱156和n型层106的掺杂水平可选择为给p-n结提供比装置区域120内部部分的击穿电压更大的击穿电压,或者给p-n结提供更低的击穿电压。在后一种情况下,反向偏压击穿电流的损害效应集中到p-n结上。此类掺杂水平的选择在半导体装置技术领域中的普通技术设计师的能力范围内,且可通过本领域广泛使用的计算机模拟程序来指导。
从图1可以看出,半导体层106的顶表面中有一个小凹部152,位于场终止区150内。凹部152在示例性制造过程期间制成,提供用于该过程的各种光刻掩模的对准标志。因为制造过程中的凹部和其他特征,沟槽162底部的深度低于沟槽122底部深度。端沟槽162底部的下深度还有助于将等电位线和电场从最左边沟槽122排除,且有助于提升装置的击穿电压。在装置100的其他实施中,沟槽162底部的深度可等于沟槽122的深度,这可通过使用更狭窄的平版印刷窗限定沟槽162来实现,或使用不形成凹部152的不同对准方法来实现。沟槽162的宽度范围可以是沟槽122宽度的0.8倍至沟槽122宽度的1.2倍,且端台面170的宽度范围可以是台面130宽度的0.5倍至台面130宽度的1.25倍。在优选实施方式中,沟槽162的宽度基本上与沟槽122的宽度相同,这在沟槽顶部处测得(对于小于一微米的沟槽宽度,优选地在0.1微米以内,更优选地在0.05微米以内)。也在优选实施方式中,端台面170的宽度范围是台面130宽度的0.8倍至1.2倍,这在台面顶部处测得(对于小于一微米的沟槽宽度,优选地在0.1微米以内,更优选地在0.05微米以内)。以上宽度可在实际的裸片上测得,如必要,使用适合沟槽和台面边缘的线段最小平方(line segmentleastsquare)。对于亚微米的沟槽宽度和台面宽度,使用传统的光刻方法实现这些优选尺寸(凹部152存在)很难。然而,下文说明的光学临近校正(optical-proximity-correction,OPC)光刻发明可用来很容易地实现这些优选尺寸。通过OPC特征实现的一组典型尺寸包括:大约0.5微米的沟槽宽度、大约1.1微米的沟槽深度、大约400至500埃的沟槽介电层厚度、大约0.3微米的台面宽度、以及相邻沟槽122之间的大约0.8微米的节距。
图2示出了装置100的顶部平面图,位置为图1的横截面显示为被剖切线1-1切割。显示了活性区域120、场终止区150、凹部152、沟槽122、台面130、端沟槽162、和端台面170的位置。为了在图中清楚显示这些特征,示出了沟槽122和台面130的数量相对较少的装置100的实施。装置的典型实现可以有50个或更多个沟槽122以及50个或更多个台面130(减去1)。也可以使用1000个沟槽122或更多沟槽的实施方式。图2中还示出了在沟槽122的阵列左侧和右侧处的两个额外的端沟槽166。额外端沟槽166优选地与沟槽122和162的端部相接触(如,邻接所述端部),为此原因,额外端沟槽166可以被称为“沟槽端(end-of-trench)”沟槽166。额外端沟槽166的结构与沟槽162相同,具有衬以介电层的侧壁和屏蔽电极。端沟槽162和166的组合包括周边沟槽160。
图3示出了装置100的沿图2所示切剖线3-3截取的横剖面。该横截面示出了沟槽122的纵向结构,以及其如何在端沟槽166处终止。该横截面中还示出了,衬垫沟槽166的侧壁的介电层167,以及布置在沟槽166中的屏蔽电极168。屏蔽电极168优选地与沟槽122的屏蔽电极124形成电接触。端沟槽166优选地布置在P-阱156内。沿端沟槽162截取的横截面与图3所示的形式一样。因为凹部152,每个沟槽122和162的端部分的底部深度均小于图中所示实施方式中沟槽中间部分的深度。沟槽端部分较低的深度还有助于将等电位线和电场从沟槽的活性区排除,从而提升装置的击穿电压。
一个典型实施方式中,区156的示例性宽度为约9微米。将端沟槽162和166放置于区156内的一个额外优势在于,将区156的侧壁与最外侧沟槽122的位置对准所需的精度可以大大降低,因为假如出现区156没有完全延伸经过台面170的宽度的情况(图1-2中所示),沟槽162和166提供了台面170的载流子消耗。在其他实施中,端沟槽166可以省去。在这些实施中,沟槽122和162的端部延伸入区156内,延伸入的距离等于或大于沟槽宽度,但是每个这种端部均与区156的最外侧壁(外周界边缘)相隔至少一个耗尽深度(depletion depth)。该耗尽深度可通过本领域的任何一种常见技术从沟槽介电层的厚度、沟槽内传导材料的功函数、以及区156在沟槽端部附近的净掺杂水平进行确定。作为典型实施的一般性规则,该耗尽深度等于或小于台面170的宽度。
现在参考图4所示的流程图180说明用于制造装置100的示例性方法。流程图180有多个框,每个“关键蚀刻(key etch)”在半导体晶片的顶表面处进行,以形成凹部152(图1-3所示)。该操作可包括:在基板顶表面上形成光刻胶层,让光刻胶在光化辐射下曝光,以使光刻胶覆盖凹部152位置的部分可以去除,显影曝光的光刻胶以去除那些部分,从而留下基板顶表面的曝光部分。然后让这些曝光区暴露于去除曝光区域中的基板材料的蚀刻剂。可以使用等离子基(干式)蚀刻或水性化学(湿式)蚀刻。蚀刻可具有各向同性特性、各向异性特性、或两种特性的组合。当前,对于硅晶片各向同性等离子体为优选的。关键蚀刻可给凹部152提供范围从500埃至2000埃的深度,典型地使用1200埃。
在框184,可形成隔离阱156。该操作可包括,使用先前布置的图案化光刻胶层作为离子注入掩模,将掺杂剂离子注入至凹部152的蚀刻区域中。可使用以40KeV的注入能量,每平方厘米的注入剂量为5×1013个掺杂剂原子(如,用于p型的硼)。然后可剥去光刻胶层(如,用氧等离子体清洁),且可以利用传统的H2SO4/H2O2蚀刻,然后利用RCA SC-1标准清洁蚀刻,来清洁基板顶表面。然后可通过传统的扩散过程将注入物注入,所述扩散过程包括在基本惰性的气氛(如99%的氮,1%的氧)中暴露于1150℃的温度一段充足的时间,以使注入物进入到大约1.2至1.3微米的深度。在形成沟槽122、162、和166的准备中,可以使用相同的扩散炉,就在扩散过程之后,立即在基板顶表面上生长硬氧化层。硬氧化层可具有约2100埃的厚度,且可以首先在蒸汽环境中在950℃的温度下生长,然后在干燥氧(无水蒸汽)的环境中生长,所述干燥氧环境减少了晶片上及晶片间的氧化物厚度的变化。这些过程的结果如图5所示。硬氧化层用作后续蚀刻步骤中的蚀刻掩模。硬氧化层的厚度选择为增强形成在该层中的图案的光刻清晰度(如下文所述),以及确保在蚀刻步骤后该层的大部分依然保留着。
在框186(图4),可形成沟槽122、162和166。该操作可包括形成上述的硬氧化层,然后在硬氧化层上形成光刻胶层,让光刻胶在光化辐射的图案下曝光,使得光刻胶覆盖沟槽位置的部分能被去除,显影曝光的光刻胶以去除那些部分,从而留下硬氧化层的曝光部分。使用本领域已知的方法,光刻胶层与硬氧化层的组合厚度选择为,在光刻步骤期间使这些层吸收的光化辐射最大化,然后最小化背反射,且提高图案特征的清晰度(如,提高关键尺寸)。如下文更详细地叙述,用于图案化曝光光刻胶的光掩模可结合一个或多个OPC特征,以便于在凹部152中形成亚微米宽度的沟槽。然后,硬掩模的曝光部分可通过蚀刻去除,比如通过适于去除氧化物的等离子蚀刻来去除,留下半导体基板的要形成沟槽的曝光部分。然后可剥去光刻胶层(诸如通过等离子体清洁),且可以利用传统的H2SO4/H2O2蚀刻,之后利用RCA SC-1标准清洁蚀刻,来清洁基板顶表面。然后,可通过将半导体基板的曝光部分暴露于各向异性等离子蚀刻过程来形成沟槽。当前,优选地形成具有圆底表面的沟槽,且当前基于氟的等离子蚀刻剂为优选的,因为其能提供圆底表面。可使用以下的基于氟的气体蚀刻剂:SF6硫(六氟化物)、SF6加惰性气体(例如,不活跃气体)、SF6加氧以及一种或多种惰性气体(如,He和Ar)、以及SF6加溴化氢(HBr)以及一种或多种惰性气体(如,He和Ar)。此外,其他氟化物(诸如C2F6、C4F8、NF3等)也可与上述惰性气体(氧气、HBr等)一起使用。氟蚀刻硅基板,同时氧和HBr在沟槽侧壁上形成副产物,其使氟对侧壁的蚀刻最小化(因此给该蚀刻加工提供各向异性特性。)示例性蚀刻加工可见于Grebs等人的美国专利No.6,680,232,其以引证方式结合于此,且其因此通常归属于此。然后可通过缓冲氧化物蚀刻剂去除氧化物硬掩模。基板顶表面和沟槽可利用软等离子蚀刻(soft plasma etch)清洁(如,CF4下游微波等离子体),所述软等离子蚀刻可去除大约300埃的材料,然后使用传统的H2SO4/H2O2蚀刻清洁,然后进行RCA SC-1标准清洁蚀刻。软等离子蚀刻去除了先前等离子蚀刻造成的对半导体材料的晶体结构的任何损害。软等离子蚀刻的蚀刻性质比之前的蚀刻更具各向同性,且相对于各向异性而言,优选地为更加各向同性。此外,软等离子蚀刻比最初的等离子蚀刻对半导体材料的能量影响更低。
尽管以上对沟槽蚀刻使用图案化氧化层作为蚀刻掩模进行了说明,应该理解的是,沟槽蚀刻可使用图案化光刻胶层作为蚀刻掩模进行。还可理解的是,用于限定沟槽最初形式的第一蚀刻剂可包括基于氯的气体而非基于氟的气体。
为进一步使沟槽底部变圆,大约400埃的薄牺牲氧化物可在大约1100℃或更高的温度条件下在沟槽侧壁上生长,随后通过短暂地(大约30秒)暴露于缓冲氧化物蚀刻剂予以去除。牺牲氧化物的厚度可以是1000埃或更薄。牺牲氧化物还连接由先前等离子蚀刻过程在沟槽侧壁上形成的悬挂硅键(dangling silicon bond),并且还提高稍后会形成的沟槽介电层123、163和167的质量。还可以生长并去除第二牺牲氧化物,使沟槽底表面进一步变圆。在一个或多个牺牲氧化物生长和去除后,基板顶表面和沟槽可利用传统的H2SO4/H2O2蚀刻,然后利用RCA SC-1标准清洁蚀刻进行清洁。这些处理的结果如图6所示。
在框188(图4),可形成沟槽介电层123、163和167。该操作可包括在1100℃或更高温度条件下、在用惰性气体稀释的干燥氧环境(无水蒸汽)中、在沟槽侧壁上生长薄氧化层。该氧化层,本文中也称为“屏蔽氧化层”,可生长至大约400埃至600埃范围内的厚度,优选厚度为大约500埃。因为台面顶部在生长过程中是暴露的,屏蔽氧化层也形成在台面顶部上。在一种典型的执行中,生长温度范围为1125℃至1200℃,优选值为大约1175℃(±10℃),且所述环境包括50%的氧和50%的氩(例如,每种气体的流速是10升/分钟)。在功率半导体技术领域中,组合使用高生长温度和稀释的干燥氧环境来生长屏蔽氧化层并不常见,但发明者已经发现这种组合在层中形成的针孔缺陷更少,从而实现改进的栅氧化层的质量、更高的Qbd值(击穿电荷)、以及更好的厚度均匀性。可对氧稀释,使其包括10%至75%体积的气态生长环境,且更优选地为25%至60%体积的气态生长环境。到该处理结束,对于装置100的典型实施而言,沟槽的宽度可以是大约0.5微米,深度大约1.1微米,且台面的宽度为大约0.3微米。
在框190(图4),可形成屏蔽电极124、164和168。该操作可包括在先前形成的屏蔽氧化层上沉积一多晶硅材料层,该多晶硅材料层接着形成在基板顶表面(台面顶部)以及沟槽侧壁上。可通过本领域已知的传统多晶硅沉积设备进行该沉积。为使用多晶硅材料填充沟槽宽度为约0.5微米且沟槽深度为约1.1微米的沟槽区域,多晶硅材料可在温度为560℃的条件下沉积至5000埃(0.5微米)的厚度,其在基板顶表面测得。该材料量足以涂覆沟槽侧壁以及填充沟槽。一般而言,为阻止屏蔽电极中出现空洞,多晶硅(或其他屏蔽材料)应当以范围从被填充沟槽宽度的一半至该宽度的两倍的厚度来沉积。通常该沉积厚度等于沟槽宽度。多晶硅可以掺杂形式或非掺杂形式沉积。如果以掺杂形式沉积,优选地在沉积过程中就地掺杂,且掺杂水平为1×1018个掺杂剂原子/立方厘米(cm-3)或更多,且更优选的掺杂水平为5×1018cm-3或更高。就地掺杂可通过随着用于形成多晶硅的气体(如,硅烷和氨)一起引入含掺杂剂的气体(如,用于n型的磷化氢,用于p型的定向硼烷或三氟化硼)来实现。如果使用非掺杂形式沉积,多晶硅可以在随后的退火阶段(下文说明)期间气相暴露于掺杂剂气体,或者可以在退火前以30KeV的注入能量、使用每平方厘米(cm-2)5×1015个掺杂剂原子的剂量来注入。该掺杂剂的剂量可以在5×1014cm-2至5×1016cm-2的范围内。更均匀的掺杂剂分布可以通过以两个或更多个注入能量注入来获得。例如,可以使用120KeV及2.5×1015的剂量条件下的第一注入,以及在30KeV及2.5×1015的剂量条件下的第二注入。作为另一个实例,也可以采用四次注入,每次注入以1.25×1015的剂量分别在10KeV、50KeV、80KeV和120KeV的条件下进行。多次注入使得沟槽电极中掺杂剂的分布更均匀,尤其是在退火后。沟槽顶部处高水平的掺杂剂确保对于接触层122的良好传导接触(见图1和3),且沟槽底部处高水平的掺杂剂确保了良好的屏蔽效果。
用于多晶硅的掺杂剂可以是n型或p型。N型掺杂剂通常用于本领域,而p型掺杂剂不用于本领域。然而,发明人员已经发现用于屏蔽电极的p型掺杂为材料提供了更好的功函数值,其随之提供了台面130(图1所示)更好的屏蔽。为此,用于屏蔽电极的p型掺杂当前为优选的。
在多晶硅已经沉积以及可选择地注入后,多晶硅可在800℃或更高的温度下退火充分的时间,以巩固多晶硅颗粒以及重新分布注入的掺杂(如过使用的话)。可使用950℃的退火温度。如果该多晶硅要在退火期间进行气相掺杂,那么退火温度可升高到1000℃或更高。退火工艺过程中形成在多晶硅上的任何氧化物都可通过短暂地暴露于缓冲氧化物蚀刻来去除,且基板可利用传统的H2SO4/H2O2蚀刻,然后利用RCA SC-1标准清洁蚀刻来清洁。这些处理的结果如图7所示。此时,薄氧化层顶部上的多晶硅层留在台面顶部上。然后可进行传统多晶硅蚀刻,以深蚀刻(etch back,深腐蚀)留在台面顶部上的多晶硅,其中以屏蔽氧化层作为蚀刻止挡层。这样就腐蚀掉了台面和沟槽这两者上的多晶硅层的顶部部分。多晶硅蚀刻可更多地持续一小段时间,以便对沟槽中的多晶硅进行轻微的深蚀刻,使得屏蔽电极顶部的水平位置位于台面顶部之下。多晶硅蚀刻之后,基板可利用传统的H2SO4/H2O2蚀刻,然后利用RCA SC-1标准清洁蚀刻来清洁。这些处理的结果如图8所示。
此时,介电场层158、接触层112、以及第一和第二层104和110仍然通过各自的工艺进行制造,这些工艺中的大多数通常要求暴露于升高的温度。此外,对于很多应用而言,肖特基二极管的正向偏压特性的通常通过如下方式调整:在台面130的顶表面进行浅注入,然后暴露于在升高的温度以对注入物退火,且将注入剂量分布到所需的程度。该可选择的注入可以在形成剩余元件的一些处理的当时进行或者之后进行,这取决于形成剩余元件所需的处理温度和时间,也取决于具体注入物所需的退火温度和时间。在该示例性方法的一种实施中,p型硼注入在该阶段、以5x1012cm-2的剂量水平以及40KeV的注入能量来执行,其足以将足量的掺杂剂迁移通过沉积在台面顶表面上的屏蔽氧化层。剩余步骤的处理温度和时间用于对注入物退火以及分布掺杂剂。该注入物剂量对于50V或更低的击穿电压是最佳的,且足够低从而不会在台面区内形成结。对于更高或更低的击穿电压,注入物剂量可改变。
在框192(图4),可形成介电场层158。该操作可包括,沉积5000埃的低温氧化物(LTO),然后在大约875℃条件下在流动环境中使该LTO层回流。LTO层可沉积为具有范围从2500埃至10,000埃的厚度。在一些实施中,可使用硼磷硅玻璃(BPSG),但是应该注意可能在BPSG层与半导体台面130之间发生的任何自动掺杂效应(即,在BPSG层中的掺杂可能在随后的炉驱动(furnace drive)期间混入周围环境且再沉积到半导体台面的曝光表面上;在装置设计中应该考虑此类沉积掺杂到台面中的扩散)。然后LTO层可图案化蚀刻,用以限定其形状,且用以打开用于接触层112的沉积窗。该操作可包括在LTO层上形成光刻胶层,将光刻胶在光化辐射的图案下曝光,使得可以去除光刻胶覆盖凹部152和裸片的中央部分位置的部分,显影曝光的光刻胶,以去除这些部分,从而留下LTO层的曝光部分。然后通过等离子蚀刻去除曝光部分,其去除90%至95%的LTO层,然后通过缓冲氧化物蚀刻去除台面顶部上的剩余层和下面的屏蔽氧化层。在该蚀刻过程之后,光刻胶层可去除,且基板可利用传统的H2SO4/H2O2蚀刻,然后利用RCA SC-1标准清洁蚀刻来清洁。这些处理的结果如图9所示。
此时,如必要,可执行用于调节肖特基势垒二极管的正向偏压特性的上述可选注入,然后就是退火处理。
在框194(图4),可形成接触层162。本操作包括在台面和屏蔽电极的曝光顶部上形成硅化镍层或其他硅化物层。为此,该示例性工艺可包括将台面和屏蔽电极的曝光顶部短暂地暴露于氟化氢(HF)蚀刻剂,以去除已形成的任何氧化物,在基板表面上蒸发大约1000埃的镍(Ni),以250°至到400℃范围内的温度进行镍层的第一次退火约5分钟,然后以400℃至700℃范围内的温度进行第二次退火约5分钟。第一次退火处理将镍层的主要部分转化为Ni2Si,第二次退火处理将Ni2Si转化为NiSi。在一种实施中,第一退火温度为大约350℃(±10℃),第二次退火温度为大约500℃(±25℃)。该退火处理将台面顶部的大约1000埃从硅转化为硅化镍,且在台面顶表面形成肖特基势垒。该退火处理还转化多晶硅屏蔽电极顶部的一部分,且由于屏蔽电极中高水平的掺杂而形成了与屏蔽电极的传导接触。这些处理的结果如图10所示。沉积在硅氧化物上的镍没有转化为硅化物,且可以通过传统的镍蚀刻剂蚀刻掉。前述镍层可以沉积为厚度范围从200埃至2000埃。
在框196(图4),可形成第一和第二层104和110,或端子层。该操作可包括在基板的每个表面上沉积一个或多个传导层。每个沉积的传导层可包括铝、铝合金、铜、以及势垒材料,所述铝合金比如为:铝硅(AlSi,Si为约1%)和硅-铝-铜Al/SiCu(1%的Si和0.5%的Cu),所述势垒材料比如为:钛(Ti)、作为合金或作为两个独立层的钛-钨(TiW)、氮化钛(TiN)、钨(W)、钽(Ta)、氮化钽(TaN)等。势垒材料可布置在硅化镍与一厚金属层(比如包含铝和/或铜的金属层)之间,以提高金属层与硅化镍的粘合,减少金属层的尖部穿透过硅化物层的机会,阻止顶部金属迁移改变硅化镍的功函数。然而,势垒层并不是必须的。这些层可通过本领域已知的适当物理气相沉积法沉积。每个表面上的一个或多个沉积层的厚度范围可以从0.1微米至10微米,典型地为2.5微米。这些处理的结果如图1所示。
应当理解的是,本文中公开和要求的任何方法的操作性能并不是以另一个操作的完成为基础,这些操作可相对于彼此以任何时间次序(例如,时间顺序)进行,包括各种操作的同时进行或交叉进行。(例如,在两个或多个操作的部分以混合方式执行的情况下,可能发生交错进行。)因此,可以理解的是,尽管本发明所要求的方法列出了成组的操作,但所要求的方法并不限于权利要求语言中所列的操作顺序,而是涵盖所有上述的可能顺序(包括操作的同时和交叉进行)以及上述内容未明确提出的其他可能顺序,除非权利要求语言特别说明(诸如明确描述一个操作在另一个操作之前或之后)。
光学临近校正发明。如上所述,根据本申请的光学临近校正(OPC)光刻发明可用于很容易地在凹部152内实现亚微米的沟槽宽度和台面宽度。而且,在台面和沟槽从裸片的中央区域移动到凹部152时,OPC发明提供了基本上统一的台面宽度和沟槽宽度。这是非常重要的,因为发明者已经发现,台面宽度的变化导致中央区域(即,装置的活性区)和凹部152的区域(即,终止区)的击穿电压的不期望的差异。通常,终止区中台面宽度变窄可导致终止区的击穿电压相对于活性区的击穿电压较低。另一方面,如果台面宽度太宽,就可能发生装置区域在台面顶部处的屏蔽较低,导致较高的反向偏压漏电流和/或较低的击穿电压。在提供一些关于光掩膜和光刻胶层的背景信息后,对OPC发明进行说明。
为降低成本和提高生产能力,将若干个半导体裸片一起制造在一半导体晶片,且在制造以后与晶片分开。在半导体工业的开始部分,制造过程中使用的每个光掩模均包含用于晶片上所有裸片的图案,每个光掩模的外缘处都有对准标志,这些对准标志与初始制造阶段期间晶片中形成的对准标志对准。然而,由于晶片的天然翘曲和制造过程中的热膨胀效应,这种方法限制了能实现的最小特征尺寸。
今天,半导体工业中使用的大多数光掩模为标线片的形式,其中标线片提供了针对一个加工阶段的用于晶片上的小数量半导体裸片的成像图案。标线片的最大尺寸通常被光刻设备限制为2.5mm乘以2.5mm的面积。制造商通常会在此最大面积内装配尽可能多的裸片和裸片间隔(diestreet),然后修剪标线片的尺度以便在每个标线片尺度内装配全部数量的裸片和裸片间隔。(如本领域中已知的,裸片间隔就是比相邻裸片宽的未使用的晶片空间带,并且是可以锯掉以便在制造之后将裸片从晶片去除的区域。)然而,为了实现更好的特征清晰度,制造商可以选择只将一个裸片和两个裸片间隔置于一个中间掩模中,或只将一些裸片和相应的裸片间隔置于该中间掩模中,并且不会完全使用最大的标线片尺寸。不管裸片的数量,我们可以将被标线片覆盖的裸片称为“裸片群”。在具体的照相曝光过程中,光掩模标线片跨过晶片,以通过步进器(stepper,步进电机)或步进扫描器(其为光刻设备的部件)单独地对晶片上每个裸片群的部位(site)进行曝光。标线片安装在步进器或步进扫描器内,且晶片与步进器或步进扫描器大致对准,其大致将晶片与标线片对准。然后,在每个裸片群部位暴露于穿过标线片的光化辐射前,步进器或步进扫描器将标线片精确对准于晶片上的每个裸片群部位。标线片通常设置有要与晶片或裸片群部位上的各个对准标志对准的两个或更多个对准标志,且扫描器或步进器可调节晶片相对于标线片的位置,以使标线片的对准标志与裸片群部位上的对应标志最佳地全面对准。对准标志可以是形成裸片群中的一些裸片部分的图案的一部分(例如,一些功率装置的外周),也可以是独立的。通常,对准标志置于与裸片群中的两个或更多个最外裸片相邻的裸片间隔中,或置于与裸片群的每个裸片相邻的裸片间隔中。不丧失一般性,本发明会通过置于裸片间隔中的对准标志进行说明。这些对准标志可以是本领域已知的任何形式,为了视觉简洁,本文中将表示为十字标记。然而,应当理解的是,说明书和权利要求中陈述的对准标志包含所有已知以及可能的对准标志。不管对准标志采取什么形式,其间的间隔距离为标线片的尺度等级,而非晶片的尺度等级,这可以最大程度地降低先前限制最小特征尺寸的热膨胀和翘曲效应。
尽管光刻胶的化学式表达各种各样,但可以归类为两个基本的群:所谓的“正性”光刻胶和“负性”光刻胶。当正性光刻胶的一部分在光化辐射下曝光,其可通过显影剂溶液从半导体晶片去除。光化辐射的阈值量需要能去除曝光的光刻胶,其中剂量就是光化辐射强度的时间积分量。正性光刻胶的未在高于阈值量的光化辐射下曝光的部分不能通过显影剂去除,而是留在晶片上。因此,正光刻胶的光掩裸片有用以限定光刻胶的要通过显影剂去除的位置的透射区,以及用以限定光刻胶的要留在晶片上的位置的不透明区。相反地,当负型光刻胶的一部分在高于阈值剂量的光化辐射下曝光时,其不能通过显影剂溶液从半导体晶片去除,其留在晶片上。然而,负型光刻胶的未在高于阈值剂量的光化辐射下曝光的部分可通过显影剂去除。因此,负型光刻胶的光掩裸片有用以限定光刻胶的要保留的位置的透射区,以及用以限定光刻胶的要通过显影剂溶液去除的位置的不透明区。所以,要在晶片中形成相同的图像,用于负性光刻胶的光掩模基本上包括用于正性光刻胶的光掩模的负像。
尽管如今正性光刻胶更常用,但本申请的光学临近校正发明可以与正性或负性光刻胶一起使用。为包含两种类型的光刻胶,本文中的说明和权利要求陈述了掩裸片有用于限定光刻胶中的对应区的区,应当理解为,掩模区的不透明性(如透明或不透明)要基于光刻胶的型式(tone)进行选择,以限定光刻胶中的所述区。为完成此论证,我们说明,一旦光刻胶已经被图案化、显影、以及用于预定的掩模目的,则可通过适当的溶剂或蚀刻剂(例如,等离子蚀刻)去除光刻胶,不管其型式如何。
图11示出了用于限定半导体装置100中的凹部152的标线片形式的示例性光掩模200的顶部平面图。为了看起来更清楚,且不丧失一般性,本文中所示和所述的光掩裸片有带单个裸片的裸片群,以及环绕单个裸片的相邻裸片间隔,裸片间隔的宽度只有其正常宽度的一半。在本文中所示的光掩模的每一个中,裸片区域通过参考标号205表示,裸片间隔通过参考标号206表示。光掩模200包括用于限定凹部152的区210。区210包括矩形环的形状,具有外周界边缘211和内周界边缘212。因为凹部152通过蚀刻形成,区210的不透明性基于光刻胶的型式来选择,以去除凹部152将形成位置中的光刻胶。因此,区210对于正性光刻胶而言是透射性的,对于负性光刻胶而言是不透明的。区210的外周界边缘211或内周界边缘212,以及凹部152的对应周界边缘可用于对准随后的掩模。例如,每个外周界边缘的转角配置了可用于随后的光掩模的内在对准标志。为不丧失一般性,使用两个对准标志201和202,其位于裸片间隔206中且处于裸片区域205的相对的对角转角处。在曝光和蚀刻后,这些对准标志在晶片表面中留下相应的对准标志,随后的光掩模可对准这些对准标志。还是在曝光和蚀刻后,以下项目形成在晶片的第一表面:第一高度的第一区域(如图1所示的凹部152),与第一区域相邻的具有第二高度的第二区域(其包括图1所示的活性区域120),以及第一与第二区域之间的周界边缘。对于装置100(图1所示)而言,第一高度低于第二高度。(以下提供一个实例,其中第一高度高于第二高度。)
图12示出了用于在半导体装置100中限定沟槽122、162和166的标线片形式的示例性光掩模220的顶部平面图。光掩模220具有两个对准标志221和222,其用于对准由对准标志201和202留在晶片表面中的标志。作为参考,图中以虚线示出了凹部152的内周界边缘和外周界边缘。凹部152的内周界边缘对应于上述第一与第二区域之间的周界边缘。当光掩膜200与220彼此对准时,对准标志221和222基本上与光掩模200的对准标志211和212重合。因此,光掩模220的对准标志221和222对应于光掩模200的对准标志211和212。光掩模200适用于正性光刻胶。光掩模220包括用于限定沟槽122中的中央沟槽的第一条带区232的阵列(图2所示)、用于限定沟槽122中的外部沟槽的第二条带区234(即,沟槽122的阵列外侧上的沟槽)、用于限定端沟槽162的两个额外条带区236(图2所示)、以及用于限定端沟槽166的两个第三条带区238(图2所示)。
条带区232的每个均具有将在凹部152(上述第一区域)的最左部分内对准的最左远端部分、将在凹部152的最右部分内对准的最右远端部分、以及将在凹陷区的外侧以及上述第二区域内对准的中间部分。条带区232的每个远端部分均具有第一宽度W1,中间部分具有与第一宽度不同的第二宽度W2。对于正性光刻胶而言,当晶片表面的第一区域凹陷至晶片表面的第二区域以下时,宽度W1大于宽度W2。发明人员已经发现,因为凹部152的图案的焦平面之间的距离较大,条带区232的远端部分中会发生一定的光化辐射散焦,这导致远端部分边缘处的辐射强度降低。在使宽度W1和W2相等的情况下,发明人员发现,光刻胶层不能正确地图案化以形成沟槽122,光刻胶材料越过对应于沟槽122的和条带区232的远端部分的沟槽区域桥接。发明者也发现,如果增加辐射剂量以阻止该桥接效应,则沟槽中间部分(W2)的光刻胶的尺度会变得过宽。通过使宽度W1大于宽度W2,光化辐射的强度在沟槽区域对应于条带区232的远端部分的预期边缘处增加,且可在沟槽122的和条带区232的远端部分中正确地限定光刻胶层。因此,在光刻胶曝光和显影以使用光掩模中的两种不同宽度W1和W2来在光刻胶层中形成沟槽后,宽度为W1的图案化光刻胶沟槽的宽度等于或更接近地匹配于宽度为W2的图案化光刻胶沟槽的宽度。光刻胶层中的沟槽随后可通过蚀刻工艺(上述的)转移到半导体晶片,凹部152和裸片中央区域中的宽度基本一致。
发明者进一步发现,凹部152的区域中的散焦光化辐射效应从凹部152的内周界边缘朝向沟槽阵列的中部向内蔓延了距离DF,其在掩模220的顶部左转角附近示出。DF的值由于步进器和步进扫描器的光学性质、沟槽尺度、光刻胶的厚度、以及光刻胶和显影剂的性质而变化。DF的值为1微米的等级,但是应该相信,其可以从0微米变化到10微米。为了抑制距离D F上的散焦效应,条带区232在凹部152(上述第一区域)的区域之外但在凹部152的内周界边缘的距离DF之内的部分可以设置为具有宽度W1,如图所示。这可视为每个条带区232具有布置在条带区232的灭个远端部分与中间部分之间的宽度为W1的第三区。每个第三部分的宽度可以等于W1,或等于宽度W1与W2之间的值。通常第三部分的宽度相比于W2更接近W1。每个第三部分的长度可以等于DF,或稍大或稍小。该距离可在0微米与10微米之间变化,但通常在0.2微米与5微米之间变化,且更通常地在0.5微米与2微米之间变化。每个第三部分的宽度也可以从W1到W2逐渐变小,但这种逐渐变小通常难以利用当今的加工设备在亚微米级执行。
无需过度试验,通过制造具有针对期望值W2的若干个对应W1选择的若干个测试光掩模,使宽度W1与宽度W2之间的分界线位于凹部152之外且距离凹部152内周界边缘大约1微米,本领域的技术人员便可确定用于具体加工条件的W1和W2的值。这些测试光掩模然后可用于具有凹部152的一组各自的测试裸片,可以观察由测试光掩模产生光刻胶层的质量,并且可选择提供凹部152中最佳光刻胶清晰度的裸片(及其值W1)。DF的值随后可从使用W1和W2的选择值的另一组测试光掩模来估计,但是宽度W1和W2之间的分割线与凹部152内周界边缘之间的间隔距离是变化的。然后可将第二组测试光掩模用于具有凹部152的第二组各自的测试裸片。可以观察由这些测试光掩模产生的光刻胶层的质量,且可以选择提供凹部152内周界周围最佳光刻胶清晰度的裸片,以提供DF的值(也就是说,宽度W1和W2与此最佳测试裸片的凹部152内周界边缘之间的间隔距离提供了DF的估计值)。
因为沟槽162和166(图2)位于凹部152(上述第一区域)内,第二条带区236和第三条带区238的宽度在值上相比于W2更接近W1,且优选地设置为W1。如果这些沟槽中的外部沟槽(即,沟槽122阵列的外侧上的沟槽)在凹部152的内周界边缘的距离DF之内,那么第二条带区234的宽度优选地设定为W1,如图12所示。然而,条带区234的宽度的值可在W1与W2之间,且其值相比于W2更接近W1。
图13示出了标线片形式的示例性光掩模220′的顶部平面图,其用于用负性光刻胶在半导体装置100中限定沟槽122、162和166的。光掩模220′具有用于对准由对准标志201和202在晶片表面中留下的标志的两个对准标志221′和222′。作为参考,凹部152的内周界边缘和外周界边缘在图中表示为虚线。当光掩模200和220′彼此对准时,对准标志221′和222′基本上与光掩模200的对准标志211和212重合。因此,光掩模220′的对准标志221′和222′对应于光掩模200的对准标志211和212。光掩模220′包括:用于限定沟槽122(图2所示)中的中央沟槽的第一条带区232′的阵列,用于限定沟槽122中的外部沟槽(即,沟槽122的阵列外侧上的沟槽)的两个第二条带区234′,用于限定端沟槽162(图2所示)的两个额外的第二条带区236′,以及用于限定端沟槽166(图2所示)的两个第三条带区238′。因此,光掩模220′的条带区232′、234′、236′和238′与光掩模220的条带区232、234、236和238的目的相同。然而,这些条带区部分的尺度不同。
条带区232′的每个均具有:将在凹部152(上述第一区域)的最左部分内对准的最左远端部分,将在凹部152的最右部分内对准的最右远端部分,以及将在裸片(上述第二区)的中央区域内的凹陷区之外对准的中间部分。条带区232′的每个远端部分均具有第一宽度W1′,且中间部分具有第二宽度W2′。在晶片表面的第一区域凹陷至晶片表面的第二区域以下的情况下,第二宽度W2′大于宽度W1′。这种关系与光掩模220中W1和W2之间的关系相反,这是因为正性和负性光刻胶的互补性。
光掩膜220′具有的距离DF′与光掩模220的距离DF的成因和目的一样,但是因为负性光刻胶的不同性质,其值可能稍微不同。然而,距离DF′可以具有与上述用于距离DF的相同范围。为了抑制距离DF′上的散焦效应,条带区232′在凹部152的区域之外但在凹部152的内周界边缘的距离DF′之内的部分可设为具有宽度W1′,如图所示。这可视为每个条带区232′具有宽度为W1′的布置在条带区232′的每个远端部分与中间部分之间的第三部分。每个第三部分的宽度可以等于W1′,或等于宽度W1′与W2′之间的值。每个第三部分的长度可等于DF′,或略小于或略大于DF′。该距离可在0与10微米之间变化,但通常在0.2微米与5微米之间变化,更通常地在0.5微米与2微米之间。每个第三部分的宽度还可以从W1′到W2′逐渐变小。因为沟槽162和166(图2)位于凹部152内,第二条带区236′和第三条带区238′的宽度优选地设定为W1′。如果沟槽中的外部沟槽(即,沟槽122的阵列中外侧上的沟槽)位于凹部152内周界边缘的距离DF′之内,那么第二条带区234′的宽度优选地设定为W1′,如图13所示。然而,条带区234′、236′和238′的宽度可以具有W1与W2之间的值,且其值相比于W2可以更接近W1。
光掩模220′的W1′、W2′和DF′的值可通过上述用于光掩模220的W1、W2和DF的相同的实验方法估计。光掩模220′也适用于所谓的“负性作用”的正性光刻胶,其如同负性光刻胶那样曝光,但为后曝光处理,将通过显影剂显影为正性图像。
本申请的光学临近校正发明可应用于其中台面区位于第一区域而非凹部152中的其他装置配置。此类例子在图14中以装置裸片形成凹部152之前的截面图示出。完成的装置在图15中以100′示出。装置裸片没有凹部152,且具有P掺杂隔离阱156′,其顶表面可以基本上与半导体层106的顶表面齐平。回头查阅图14,在形成沟槽前,将氧化层布置在阱156′和半导体层106的顶表面上,该氧化层具有在阱156′上方的凸起区域。这种结构可能产生自使用P掺杂BPSG玻璃的图案化层来为阱156′提供掺杂。沉积后,BPSG层可通过由图11所示的掩模200图案化光刻胶层来蚀刻。可加热图案化的BPSG层以将其退火,且将掺杂剂扩散在半导体层内以形成阱156′。在退火过程中,可引入蒸汽和/或干燥氧环境以在裸片中央部分上方生长氧化层。退火后,氧化层的台面区域的厚度范围可以是3000埃至13,000埃之间,且中央区的厚度可以是1500埃至2500埃(通常为2000埃)。
然后,光刻胶层可形成在氧化层上,通过光掩模用光化辐射来图案化,且进行显影以留下可用于蚀刻氧化层的光刻胶沟槽。然后图案化的氧化层可与蚀刻剂一起使用以在半导体表面中形成。通过让中央区域低于台面区域,光刻胶中的上述散焦效应在中央区域而不是周界区域(如,图1所示的装置100的凹部152的区域)中发生。因此,光掩模的W1和W2之间的先前关系颠倒。就是说,对于正性光刻胶而言,在台面区域(如,上述晶片表面的第一区域)高于中央区域(如,上述晶片表面的第二区域)的情况下,宽度W1小于宽度W2。因此,具有光掩模220′的颠倒图像的光掩模可用于图案化正性光刻胶。对于负性光刻胶而言,在这种情况下宽度W1大于宽度W2,且具有光掩模220的颠倒图像的光掩模可用于图案化负性光刻胶。
“一个”、“一”和“该”的任何叙述均旨在表示一个或多个,除非特别指明不是这样。
本文中使用的术语和表述均用作说明性的而非限制性的术语,且无意用此类术语和表述排除所述和所示特征的等同物,应当意识到的是,在本发明所要求的范围内,可进行各种可能的修改。
尽管主要在N通道沟槽屏蔽式装置的背景下说明了一些本发明的各种实施方式,但是根据这些发明的实施方式也可实施为P通道沟槽屏蔽式装置,其中这些层与区的传导类型是相反的。而且,尽管一些发明的一些实施方式采用肖特基势垒整流器进行了说明,根据这些发明的实施方式可使用MOSFET结构、IGBT结构、BJT结构、屏蔽栅同步整流器(如,集成了屏蔽栅MOSFET和肖特基电路)、以及本文中所示装置的超结变体(如,具有交替传导类型硅柱的装置)来实施。
而且,只要不背离本发明的范围,本发明一个或多个实施方式的一个或多个特征可与本发明其他实施方式的一个或多个特征进行组合。
尽管已经通过所述的实施方式对本发明进行了具体地说明,但是应该理解的是,可基于本公开进行各种替换、修改、改进或等同布置(如,可进行各种变化以及等同物可替换发明中的元件,以适应具体的情况),而这些均应包括在本发明和所附权利要求的范围之内。

Claims (61)

1.一种半导体装置,包括:
具有表面的半导体区;
所述半导体区的第一区域;
第一传导类型的阱区,布置在所述半导体区内且围绕所述第一区域;以及
延伸入半导体区的多个沟槽,每个沟槽均具有:布置在所述阱区的第一部分中的第一端、布置在所述阱区的第二部分中的第二端、以及在所述第一与第二端之间且布置在所述第一区域中的中间部分,每个沟槽还具有衬以介电层的相对侧壁,以及布置在所述介电层的至少一部分上的传导电极。
2.根据权利要求1所述的半导体装置,其中,所述阱区的至少一部分具有凹陷至所述半导体区的表面以下的表面,并且其中,每个沟槽的第一和第二端部分的底部低于该沟槽的中间部分的底部。
3.根据权利要求1所述的半导体装置,其中,所述沟槽相互平行地布置。
4.根据权利要求3所述的半导体装置,还包括布置在所述阱区内的第一端沟槽,所述第一端沟槽具有:第一端、第二端、衬以介电层的相对侧壁、以及布置在所述介电层的至少一部分上的传导电极,所述第一端沟槽还布置于所述多个沟槽的一侧且平行于所述多个沟槽。
5.根据权利要求4所述的半导体装置,其中,所述第一端沟槽的宽度在所述多个沟槽的沟槽宽度的0.8倍至1.2倍的范围内。
6.根据权利要求4所述的半导体装置,还包括:布置在所述多个沟槽的两个相邻沟槽之间的第一台面、以及布置在所述第一端沟槽与所述多个沟槽之间的第二台面,其中,所述第二台面的宽度在所述第一台面的沟槽的宽度的0.8倍至1.2倍的范围内。
7.根据权利要求4所述的半导体装置,还包括布置在所述阱区内的第二端沟槽,所述第二端沟槽具有:第一端、第二端、衬以介电层的相对侧壁、以及布置在所述介电层的至少一部分上的传导电极,所述第二端沟槽还布置为垂直于所述多个沟槽的第一端。
8.根据权利要求7所述的装置,其中,所述第一和第二端沟槽的第一端连接在一起。
9.根据权利要求8所述的半导体装置,其中,所述阱区的至少一部分具有凹至所述半导体区的表面以下的表面,并且其中,每个沟槽的第一和第二端部分的底部低于该沟槽的中间部分的底部,并且其中,所述第一和第二端沟槽的底部低于其他沟槽中的至少一个的中间部分的底部。
10.根据权利要求3所述的半导体装置,还包括布置在所述阱区内的端沟槽,所述端沟槽具有:第一端、第二端、衬以介电层的相对侧壁、以及布置在所述介电层的至少一部分上的传导电极,所述端沟槽还布置为垂直于所述多个沟槽的第一端。
11.根据权利要求1所述的半导体装置,还包括布置在所述阱区内且环绕所述多个沟槽的周边沟槽。
12.根据权利要求1所述的半导体装置,还包括布置在所述半导体区中且位于所述半导体区的第一区域内的、与第一传导类型相反的第二传导类型的活性区。
13.根据权利要求1所述的半导体装置,其中,所述阱区具有与所述半导体区的表面齐平的表面。
14.一种用于在半导体晶片的第一表面限定多个沟槽的光掩模,所述半导体晶片具有:在所述半导体晶片的第一表面的第一区域、在所述半导体晶片的第一表面且与所述第一区域相邻的第二区域、以及在所述第一与第二区域之间的周界边缘,所述第一区域相对于所述第二区域处在不同高度,所述光掩模包括:
对准标志,用于将所述光掩模对准于所述半导体晶片的第一和第二区域之间的周界边缘;
条带区的阵列,用于在所述半导体晶片的第一表面中限定多个沟槽,每个条带区具有在所述半导体晶片的第一区域内对准的第一部分以及在所述第二区域内对准的第二部分,其中,所述条带区的第一部分具有第一宽度且所述条带区的第二部分具有第二宽度,其中,所述第一宽度与所述第二宽度不同。
15.根据权利要求14所述的光掩模,其中,所述第一宽度大于所述第二宽度。
16.根据权利要求14所述的光掩模,其中,所述第二宽度大于所述第一宽度。
17.根据权利要求14所述的光掩模,其中,每个条带区还具有布置在每个条带区的第一与第二部分之间的第三部分,所述第三部分要在所述半导体晶片的第二区域内对准但边缘邻接所述周界边缘,其中,所述第三部分具有相比于所述第二宽度更接近所述第一宽度的宽度,且具有第一值的长度。
18.根据权利要求17所述的光掩模,其中,所述第三部分的宽度基本上等于所述第一宽度。
19.根据权利要求17所述的光掩模,其中,所述第三部分的长度不大于10微米。
20.根据权利要求17所述的光掩模,其中,所述第三部分的长度在从0.2微米至5微米的范围内。
21.根据权利要求17所述的光掩模,其中,所述第三部分的长度在从0.5微米至2微米的范围内。
22.根据权利要求17所述的光掩模,其中,所述周界边缘具有基本上平行于条带区的所述阵列延伸的部分,其中,所述阵列的最接近所述周界边缘的所述部分的条带区要对准成使得该条带区与所述部分的间隔开至少所述第一值。
23.根据权利要求17所述的光掩模,其中,所述周界边缘具有基本上平行于条带区的所述阵列延伸的部分,其中,所述光掩模还包括布置在条带区的所述阵列一侧上的第一条带区,其中,所述第一条带区要对准成使得所述第一条带区与所述周界边缘的所述部分间隔开等于或小于所述第一值的距离,并且其中,所述第一条带区具有相比于所述第二宽度更接近所述第一宽度的宽度。
24.根据权利要求23所述的光掩模,其中,所述第一条带区的宽度等于所述第一宽度。
25.根据权利要求23所述的光掩模,其中,所述光掩模还包括与所述第一条带区相邻布置的第二条带区,其中,所述第二条带区要在所述半导体晶片的第一区域的第二部分内对准,并且其中,所述第二条带区具有相比于所述第二宽度更接近所述第一宽度的宽度。
26.根据权利要求25所述的光掩模,其中,所述第二条带区的宽度等于所述第一宽度。
27.根据权利要求14所述的光掩模,还包括与所述多个条带区的第一部分相邻布置的端条带区,其中,所述端条带区要在所述半导体晶片的第一区域内对准,并且其中,所述端条带区具有相比于所述第二宽度更接近所述第一宽度的宽度。
28.根据权利要求27所述的光掩模,其中,所述端条带区的宽度等于所述第一宽度。
29.根据权利要求14所述的光掩模,其中,所述第一区域的高度低于所述第二区域的高度。
30.根据权利要求14所述的光掩模,其中,所述第一区域的高度高于所述第二区域的高度。
31.根据权利要求14所述的光掩模,其中,所述第一和第二宽度中的至少一个小于1微米。
32.一种光掩模组,包括:
根据权利要求14所述的光掩模;以及
用于在所述半导体晶片的第一表面中限定第一区域的第二光掩模。
33.一种制造具有一个或多个沟槽的半导体装置的方法,所述方法包括:
将一个或多个初始沟槽形成至半导体区内,每个沟槽具有底壁和一个或多个侧壁;
在所述初始沟槽的壁上生长牺牲氧化层;
去除所述牺牲氧化层;
在去除所述牺牲氧化层后在所述一个或多个沟槽的侧壁和底壁上形成介电层;以及
用导电材料填充所述一个或多个沟槽。
34.权利要求33所述的方法,其中,形成所述初始沟槽包括用基于氟的气体通过图案化蚀刻掩模来等离子蚀刻所述半导体区。
35.根据权利要求34所述的方法,其中,所述图案蚀刻掩模包括图案化光刻胶层。
36.根据权利要求34所述的方法,其中,所述图案化蚀刻掩模包括图案化氧化层。
37.根据权利要求33所述的方法,其中,形成所述初始沟槽包括用基于氯的气体通过图案化蚀刻掩模来等离子蚀刻所述半导体区。
38.根据权利要求37所述的方法,其中,所述图案化蚀刻掩模包括图案化光刻胶层。
39.根据权利要求37所述的方法,其中,所述图案化蚀刻掩模包括图案化氧化层。
40.根据权利要求34所述的方法,其中,利用所述基于氟的气体的所述半导体区的等离子蚀刻为第一等离子蚀刻,并且其中,形成所述初始沟槽还包括将所述初始沟槽暴露于对所述半导体材料具有较低能量冲击的第二等离子蚀刻。
41.根据权利要求33所述的方法,其中,形成所述初始沟槽包括:
利用第一蚀刻剂气体通过图案化蚀刻掩模来等离子蚀刻所述半导体区,所述第一蚀刻剂气体的蚀刻性质相较于各向同性更具各向异性,其中,所述第一蚀刻气体包括一种或多种化学组分;以及
随后利用第二蚀刻剂气体等离子蚀刻所述半导体区,所述第二蚀刻剂气体的蚀刻性质相较于所述第一蚀刻气体的蚀刻性质更具各向同性,其中,所述第二蚀刻气体包括一种或多种化学组分。
42.根据权利要求33所述的方法,其中,生长所述牺牲氧化层包括在约1100℃或更高的温度下生长氧化层。
43.根据权利要求42所述的方法,其中,在大约1100℃或更高的温度下生长的所述氧化层的厚度为1000埃或更小。
44.根据权利要求33所述的方法,还包括:在去除所述牺牲氧化物后,生长第二牺牲氧化层以及去除所述第二牺牲氧化层。
45.根据权利要求33所述的方法,其中,形成薄介电层包括:在1100℃或更高的温度下、在用一种或多种惰性气体稀释的干燥氧环境中,在所述沟槽底部和侧壁上生长氧化层。
46.根据权利要求45所述的方法,其中,所述氧化层在约1125℃至约1200℃范围内的温度下生长,并且其中,氧构成所述气体生长环境体积的10%至75%。
47.根据权利要求45所述的方法,其中,所述氧化层在约1125℃至约1200℃范围内的温度下生长,并且其中,氧构成所述气体生长环境体积的25%至60%。
48.一种制造具有一个或多个沟槽的半导体装置的方法,所述方法包括:
将一个或多个沟槽形成至半导体区内,每个沟槽具有底壁和一个或多个侧壁;
在1100℃或更高的温度下、在用一种或多种惰性气体稀释的干燥氧环境中,在所述一个或多个沟槽的底部和侧壁上生长氧化层;以及
用导电材料填充所述一个或多个沟槽。
49.根据权利要求48所述的方法,其中,所述氧化层在约1125℃至约1200℃范围内的温度下生长,并且其中,氧构成所述气体生长环境体积的10%至75%。
50.根据权利要求48所述的方法,其中,所述氧化层在约1125℃至约1200℃范围内的温度下生长,并且其中,氧构成所述气体生长环境体积的25%至60%。
51.一种半导体装置,包括:
具有表面的半导体区;以及
延伸入半导体区的多个沟槽,每个沟槽具有:第一端、第二端、以及所述第一与第二端之间的中间部分,每个沟槽还具有:衬以介电层的相对侧壁、以及布置在所述介电层的至少一部分上的传导电极,其中,所述传导电极包括p掺杂多晶硅。
52.根据权利要求51所述的半导体装置,其中,所述p掺杂多晶硅的至少一部分具有每立方厘米(cm-3)1×1018个掺杂剂原子或更高的净掺杂水平。
53.一种制造具有一个或多个沟槽的半导体装置的方法,所述方法包括:
将一个或多个沟槽形成至半导体区内,每个沟槽具有底壁和一个或多个侧壁,所述一个或多个沟槽限定所述半导体区的与所述一个或多个沟槽相邻的表面;
在所述一个或多个沟槽的侧壁或底壁上形成介电层;以及
用p掺杂多晶硅材料填充所述一个或多个沟槽。
54.根据权利要求53所述的方法,其中,形成所述介电层形成了在所述半导体区与所述一个或多个沟槽相邻的表面上的层;并且其中,用p掺杂多晶硅材料填充所述一个或多个沟槽包括:
在所述介电层上方沉积p掺杂多晶硅材料层;
对沉积的多晶硅材料退火;以及
去除退火的多晶硅材料的顶部部分。
55.根据权利要求54所述的方法,其中,所述p掺杂多晶硅材料的至少一部分具有每立方厘米(cm-3)1×1018个掺杂剂原子或更高的净掺杂水平。
56.根据权利要求54所述的方法,其中,所述多晶硅材料在第一温度下沉积,并且其中,对沉积的多晶硅材料退火包括将沉积的多晶硅层加热至高于所述第一温度的第二温度。
57.根据权利要求53所述的方法,其中,用p掺杂多晶硅材料填充所述一个或多个沟槽包括:
在所述介电层和半导体区上方沉积多晶硅材料层;
将p型掺杂剂注入至所述多晶硅材料中;
对注入的多晶硅材料退火;以及
去除经退火的多晶硅材料的顶部部分。
58.根据权利要求57所述的方法,其中,注入p型掺杂剂包括以两个或更多个注入能级注入所述掺杂剂。
59.根据权利要求57所述的方法,其中,所述p型掺杂剂的注入剂量为至少每平方厘米5×1014个掺杂剂原子。
60.权利要求57所述的方法,其中,所述p型掺杂剂的注入剂量在每平方厘米5×1014个掺杂剂原子至每平方厘米5×1016个掺杂剂原子的范围内。
61.根据权利要求53所述的方法,其中,形成所述介电层形成了所述半导体区的与所述一个或多个沟槽相邻的表面上的层;并且其中,用p掺杂多晶硅材料填充所述一个或多个沟槽包括:
在所述介电层上方沉积多晶硅材料层;
在将所述多晶硅材料暴露于气相p型掺杂剂的情况下对所述多晶硅材料退火;以及
去除经退火的多晶硅材料的顶部部分。
CN201080008591.7A 2009-02-19 2010-02-02 用于改进沟槽屏蔽式半导体装置和肖特基势垒整流器装置的结构和方法 Active CN102326256B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US12/389,335 2009-02-19
US12/389,335 US8148749B2 (en) 2009-02-19 2009-02-19 Trench-shielded semiconductor device
PCT/US2010/022830 WO2010096261A2 (en) 2009-02-19 2010-02-02 Structures and methods for improving trench-shielded semiconductor devices and schottky barrier rectifier devices

Publications (2)

Publication Number Publication Date
CN102326256A true CN102326256A (zh) 2012-01-18
CN102326256B CN102326256B (zh) 2015-07-01

Family

ID=42559145

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201080008591.7A Active CN102326256B (zh) 2009-02-19 2010-02-02 用于改进沟槽屏蔽式半导体装置和肖特基势垒整流器装置的结构和方法

Country Status (7)

Country Link
US (1) US8148749B2 (zh)
JP (1) JP2012518292A (zh)
KR (1) KR101712038B1 (zh)
CN (1) CN102326256B (zh)
DE (1) DE112010000455T5 (zh)
TW (1) TWI569410B (zh)
WO (1) WO2010096261A2 (zh)

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN103383969A (zh) * 2012-05-06 2013-11-06 朱江 一种肖特基器件及其制备方法
CN104718624A (zh) * 2012-08-07 2015-06-17 株式会社电装 碳化硅半导体装置及其制造方法
CN105336794A (zh) * 2014-08-14 2016-02-17 强茂股份有限公司 沟渠式肖特基二极管
CN108091682A (zh) * 2017-11-21 2018-05-29 重庆大学 一种高可靠性肖特基接触超级势垒整流器
CN112509979A (zh) * 2020-11-30 2021-03-16 中芯集成电路制造(绍兴)有限公司 具有屏蔽栅沟槽结构的半导体器件及其制造方法
CN112509980A (zh) * 2020-11-30 2021-03-16 中芯集成电路制造(绍兴)有限公司 具有屏蔽栅沟槽结构的半导体器件及其制造方法

Families Citing this family (168)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8174067B2 (en) 2008-12-08 2012-05-08 Fairchild Semiconductor Corporation Trench-based power semiconductor devices with increased breakdown voltage characteristics
US8304829B2 (en) 2008-12-08 2012-11-06 Fairchild Semiconductor Corporation Trench-based power semiconductor devices with increased breakdown voltage characteristics
CN101494239B (zh) * 2009-02-27 2010-12-01 电子科技大学 一种高速igbt
US20110084332A1 (en) * 2009-10-08 2011-04-14 Vishay General Semiconductor, Llc. Trench termination structure
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8461646B2 (en) * 2011-02-04 2013-06-11 Vishay General Semiconductor Llc Trench MOS barrier schottky (TMBS) having multiple floating gates
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
JP5641995B2 (ja) * 2011-03-23 2014-12-17 株式会社東芝 半導体素子
CN102760662B (zh) * 2011-04-29 2014-12-31 茂达电子股份有限公司 半导体功率装置的制作方法
US8771536B2 (en) 2011-08-01 2014-07-08 Applied Materials, Inc. Dry-etch for silicon-and-carbon-containing films
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
US8872278B2 (en) 2011-10-25 2014-10-28 Fairchild Semiconductor Corporation Integrated gate runner and field implant termination for trench devices
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US9437440B2 (en) 2012-11-21 2016-09-06 Infineon Technologies Dresden Gmbh Method for manufacturing a semiconductor device
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US9230851B2 (en) * 2013-02-07 2016-01-05 Texas Instruments Incorporated Reduction of polysilicon residue in a trench for polysilicon trench filling processes
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US10170282B2 (en) 2013-03-08 2019-01-01 Applied Materials, Inc. Insulated semiconductor faceplate designs
TWI620340B (zh) * 2013-03-15 2018-04-01 傲思丹度科技公司 增強效能主動式像素陣列及用於達成其之磊晶成長方法
US20140271097A1 (en) * 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9496391B2 (en) * 2013-03-15 2016-11-15 Fairchild Semiconductor Corporation Termination region of a semiconductor device
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US8956980B1 (en) 2013-09-16 2015-02-17 Applied Materials, Inc. Selective etch of silicon nitride
US9224854B2 (en) * 2013-10-03 2015-12-29 Texas Instruments Incorporated Trench gate trench field plate vertical MOSFET
US9236265B2 (en) 2013-11-04 2016-01-12 Applied Materials, Inc. Silicon germanium processing
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9786580B2 (en) 2013-11-15 2017-10-10 Taiwan Semiconductor Manufacturing Company, Ltd. Self-alignment for redistribution layer
KR20150061973A (ko) * 2013-11-28 2015-06-05 삼성전기주식회사 전력 반도체 소자
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
WO2015084155A1 (en) * 2013-12-04 2015-06-11 Mimos Berhad A method for producing a reduced reverse leakage current trenched schottky diode
US9117855B2 (en) 2013-12-04 2015-08-25 Applied Materials, Inc. Polarity control for remote plasma
KR20150069117A (ko) * 2013-12-13 2015-06-23 삼성전기주식회사 전력 반도체 소자
US9263278B2 (en) 2013-12-17 2016-02-16 Applied Materials, Inc. Dopant etch selectivity control
US9190293B2 (en) 2013-12-18 2015-11-17 Applied Materials, Inc. Even tungsten etch for high aspect ratio trenches
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9478426B2 (en) 2014-02-27 2016-10-25 Semiconductor Components Industries, Llc Semiconductor device and manufacturing method thereof
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9136273B1 (en) 2014-03-21 2015-09-15 Applied Materials, Inc. Flash gate air gap
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9847289B2 (en) 2014-05-30 2017-12-19 Applied Materials, Inc. Protective via cap for improved interconnect performance
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9159606B1 (en) 2014-07-31 2015-10-13 Applied Materials, Inc. Metal air gap
US9165786B1 (en) 2014-08-05 2015-10-20 Applied Materials, Inc. Integrated oxide and nitride recess for better channel contact in 3D architectures
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9355862B2 (en) 2014-09-24 2016-05-31 Applied Materials, Inc. Fluorine-based hardmask removal
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US9577073B2 (en) 2014-12-11 2017-02-21 Infineon Technologies Ag Method of forming a silicon-carbide device with a shielded gate
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US20160247879A1 (en) * 2015-02-23 2016-08-25 Polar Semiconductor, Llc Trench semiconductor device layout configurations
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
CN106158955A (zh) * 2015-03-30 2016-11-23 中芯国际集成电路制造(上海)有限公司 功率半导体器件及其形成方法
JP6550995B2 (ja) 2015-07-16 2019-07-31 富士電機株式会社 半導体装置
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10833021B2 (en) * 2017-06-29 2020-11-10 Alpha And Omega Semiconductor (Cayman) Ltd. Method for precisely aligning backside pattern to frontside pattern of a semiconductor wafer
CN109216359B (zh) * 2017-07-04 2022-06-03 华邦电子股份有限公司 存储器装置及其制造方法
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
JP7147141B2 (ja) * 2017-09-11 2022-10-05 Tdk株式会社 ショットキーバリアダイオード
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
JP7163577B2 (ja) * 2017-12-28 2022-11-01 富士電機株式会社 半導体装置の製造方法
US11222851B2 (en) * 2017-12-28 2022-01-11 Fuji Electric Co., Ltd. Method of manufacturing semiconductor device
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10593760B2 (en) 2018-08-02 2020-03-17 Semiconductor Components Industries, Llc Method for forming trench semiconductor device having Schottky barrier structure
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
JP7310356B2 (ja) * 2019-06-27 2023-07-19 富士電機株式会社 半導体装置
WO2021215505A1 (ja) * 2020-04-24 2021-10-28 京セラ株式会社 半導体装置及び半導体装置の製造方法
CN114005880B (zh) * 2021-10-31 2022-08-12 无锡新洁能股份有限公司 一种功率半导体器件及其制作方法

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6211018B1 (en) * 1999-08-14 2001-04-03 Electronics And Telecommunications Research Institute Method for fabricating high density trench gate type power device
CN101180737A (zh) * 2003-12-30 2008-05-14 飞兆半导体公司 功率半导体器件及制造方法
US20080265276A1 (en) * 2007-04-25 2008-10-30 Masaaki Noda Semiconductor device

Family Cites Families (178)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS58106870A (ja) 1981-12-18 1983-06-25 Nissan Motor Co Ltd パワ−mosfet
US4974059A (en) 1982-12-21 1990-11-27 International Rectifier Corporation Semiconductor high-power mosfet device
US4641174A (en) 1983-08-08 1987-02-03 General Electric Company Pinch rectifier
FR2581252B1 (fr) 1985-04-26 1988-06-10 Radiotechnique Compelec Composant semiconducteur du type planar a structure d'anneaux de garde, famille de tels composants et procede de realisation
US5528058A (en) 1986-03-21 1996-06-18 Advanced Power Technology, Inc. IGBT device with platinum lifetime control and reduced gaw
US5262336A (en) 1986-03-21 1993-11-16 Advanced Power Technology, Inc. IGBT process to produce platinum lifetime control
US4767722A (en) 1986-03-24 1988-08-30 Siliconix Incorporated Method for making planar vertical channel DMOS structures
US4941026A (en) 1986-12-05 1990-07-10 General Electric Company Semiconductor devices exhibiting minimum on-resistance
EP0272143B1 (en) 1986-12-19 1999-03-17 Applied Materials, Inc. Bromine etch process for silicon
US4796070A (en) 1987-01-15 1989-01-03 General Electric Company Lateral charge control semiconductor device and method of fabrication
US4893160A (en) 1987-11-13 1990-01-09 Siliconix Incorporated Method for increasing the performance of trenched devices and the resulting structure
US4914058A (en) 1987-12-29 1990-04-03 Siliconix Incorporated Grooved DMOS process with varying gate dielectric thickness
JPH01227468A (ja) 1988-03-08 1989-09-11 Oki Electric Ind Co Ltd 半導体記憶装置
US4881105A (en) 1988-06-13 1989-11-14 International Business Machines Corporation Integrated trench-transistor structure and fabrication process
US4942445A (en) 1988-07-05 1990-07-17 General Electric Company Lateral depletion mode tyristor
US5072266A (en) 1988-12-27 1991-12-10 Siliconix Incorporated Trench DMOS power transistor with field-shaping body profile and three-dimensional geometry
US4876579A (en) 1989-01-26 1989-10-24 Harris Corporation Low top gate resistance JFET structure
US4954854A (en) 1989-05-22 1990-09-04 International Business Machines Corporation Cross-point lightly-doped drain-source trench transistor and fabrication process therefor
US5119153A (en) 1989-09-05 1992-06-02 General Electric Company Small cell low contact resistance rugged power field effect devices and method of fabrication
US4994883A (en) 1989-10-02 1991-02-19 General Electric Company Field controlled diode (FCD) having MOS trench gates
US4982260A (en) 1989-10-02 1991-01-01 General Electric Company Power rectifier with trenches
US5077228A (en) 1989-12-01 1991-12-31 Texas Instruments Incorporated Process for simultaneous formation of trench contact and vertical transistor gate and structure
JP2590284B2 (ja) 1990-02-28 1997-03-12 株式会社日立製作所 半導体装置及びその製造方法
US5126807A (en) 1990-06-13 1992-06-30 Kabushiki Kaisha Toshiba Vertical MOS transistor and its production method
CN1019720B (zh) 1991-03-19 1992-12-30 电子科技大学 半导体功率器件
IT1254799B (it) 1992-02-18 1995-10-11 St Microelectronics Srl Transistore vdmos con migliorate caratteristiche di tenuta di tensione.
US5315142A (en) 1992-03-23 1994-05-24 International Business Machines Corporation High performance trench EEPROM cell
US5233215A (en) 1992-06-08 1993-08-03 North Carolina State University At Raleigh Silicon carbide power MOSFET with floating field ring and floating field plate
JP2948985B2 (ja) 1992-06-12 1999-09-13 三菱電機株式会社 半導体装置
US5346835A (en) 1992-07-06 1994-09-13 Texas Instruments Incorporated Triple diffused lateral resurf insulated gate field effect transistor compatible with process and method
JPH0637269A (ja) 1992-07-17 1994-02-10 Mitsubishi Electric Corp 接合型電界効果トランジスタ、その接合型電界効果トランジスタを含む半導体記憶装置およびそれらの製造方法
US5430324A (en) 1992-07-23 1995-07-04 Siliconix, Incorporated High voltage transistor having edge termination utilizing trench technology
US5241195A (en) 1992-08-13 1993-08-31 North Carolina State University At Raleigh Merged P-I-N/Schottky power rectifier having extended P-I-N junction
JP3167457B2 (ja) 1992-10-22 2001-05-21 株式会社東芝 半導体装置
JP3417013B2 (ja) 1993-10-18 2003-06-16 株式会社デンソー 絶縁ゲート型バイポーラトランジスタ
US5365102A (en) 1993-07-06 1994-11-15 North Carolina State University Schottky barrier rectifier with MOS trench
US5424563A (en) 1993-12-27 1995-06-13 Harris Corporation Apparatus and method for increasing breakdown voltage ruggedness in semiconductor devices
JP3400846B2 (ja) 1994-01-20 2003-04-28 三菱電機株式会社 トレンチ構造を有する半導体装置およびその製造方法
JP3481287B2 (ja) 1994-02-24 2003-12-22 三菱電機株式会社 半導体装置の製造方法
JP3125567B2 (ja) 1994-03-28 2001-01-22 富士電機株式会社 絶縁ゲート型サイリスタ
JPH07326663A (ja) 1994-05-31 1995-12-12 Fuji Electric Co Ltd ウエハの誘電体分離方法
DE69525003T2 (de) 1994-08-15 2003-10-09 Siliconix Inc Verfahren zum Herstellen eines DMOS-Transistors mit Grabenstruktur unter Verwendung von sieben Masken
US5665996A (en) 1994-12-30 1997-09-09 Siliconix Incorporated Vertical power mosfet having thick metal layer to reduce distributed resistance
US5597765A (en) 1995-01-10 1997-01-28 Siliconix Incorporated Method for making termination structure for power MOSFET
US5545915A (en) 1995-01-23 1996-08-13 Delco Electronics Corporation Semiconductor device having field limiting ring and a process therefor
JP3291957B2 (ja) 1995-02-17 2002-06-17 富士電機株式会社 縦型トレンチmisfetおよびその製造方法
US5557127A (en) 1995-03-23 1996-09-17 International Rectifier Corporation Termination structure for mosgated device with reduced mask count and process for its manufacture
US5828101A (en) 1995-03-30 1998-10-27 Kabushiki Kaisha Toshiba Three-terminal semiconductor device and related semiconductor devices
EP0746042B1 (en) 1995-06-02 2004-03-31 SILICONIX Incorporated Bidirectional blocking trench power MOSFET
US6078090A (en) 1997-04-02 2000-06-20 Siliconix Incorporated Trench-gated Schottky diode with integral clamping diode
US6049108A (en) 1995-06-02 2000-04-11 Siliconix Incorporated Trench-gated MOSFET with bidirectional voltage clamping
US5856692A (en) 1995-06-02 1999-01-05 Siliconix Incorporated Voltage-clamped power accumulation-mode MOSFET
US5920108A (en) 1995-06-05 1999-07-06 Harris Corporation Late process method and apparatus for trench isolation
US5777362A (en) 1995-06-07 1998-07-07 Harris Corporation High efficiency quasi-vertical DMOS in CMOS or BICMOS process
GB9512089D0 (en) 1995-06-14 1995-08-09 Evans Jonathan L Semiconductor device fabrication
US5949124A (en) 1995-10-31 1999-09-07 Motorola, Inc. Edge termination structure
US6242787B1 (en) 1995-11-15 2001-06-05 Denso Corporation Semiconductor device and manufacturing method thereof
US5637898A (en) 1995-12-22 1997-06-10 North Carolina State University Vertical field effect transistors having improved breakdown voltage capability and low on-state resistance
JP3444081B2 (ja) 1996-02-28 2003-09-08 株式会社日立製作所 ダイオード及び電力変換装置
US5814858A (en) 1996-03-15 1998-09-29 Siliconix Incorporated Vertical power MOSFET having reduced sensitivity to variations in thickness of epitaxial layer
JP3575908B2 (ja) 1996-03-28 2004-10-13 株式会社東芝 半導体装置
KR100223198B1 (ko) 1996-04-11 1999-10-15 다니구찌 이찌로오, 기타오카 다카시 높은 강복 전압을 갖는 반도체 장치 및 그 제조 방법
US5602046A (en) 1996-04-12 1997-02-11 National Semiconductor Corporation Integrated zener diode protection structures and fabrication methods for DMOS power devices
US5973368A (en) 1996-06-05 1999-10-26 Pearce; Lawrence G. Monolithic class D amplifier
US5807783A (en) 1996-10-07 1998-09-15 Harris Corporation Surface mount die by handle replacement
JPH10132871A (ja) 1996-10-29 1998-05-22 Toshiba Corp 半導体装置
US5972741A (en) 1996-10-31 1999-10-26 Sanyo Electric Co., Ltd. Method of manufacturing semiconductor device
US5998822A (en) 1996-11-28 1999-12-07 Nippon Steel Semiconductor Corp. Semiconductor integrated circuit and a method of manufacturing the same
US5877528A (en) 1997-03-03 1999-03-02 Megamos Corporation Structure to provide effective channel-stop in termination areas for trenched power transistors
KR100225409B1 (ko) 1997-03-27 1999-10-15 김덕중 트렌치 디-모오스 및 그의 제조 방법
US6110763A (en) 1997-05-22 2000-08-29 Intersil Corporation One mask, power semiconductor device fabrication process
JP3618517B2 (ja) 1997-06-18 2005-02-09 三菱電機株式会社 半導体装置およびその製造方法
EP0892435A1 (en) 1997-07-14 1999-01-20 STMicroelectronics S.r.l. Integrated semiconductor transistor with current sensing
JP3502531B2 (ja) 1997-08-28 2004-03-02 株式会社ルネサステクノロジ 半導体装置の製造方法
US6051468A (en) 1997-09-15 2000-04-18 Magepower Semiconductor Corp. Method of forming a semiconductor structure with uniform threshold voltage and punch-through tolerance
JP3315356B2 (ja) 1997-10-15 2002-08-19 株式会社東芝 高耐圧半導体装置
US6337499B1 (en) 1997-11-03 2002-01-08 Infineon Technologies Ag Semiconductor component
US6429481B1 (en) 1997-11-14 2002-08-06 Fairchild Semiconductor Corporation Field effect transistor and method of its manufacture
JP4192281B2 (ja) 1997-11-28 2008-12-10 株式会社デンソー 炭化珪素半導体装置
US6396102B1 (en) 1998-01-27 2002-05-28 Fairchild Semiconductor Corporation Field coupled power MOSFET bus architecture using trench technology
US6204168B1 (en) 1998-02-02 2001-03-20 Applied Materials, Inc. Damascene structure fabricated using a layer of silicon-based photoresist material
US6137152A (en) 1998-04-22 2000-10-24 Texas Instruments - Acer Incorporated Planarized deep-shallow trench isolation for CMOS/bipolar devices
JP4189610B2 (ja) 1998-05-08 2008-12-03 ソニー株式会社 光電変換素子およびその製造方法
US6104054A (en) 1998-05-13 2000-08-15 Texas Instruments Incorporated Space-efficient layout method to reduce the effect of substrate capacitance in dielectrically isolated process technologies
JP2000056281A (ja) 1998-08-07 2000-02-25 Mitsubishi Electric Corp 光変調器とその製造方法
US6316280B1 (en) 1998-09-07 2001-11-13 Mitsubishi Denki Kabushiki Kaisha Method of manufacturing semiconductor devices separated from a wafer
US5998833A (en) 1998-10-26 1999-12-07 North Carolina State University Power semiconductor devices having improved high frequency switching and breakdown characteristics
US6677626B1 (en) 1998-11-11 2004-01-13 Fuji Electric Co., Ltd. Semiconductor device with alternating conductivity type layer and method of manufacturing the same
US6208185B1 (en) 1999-03-25 2001-03-27 Wisconsin Alumni Research Corporation High performance active gate drive for IGBTs
US6188105B1 (en) 1999-04-01 2001-02-13 Intersil Corporation High density MOS-gated power device and process for forming same
US6433385B1 (en) 1999-05-19 2002-08-13 Fairchild Semiconductor Corporation MOS-gated power device having segmented trench and extended doping zone and process for forming same
US6492663B1 (en) 1999-05-20 2002-12-10 Richard A. Blanchard Universal source geometry for MOS-gated power devices
US6191447B1 (en) 1999-05-28 2001-02-20 Micro-Ohm Corporation Power semiconductor devices that utilize tapered trench-based insulating regions to improve electric field profiles in highly doped drift region mesas and methods of forming same
US6242784B1 (en) 1999-06-28 2001-06-05 Intersil Corporation Edge termination for silicon power devices
US6274905B1 (en) 1999-06-30 2001-08-14 Fairchild Semiconductor Corporation Trench structure substantially filled with high-conductivity material
KR100331032B1 (ko) * 1999-09-18 2002-04-06 오길록 측벽막을 이용한 트렌치 게이트 전력소자 제조방법
US6252277B1 (en) 1999-09-09 2001-06-26 Chartered Semiconductor Manufacturing Ltd. Embedded polysilicon gate MOSFET
US6437419B1 (en) 1999-11-29 2002-08-20 Fairchild Semiconductor Corporation Emitter ballast resistor with enhanced body effect to improve the short circuit withstand capability of power devices
US6461918B1 (en) 1999-12-20 2002-10-08 Fairchild Semiconductor Corporation Power MOS device with improved gate charge performance
JP4765012B2 (ja) 2000-02-09 2011-09-07 富士電機株式会社 半導体装置及びその製造方法
CN1315195C (zh) 2000-02-10 2007-05-09 国际整流器有限公司 在单面上带块形连接的垂直导电倒装芯片式器件
US6376878B1 (en) 2000-02-11 2002-04-23 Fairchild Semiconductor Corporation MOS-gated devices with alternating zones of conductivity
GB0003186D0 (en) 2000-02-12 2000-04-05 Koninkl Philips Electronics Nv A semiconductor device
US6392290B1 (en) 2000-04-07 2002-05-21 Siliconix Incorporated Vertical structure for semiconductor wafer-level chip scale packages
US6482681B1 (en) 2000-05-05 2002-11-19 International Rectifier Corporation Hydrogen implant for buffer zone of punch-through non epi IGBT
WO2001088997A2 (en) 2000-05-13 2001-11-22 Koninklijke Philips Electronics N.V. Trench-gate semiconductor device and method of making the same
JP4528460B2 (ja) 2000-06-30 2010-08-18 株式会社東芝 半導体素子
US6445035B1 (en) 2000-07-24 2002-09-03 Fairchild Semiconductor Corporation Power MOS device with buried gate and groove
JP4963750B2 (ja) 2000-08-10 2012-06-27 ルネサスエレクトロニクス株式会社 半導体集積回路装置
US6437386B1 (en) 2000-08-16 2002-08-20 Fairchild Semiconductor Corporation Method for creating thick oxide on the bottom surface of a trench structure in silicon
US6696726B1 (en) 2000-08-16 2004-02-24 Fairchild Semiconductor Corporation Vertical MOSFET with ultra-low resistance and low gate charge
US6700158B1 (en) 2000-08-18 2004-03-02 Fairchild Semiconductor Corporation Trench corner protection for trench MOSFET
GB0022149D0 (en) 2000-09-09 2000-10-25 Zetex Plc Implantation method
US6680232B2 (en) 2000-09-22 2004-01-20 Fairchild Semiconductor Corporation Trench etch with incremental oxygen flow
JP4750933B2 (ja) 2000-09-28 2011-08-17 株式会社東芝 薄型パンチスルー型パワーデバイス
US6365942B1 (en) 2000-12-06 2002-04-02 Fairchild Semiconductor Corporation MOS-gated power device with doped polysilicon body and process for forming same
JP3899231B2 (ja) 2000-12-18 2007-03-28 株式会社豊田中央研究所 半導体装置
US7132712B2 (en) 2002-11-05 2006-11-07 Fairchild Semiconductor Corporation Trench structure having one or more diodes embedded therein adjacent a PN junction
US7345342B2 (en) 2001-01-30 2008-03-18 Fairchild Semiconductor Corporation Power semiconductor devices and methods of manufacture
US6803626B2 (en) 2002-07-18 2004-10-12 Fairchild Semiconductor Corporation Vertical charge control semiconductor device
US6916745B2 (en) 2003-05-20 2005-07-12 Fairchild Semiconductor Corporation Structure and method for forming a trench MOSFET having self-aligned features
US6906362B2 (en) 2002-01-22 2005-06-14 Fairchild Semiconductor Corporation Method of isolating the current sense on power devices while maintaining a continuous stripe cell
EP1271654B1 (en) 2001-02-01 2017-09-20 Mitsubishi Denki Kabushiki Kaisha Semiconductor device and method of manufacturing the same
DE60139386D1 (de) 2001-02-02 2009-09-10 Mitsubishi Electric Corp Halbleiteranordnung mit einem bipolartransistor mit isoliertem gate und einer freilaufdiode
WO2002069394A1 (en) 2001-02-27 2002-09-06 Fairchild Semiconductor Corporation Process for depositing and planarizing bpsg for dense trench mosfet application
US6683346B2 (en) 2001-03-09 2004-01-27 Fairchild Semiconductor Corporation Ultra dense trench-gated power-device with the reduced drain-source feedback capacitance and Miller charge
JP4839519B2 (ja) 2001-03-15 2011-12-21 富士電機株式会社 半導体装置
JP4073176B2 (ja) 2001-04-02 2008-04-09 新電元工業株式会社 半導体装置およびその製造方法
US6683363B2 (en) 2001-07-03 2004-01-27 Fairchild Semiconductor Corporation Trench structure for semiconductor devices
US6573558B2 (en) 2001-09-07 2003-06-03 Power Integrations, Inc. High-voltage vertical transistor with a multi-layered extended drain structure
US6831329B2 (en) 2001-10-26 2004-12-14 Fairchild Semiconductor Corporation Quick punch through IGBT having gate-controllable DI/DT and reduced EMI during inductive turn off
US6573569B2 (en) 2001-11-06 2003-06-03 Fairchild Semiconductor Corporation Trench MOSFET with low gate charge
US6635535B2 (en) 2001-11-20 2003-10-21 Fairchild Semiconductor Corporation Dense trench MOSFET with decreased etch sensitivity to deposition and etch processing
US7078296B2 (en) 2002-01-16 2006-07-18 Fairchild Semiconductor Corporation Self-aligned trench MOSFETs and methods for making the same
US6777747B2 (en) 2002-01-18 2004-08-17 Fairchild Semiconductor Corporation Thick buffer region design to improve IGBT self-clamped inductive switching (SCIS) energy density and device manufacturability
US6798019B2 (en) 2002-01-18 2004-09-28 Fairchild Semiconductor Corporation IGBT with channel resistors
JP3908572B2 (ja) 2002-03-18 2007-04-25 株式会社東芝 半導体素子
US7091573B2 (en) 2002-03-19 2006-08-15 Infineon Technologies Ag Power transistor
DE10212149B4 (de) 2002-03-19 2007-10-04 Infineon Technologies Ag Transistoranordnung mit Schirmelektrode außerhalb eines aktiven Zellenfeldes und reduzierter Gate-Drain-Kapazität
TWI248136B (en) 2002-03-19 2006-01-21 Infineon Technologies Ag Method for fabricating a transistor arrangement having trench transistor cells having a field electrode
US6838722B2 (en) 2002-03-22 2005-01-04 Siliconix Incorporated Structures of and methods of fabricating trench-gated MIS devices
US6653161B1 (en) 2002-05-16 2003-11-25 Intel Corporation Method and apparatus for forming a capacitive structure including single crystal silicon
JP4158453B2 (ja) 2002-08-22 2008-10-01 株式会社デンソー 半導体装置及びその製造方法
US6818947B2 (en) 2002-09-19 2004-11-16 Fairchild Semiconductor Corporation Buried gate-field termination structure
US6825510B2 (en) 2002-09-19 2004-11-30 Fairchild Semiconductor Corporation Termination structure incorporating insulator in a trench
EP1557888A4 (en) 2002-10-04 2009-11-04 Shindengen Electric Mfg SEMICONDUCTOR ELEMENT AND PROCESS FOR ITS MANUFACTURE
US6861701B2 (en) 2003-03-05 2005-03-01 Advanced Analogic Technologies, Inc. Trench power MOSFET with planarized gate bus
US7652326B2 (en) * 2003-05-20 2010-01-26 Fairchild Semiconductor Corporation Power semiconductor devices and methods of manufacture
DE112004001163B4 (de) 2003-08-20 2017-12-28 Denso Corporation Halbleiteranordnung eines vertikalen Typs
DE10345347A1 (de) 2003-09-19 2005-04-14 Atmel Germany Gmbh Verfahren zur Herstellung eines DMOS-Transistors mit lateralem Driftregionen-Dotierstoffprofil
DE10353387B4 (de) 2003-11-14 2008-07-24 Infineon Technologies Ag Verfahren zur Herstellung einer Leistungstransistoranordnung und Leistungstransistoranordnung
DE10355588B4 (de) 2003-11-28 2006-06-14 Infineon Technologies Ag MOS-Transistoreinrichtung
JP4999464B2 (ja) 2003-12-19 2012-08-15 サード ディメンジョン (スリーディ) セミコンダクタ インコーポレイテッド 広いメサを備えた超接合ディバイスの製造方法
JP4699692B2 (ja) 2003-12-26 2011-06-15 ローム株式会社 半導体装置の製造方法および半導体装置
US7416948B2 (en) 2003-12-30 2008-08-26 Fairchild Semiconductor Corporation Trench FET with improved body to gate alignment
US20050242411A1 (en) 2004-04-29 2005-11-03 Hsuan Tso [superjunction schottky device and fabrication thereof]
US7352036B2 (en) 2004-08-03 2008-04-01 Fairchild Semiconductor Corporation Semiconductor power device having a top-side drain using a sinker trench
US7737522B2 (en) * 2005-02-11 2010-06-15 Alpha & Omega Semiconductor, Ltd. Trench junction barrier controlled Schottky device with top and bottom doped regions for enhancing forward current in a vertical direction
JP2008536316A (ja) 2005-04-06 2008-09-04 フェアチャイルド・セミコンダクター・コーポレーション トレンチゲート電界効果トランジスタおよびその形成方法
US7382019B2 (en) 2005-04-26 2008-06-03 Fairchild Semiconductor Corporation Trench gate FETs with reduced gate to drain charge
WO2006127914A2 (en) 2005-05-26 2006-11-30 Fairchild Semiconductor Corporation Trench-gate field effect transistors and methods of forming the same
US7553740B2 (en) 2005-05-26 2009-06-30 Fairchild Semiconductor Corporation Structure and method for forming a minimum pitch trench-gate FET with heavy body region
DE112006001516T5 (de) 2005-06-10 2008-04-17 Fairchild Semiconductor Corp. Feldeffekttransistor mit Ladungsgleichgewicht
US7648877B2 (en) 2005-06-24 2010-01-19 Fairchild Semiconductor Corporation Structure and method for forming laterally extending dielectric layer in a trench-gate FET
TWI400757B (zh) 2005-06-29 2013-07-01 Fairchild Semiconductor 形成遮蔽閘極場效應電晶體之方法
US8084815B2 (en) 2005-06-29 2011-12-27 Fairchild Korea Semiconductor Ltd. Superjunction semiconductor device
KR20070015309A (ko) 2005-07-30 2007-02-02 페어차일드코리아반도체 주식회사 고전압 반도체소자
US7385248B2 (en) 2005-08-09 2008-06-10 Fairchild Semiconductor Corporation Shielded gate field effect transistor with improved inter-poly dielectric
US7449354B2 (en) 2006-01-05 2008-11-11 Fairchild Semiconductor Corporation Trench-gated FET for power device with active gate trenches and gate runner trench utilizing one-mask etch
US7768064B2 (en) 2006-01-05 2010-08-03 Fairchild Semiconductor Corporation Structure and method for improving shielded gate field effect transistors
US20070181927A1 (en) 2006-02-03 2007-08-09 Yedinak Joseph A Charge balance insulated gate bipolar transistor
US7955961B2 (en) * 2006-03-07 2011-06-07 International Rectifier Corporation Process for manufacture of trench Schottky
US7595542B2 (en) 2006-03-13 2009-09-29 Fairchild Semiconductor Corporation Periphery design for charge balance power devices
US7446374B2 (en) 2006-03-24 2008-11-04 Fairchild Semiconductor Corporation High density trench FET with integrated Schottky diode and method of manufacture
US7521773B2 (en) 2006-03-31 2009-04-21 Fairchild Semiconductor Corporation Power device with improved edge termination
US7319256B1 (en) 2006-06-19 2008-01-15 Fairchild Semiconductor Corporation Shielded gate trench FET with the shield and gate electrodes being connected together
US7732842B2 (en) 2006-12-06 2010-06-08 Fairchild Semiconductor Corporation Structure and method for forming a planar schottky contact
US7750412B2 (en) 2008-08-06 2010-07-06 Fairchild Semiconductor Corporation Rectifier with PN clamp regions under trenches

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6211018B1 (en) * 1999-08-14 2001-04-03 Electronics And Telecommunications Research Institute Method for fabricating high density trench gate type power device
CN101180737A (zh) * 2003-12-30 2008-05-14 飞兆半导体公司 功率半导体器件及制造方法
US20080265276A1 (en) * 2007-04-25 2008-10-30 Masaaki Noda Semiconductor device

Cited By (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN103383969A (zh) * 2012-05-06 2013-11-06 朱江 一种肖特基器件及其制备方法
CN103383969B (zh) * 2012-05-06 2017-04-26 朱江 一种肖特基器件及其制备方法
CN104718624A (zh) * 2012-08-07 2015-06-17 株式会社电装 碳化硅半导体装置及其制造方法
CN104718624B (zh) * 2012-08-07 2018-02-13 株式会社电装 碳化硅半导体装置及其制造方法
CN105336794A (zh) * 2014-08-14 2016-02-17 强茂股份有限公司 沟渠式肖特基二极管
CN105336794B (zh) * 2014-08-14 2018-10-19 强茂股份有限公司 沟渠式肖特基二极管
CN108091682A (zh) * 2017-11-21 2018-05-29 重庆大学 一种高可靠性肖特基接触超级势垒整流器
CN112509979A (zh) * 2020-11-30 2021-03-16 中芯集成电路制造(绍兴)有限公司 具有屏蔽栅沟槽结构的半导体器件及其制造方法
CN112509980A (zh) * 2020-11-30 2021-03-16 中芯集成电路制造(绍兴)有限公司 具有屏蔽栅沟槽结构的半导体器件及其制造方法
CN112509979B (zh) * 2020-11-30 2022-08-09 绍兴中芯集成电路制造股份有限公司 具有屏蔽栅沟槽结构的半导体器件及其制造方法

Also Published As

Publication number Publication date
TWI569410B (zh) 2017-02-01
KR20110122739A (ko) 2011-11-10
WO2010096261A2 (en) 2010-08-26
TW201112392A (en) 2011-04-01
JP2012518292A (ja) 2012-08-09
DE112010000455T5 (de) 2012-05-24
WO2010096261A3 (en) 2010-11-18
CN102326256B (zh) 2015-07-01
KR101712038B1 (ko) 2017-03-13
US20100207205A1 (en) 2010-08-19
US8148749B2 (en) 2012-04-03

Similar Documents

Publication Publication Date Title
CN102326256B (zh) 用于改进沟槽屏蔽式半导体装置和肖特基势垒整流器装置的结构和方法
CN110998861B (zh) 功率晶体管及其制造方法
JP2005019734A (ja) 半導体装置およびその製造方法
US10600740B2 (en) Method of manufacturing a semiconductor device with epitaxial layers and an alignment mark
US9331195B2 (en) Source tip optimization for high voltage transistor devices which includes a P-body extension region
KR100825601B1 (ko) 반도체 장치의 제조 방법
KR100779005B1 (ko) 반도체 장치 및 그 제조 방법
US7517759B2 (en) Method of fabricating metal oxide semiconductor device
JP5541069B2 (ja) 半導体装置の製造方法
US9935176B1 (en) Method for fabricating LDMOS using CMP technology
CN100459052C (zh) 形成具有特定尺寸的栅极侧壁间隔件之半导体装置的方法
CN113809145B (zh) 窄台面绝缘栅双极型晶体管器件及形成方法
JP2007067127A (ja) 半導体装置及びその製造方法
JP2005536868A (ja) 寄生抵抗が低いトレンチ金属酸化膜半導体電界効果トランジスタデバイスの製造方法
KR100582374B1 (ko) 고전압 트랜지스터 및 그 제조 방법
TW200527663A (en) Manufacturing method of solid-state image pickup device, and solid-state image pickup device
JP2006278465A (ja) 固体撮像装置
US20190333989A1 (en) Semiconductor device with low random telegraph signal noise
TWI788755B (zh) 使用底部崩潰電流路徑的雪崩保護電晶體及其形成方法
TWI226709B (en) Two mask Schottky barrier diode with LOCOS structure
JP2009238872A (ja) 半導体装置及びその製造方法
JP2004327815A (ja) 半導体装置、半導体装置の製造方法
JPH098132A (ja) 半導体素子及びその製造方法
KR19990070834A (ko) 반도체장치의 콘택 형성방법
KR20050049932A (ko) 수직 바이폴라 트랜스터의 제조방법

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant