CN102361449B - 用于调整集成电路性能的设备和方法 - Google Patents

用于调整集成电路性能的设备和方法 Download PDF

Info

Publication number
CN102361449B
CN102361449B CN201110166824.2A CN201110166824A CN102361449B CN 102361449 B CN102361449 B CN 102361449B CN 201110166824 A CN201110166824 A CN 201110166824A CN 102361449 B CN102361449 B CN 102361449B
Authority
CN
China
Prior art keywords
circuit
pld
body bias
signal
delay
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
CN201110166824.2A
Other languages
English (en)
Other versions
CN102361449A (zh
Inventor
D·刘易斯
V·贝茨
I·拉希姆
P·麦克尔赫尼
Y-J·W·刘
B·彼得森
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
AstraZeneca AB
Altera Corp
Original Assignee
Altera Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US10/848,953 external-priority patent/US7348827B2/en
Application filed by Altera Corp filed Critical Altera Corp
Publication of CN102361449A publication Critical patent/CN102361449A/zh
Application granted granted Critical
Publication of CN102361449B publication Critical patent/CN102361449B/zh
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/34Circuit design for reconfigurable circuits, e.g. field programmable gate arrays [FPGA] or programmable logic devices [PLD]
    • HELECTRICITY
    • H03ELECTRONIC CIRCUITRY
    • H03KPULSE TECHNIQUE
    • H03K19/00Logic circuits, i.e. having at least two inputs acting on one output; Inverting circuits
    • H03K19/003Modifications for increasing the reliability for protection
    • H03K19/00369Modifications for compensating variations of temperature, supply voltage or other physical parameters
    • HELECTRICITY
    • H03ELECTRONIC CIRCUITRY
    • H03KPULSE TECHNIQUE
    • H03K5/00Manipulating of pulses not covered by one of the other main groups of this subclass
    • H03K5/13Arrangements having a single output and transforming input signals into pulses delivered at desired time intervals
    • H03K5/133Arrangements having a single output and transforming input signals into pulses delivered at desired time intervals using a chain of active delay devices
    • HELECTRICITY
    • H03ELECTRONIC CIRCUITRY
    • H03KPULSE TECHNIQUE
    • H03K5/00Manipulating of pulses not covered by one of the other main groups of this subclass
    • H03K2005/00013Delay, i.e. output pulse is delayed after input pulse and pulse length of output pulse is dependent on pulse length of input pulse
    • H03K2005/00019Variable delay
    • H03K2005/00058Variable delay controlled by a digital setting
    • HELECTRICITY
    • H03ELECTRONIC CIRCUITRY
    • H03KPULSE TECHNIQUE
    • H03K5/00Manipulating of pulses not covered by one of the other main groups of this subclass
    • H03K2005/00013Delay, i.e. output pulse is delayed after input pulse and pulse length of output pulse is dependent on pulse length of input pulse
    • H03K2005/0015Layout of the delay element
    • H03K2005/00156Layout of the delay element using opamps, comparators, voltage multipliers or other analog building blocks
    • HELECTRICITY
    • H03ELECTRONIC CIRCUITRY
    • H03KPULSE TECHNIQUE
    • H03K2217/00Indexing scheme related to electronic switching or gating, i.e. not by contact-making or -breaking covered by H03K17/00
    • H03K2217/0018Special modifications or use of the back gate voltage of a FET

Abstract

用于调整集成电路性能的设备和方法。本发明提供利用可编程逻辑器件PLD来实现电子电路的方法,所述方法包括:把所述电子电路映射成所述PLD内的功能资源以生成一个设计;从表示所述生成的设计中的至少一部分中的信号传播延迟的延迟得到体偏置值;和把所述生成的设计中的所述至少一部分的至少一个晶体管的体偏置电平编程为所述体偏置值。

Description

用于调整集成电路性能的设备和方法
本申请是于2005年5月18日提交的名称为“用于调整集成电路性能的设备和方法”的中国专利申请2005800235701的分案申请。
相关申请的交叉参考
本申请是美国专利申请序号10/848,953(代理人案卷号ALTR:026),于2004年5月19日申请的标题为“用于调整可编程逻辑器件性能的设备和方法(Apparatus and methods for adjusting theperformance of programmable logic devices)”的部分连续申请,此处以参考方式将其并入。
技术领域
本发明的构思一般涉及调整集成电路(IC)的性能,此处的集成电路包括可编程逻辑器件(PLD)。
背景技术
PLD是普遍存在的既能给设计者又能给终端用户提供灵活性的电子器件。在电子电路或电子系统的设计周期中,对每个设计,设计者仅通过对PLD重新编程就可以完成大量的重复设计。因此,与其它可选方案相比,减少了设计周期的长度和费用。类似地,终端用户可能需要对包括PLD的设计的功能性进行一定的控制。用户可在现场或实时地对PLD编程,就能改变电路或系统的运行方式。
为适应日益复杂的设计,现代PLD中包含的晶体管相对较多。而且,用户对性能的要求也提高了,这就产生了较大的运行频率。因此,可编程逻辑器件的功率消耗,功率耗散,晶片温度及因此的功率密度(在各种电路或各种块中的功率耗散)也在增大。然而,功率密度的增大可能会使PLD的设计和实现变得不实际或更容易失败。所以需要以可调整的功率消耗和可调整的性能为特征的PLD。更进一步讲,还需要直接对普通集成电路内的晶体管的性能(泄漏,速度和功率耗散)进行控制。
发明内容
本发明公开的新构思涉及用于调整PLD性能的设备和方法,例如在速度和功率耗散之间做出权衡。本发明的构思的一方面涉及用于调整PLD的性能的设备。在一个实施例中,配置成实现用户电路的PLD包括延迟电路和体偏置发生器。延迟电路具有一个延迟,它表示在PLD中实现的用户电路的延迟。用户电路包括至少一个晶体管。响应从延迟电路的传播延迟中得到的延迟量,体偏置发生器对用户电路中晶体管的体偏置进行调整。
在另一个实施例中,PLD包括参考晶体管,电流测量电路和体偏置发生器。参考晶体管提供关于PLD内的电路的电流。电流测量电路测量由参考晶体管提供的电流,并将测量的电流信号提供给体偏置发生器。体偏置发生器从测量的电流信号中得到至少一个体偏置信号,并将此体偏置信号(可为多于一个)提供给PLD中的电路。
在又一个实施例中,PLD包括多个用户电路和多于一个体偏置发生器。每个用户电路都在PLD中实现。多个体偏置发生器中至少一个体偏置发生器被配置成可选择性地调整用户电路中至少一个用户电路的晶体管的体偏置。
本发明的构思的另一方面涉及调整PLD的性能和使用PLD实现用户电路的方法。在一个实施例中,使用PLD实现电子电路的方法包括:将电子电路映射成PLD内的功能资源以生成一个设计;及从表示生成的设计中的至少一部分内的信号传播延迟的延迟得到体偏置值。所述方法进一步包括将生成的设计中的一部分的至少一个晶体管的体偏置电平编程为体偏置值。
在另一实施例中,调整包含在PLD内的电路的晶体管的泄漏电平的方法包括:获得表示晶体管的漏电流的电流;和从获得的电流中得到体偏置电平。该方法进一步包括将晶体管的体偏置调整为得到的体偏置电平。
在又一实施例中,在PLD内的至少一个电路区中实现用户电路的方法包括:选择电路区中的至少一个晶体管的体偏置电平;和生成PLD内该电路区的初始布置。如果目前的体偏置电平和布置不能满足规定的性能标准,则该方法将进一步包括将施加到电路区中的晶体管的体偏置电平改变成另一电平至少一次。
附图的简要描述
附图仅对本发明的示例性实施例进行了图解说明,因此不应被认为是限制本发明的范围。本领域普通技术人员从本发明的描述中将理解所公开的发明构思会使他们想到其它等同的有效的实施例。在附图中,多于一个附图中使用的同样的数字指示符表示相同的,相似的,或等同的功能性,部件或块。
图1显示根据本发明的图解实施例的PLD的一般方框图。
图2图解说明了用在本发明的示例性实施例中的MOS晶体管。
图3描述了根据本发明的示例性实施例中的PLD的平面布置图。
图4显示根据本发明的示例性实施例的PLD中的可编程逻辑的结构图。
图5图解说明了用在根据本发明的示例性实施例的加入了体偏置调整的PLD中的多路复用器。
图6A描述了根据本发明的示例性实施例的PLD计算机辅助设计(CAD)软件的各种软件模块。
图6B图解说明了根据本发明的示例性实施例的PLD CAD软件的流程图。
图7显示了根据本发明的示例性实施例的调整体偏置的过程的流程图。
图8图解说明了根据本发明的示例性实施例的PLD内用来对期望的PLD电路或块的体偏置电平进行调整,编程或设置的电路的结构图。
图9描述了根据本发明的示例性实施例用于可选择地关断电路或减少或一般控制PLD内电路的功率消耗的电路的结构图。
图10显示根据本发明的示例性实施例用来响应外部源来调整PLD内的体偏置电平的电路布置图。
图11图解说明了根据本发明的示例性实施例的用于调节PLD内的体偏置电平的电路布置。
图12描述了对用在本发明的示例性实施例的PLD的体偏置电平进行调整,编程,或设置的过程或技术的流程图。
图13显示考虑了温度水平,用来对体偏置电平进行调整,编程,或设置的过程或技术的流程图。
图14图解说明了考虑了PLD运行的环境特征,用来对体偏置电平进行调整,编程,或设置的过程或技术的流程图。
图15描述了考虑了PLD运行的温度水平和环境特征,用来对体偏置电平进行调整,编程,或设置的过程或技术的流程图。
图16显示根据用户电路的运行延迟的延迟表示来调整用户电路的晶体管的体偏置的电路布置图。
图17图解说明了根据本发明的说明性实施例的体偏置控制器的结构图。
图18描述了根据本发明的示例性实施例的参考信号发生器的电路布置。
图19显示根据本发明的示例性实施例的相位比较器的电路布置图。
图20图解说明了根据本发明的示例性实施例的相位比较器的另一电路布置。
图21描述了根据本发明的用在说明性实施例中的可配置的延迟电路。
图22显示根据本发明的示例性实施例的用于可配置的延迟电路的电路布置图。
图23图解说明了根据本发明的说明性实施例的布线延迟元件的电路布置。
图24描述了根据本发明的示例性实施例的逻辑延迟元件的电路布置。
图25显示根据本发明的示例性实施例的泄漏锁定环的结构图。
图26图解说明了根据本发明的另一示例性实施例的泄漏锁定环的结构图。
图27描述了根据本发明的示例性实施例的泄漏测量电路。
图28显示根据本发明的示例性实施例的参考值和比较器电路。
图29图解说明了根据本发明的另一示例性实施例的参考值和比较器电路。
图30描述了根据本发明的示例性实施例用于给集成电路IC提供多个体偏置电路的电路布置。
详细描述
本发明的构思设计了以可调功率和可调性能为特征的PLD的设备和相关方法。本发明的构思有助于克服传统PLD会遇到的功率密度水平过大的问题。而且,可以对根据本发明的PLD的期望的一部分或全部性能水平进行调整(即,从个别晶体管一直到整个PLD电路的粒状基础上)。
见如下的详细描述,更具体地讲,本发明的构思设计了对PLD中的个别晶体管或晶体管组的体偏置或电位阱(well)偏置进行的设置,编程或调整。对体偏置进行的调整改变了晶体管的功率消耗及性能。
本发明的构思相对传统的实现方法具有以下优点。首先,本发明的构思可以在性能和功率消耗之间做出权衡,或能优化性能-功率消耗之间的权衡。其次,可以选择性对PLD的关键电路路径或部分PLD的体偏置电平进行设置,编程或调整,以期增强其性能。相反地,也可以选择性地对PLD的非关键电路路径或部分PLD的体偏置电平进行设置,编程或调整,从而减少其功率消耗,并降低其功率密度。
此外,可以关闭或禁用PLD内的没有使用的部分或电路,这样减少它们的功率消耗,并降低它们的功率密度。也可以利用本发明的构思来阻止热耗散(或减少热耗散的可能性)。更具体地讲,在传统的PLD中,运行在相对较高速度的电路势必要消耗更多的功率,导致PLD的温度升高。功率消耗的增加会使那些电路消耗更多的功率。这种正反馈的机制可能使功率密度增大到不安全或破坏性水平。
图1所示为根据本发明的示例性实施例的PLD 103的一般的结构图。PLD 103包括配置电路130,配置内存133,控制电路136,可编程逻辑106,可编程互联109,及输入/输出电路112。此外,如果需要,PLD 103还可以包括测试/调试电路115,一个或多于一个处理器118,一个或多于一个通信电路121,一个或多于一个内存124,一个或多于一个控制器127。
注意图1显示PLD 103的简化的结构图。因此,PLD 103还可以包括其它块或电路,其能为本领域普通技术人员所理解。这种电路的例子包括时钟发生和分布电路,冗余电路,及类似电路。而且,如果需要,PLD 103可以包括模拟电路,其它数字电路,和/或混合模式电路。
可编程逻辑106包括可配置或可编程逻辑电路块,诸如查询表(LUT),乘积项逻辑,多路复用器,逻辑门,寄存器,内存,和类似电路块。可编程互连109耦连到可编程逻辑106,并在可编程逻辑106内的各块和PLD 103之内或之外的其它电路之间提供可配置互联(耦连机构)。
控制电路136对PLD 103内的各种运行进行控制。在控制电路136的管理下,PLD配置电路130使用配置数据(从诸如存储器设备,主机等的外部源获得)来对PLD 103的功能性进行编程或配置。通过对可编程逻辑106和可编程互联109进行编程,配置数据确定了PLD103的功能性,如本领域普通技术人员受益于本发明的描述所理解的。
I/O电路112可由多种I/O设备或电路组成,如本领域普通技术人员受益于本发明的描述所理解的。I/O电路112可以耦连到PLD 103的各个部分,例如,可耦连到可编程逻辑106和可编程互联109。I/O电路112为PLD 103内的各个块提供了与外部电路或外部设备进行通信的机构和电路。
测试/调试电路115用于PLD 103内的各个块和各个电路的测试和故障查找。测试/调试电路115可包括本领域普通技术人员在参考本发明的描述之后能知道的各种块或电路。例如,如果需要,测试/调试电路115可包括PLD 103上电或重置之后执行测试的电路。如果需要,测试/调试电路115还可以包括编码和奇偶校验电路。
如上所述,PLD 103可包括一个或多于一个处理器118。处理器118可耦连到PLD 103内的其它块和其它电路。处理器118可从PLD103内部或外部接收数据和信息,并能以许多种方式处理信息,如本领域普通技术人员受益于本发明的描述所理解的。一个或多于一个处理器118可由数字信号处理器(DSP)组成。如果需要,DSP可执行许多诸如压缩,解压缩,音频处理,视频处理,滤波,和类似处理的信号处理任务。
PLD 103还可以包括一个或多于一个通信电路121。通信电路121可以用于PLD 103内的各个电路和PLD 103的外部各电路之间的数据和信息交换,如本领域普通技术人员受益于本发明的描述所理解的。举例来说,如果需要,通信电路121可提供各种协议功能(例如,传输控制协议/互联网协议(TCP/IP),用户数据报协议(UDP)等)。举另外一个例子,如果需要,通信电路121可以包括网络(诸如,以太网,令牌网等等)或总线接口电路。
PLD 103可进一步包括一个或多于一个内存124及一个或多于一个控制器127。内存124可以存储PLD 103内的各种数据和各种信息(诸如,用户数据,中间结果,计算结果,等等)。如果需要,内存124可以具有粒状或块形式。控制器127可以与PLD之外的电路的运行和各个功能进行接口连接,并对其进行控制。例如,如果需要,控制器127可由与外部同步的动态随机存储器(SDRAM)接口并对其进行控制的内存控制器组成。
PLD 103内的电路块包括许多晶体管。更具体地讲,如果需要,晶体管由金属氧化物半导体(MOS)晶体管组成,诸如由N型MOS(NMOS),P型MOS(PMOS),互补型MOS(CMOS),或部分耗尽绝缘膜上硅(SOI)MOS晶体管(或这些类型晶体管的组合)组成。
图2显示用在本发明的示例性实施例中的MOS晶体管。MOS晶体管包括体(或衬底)区203,源区206,漏区209,栅极绝缘子215,和栅极212。运行在饱和区的MOS晶体管的漏电流取决于晶体管的阈值电压和栅-源电压。
这里
iD=总的漏电流(即,包括交流分量和直流分量),
K=常数,
vGS=总的栅-源电压(即,包括交流分量和直流分量),和
VT=阈值电压。
阈值电压,VT,由许多因素决定,诸如晶体管的源区206和体区203之间的电压。以下等式将阈值电压表示成体-源电压的函数。
V T = V T ( 0 ) + γ { 2 φ F - v BS - 2 φ F } - - - ( 2 A )
可替换地,可以把等式2A根据源-体电压写成:
V T = V T ( 0 ) + γ { 2 φ F + v SB - 2 φ f } - - - ( 2 B )
这里
VT(o)=源-体电压设定为0(或体-源电压)的阈值电压,
γ=体因子,取决于体的掺杂水平的常数,
φF=常数,
vBS=总的体-源电压(即,包括交流分量直流分量),和
vSB=总的源-体电压(即,包括交流分量和直流分量)。
注意当体-源电压vBS(或源-体电压vSB)等于零时,阈值电压VT等于VT(o)
如等式2B所示,对于有限体因子γ,随着源-体电压vSB的增大,晶体管的阈值电压也随之增大。然而,等式1说明对于一个给定的栅-源电压,阈值电压的增大会使晶体管的漏电流iD减小。换言之,根据等式1和等式2B,对于一个恒定的栅-源电压vGS,源-体电压vSB的增大会引起阈值电压VT的增大。
阈值电压VT的增大反过来又引起量(vGS-VT)的减少,因此,使晶体管的漏电流iD减小。因此,对于一个恒定的栅-源电压vGS,源-体电压vSB的增大引起晶体管的电流驱动能力(性能的一种度量)降低。晶体管的电流驱动能力的降低反过来又导致电路运行变慢,并最终使包括该晶体管的PLD的运行变慢。
晶体管的功率耗散还会随着其阈值电压的变化而发生改变。更具体地地讲,一个较小的阈值电压会使晶体管的断开状态的漏电流(Ioff)增大,反之亦然。晶体管的Ioff影响了其静态(非开关)的功率耗散。此外,一个较小的阈值电压会使晶体管的动态或开关功率耗散减小。因此,通过调整体偏置来改变阈值电压会影响MOS晶体管的功率耗散。
而且,对于一个给定的电源电压VDD,阈值电压VT的增大限制了晶体管作为传输晶体管运行时晶体管能传输的最大电压。换言之,如果阈值电压VT增大到等于或超过栅-源电压vGS,则漏极电流减小到零。因此,施加到晶体管漏极的电压,例如对应于逻辑1电平的电压,不能完全传输到晶体管的源极。结果使晶体管不能可靠地作为传输晶体管运行。
如以上描述所示,可以通过调整MOS晶体管的体偏置,从而调整其源-体电压(最终使其阈值电压发生变化)来影响其性能。对体偏置的调整影响诸如电流驱动能力,运行速度,功率耗散等的性能指标或度量。
如上所述,在本发明的各实施例中,如果需要可以调整PLD内的个别晶体管,个别电路或块,晶体管组或块组的体偏置,或甚至可以调整整个PLD的体偏置。而且,如果需要,可以控制个别NMOS和PMOS晶体管的体偏置,或可控制NMOS晶体管组和PMOS晶体管组的体偏置。以下将详细进行描述。
图3显示根据本发明的示例性实施例的PLD103的平面布置图。PLD 103包括布置为二维阵列的可编程逻辑106。布置为水平互联和垂直互联的可编程互联109将可编程逻辑106的各块互相耦连在一起。如果需要,可以调整可编程逻辑106的每一块的体偏置,及可编程互联109的每一段的体偏置,或可对两者的体偏置进行调整。而且,如果需要,可以调整一个或多于一个可编程逻辑106各块的一部分及可编程互联109的一部分的体偏置或可调整两者的体偏置。
在示例性的实施例中,根据本发明的PLD具有分级结构。换言之,图3中的可编程逻辑106的每一块包括较小或更多粒状的可编程逻辑块或可编程逻辑电路。如果需要,可以调整PLD的分级结构中每一级的晶体管的体偏置。
图4显示根据本发明的示例性实施例的PLD中的可编程逻辑106的结构图。可编程逻辑106包括逻辑元件或可编程逻辑电路250,局部互联253,接口电路256,和接口电路259。逻辑元件250提供可配置或可编程功能,诸如LUT,寄存器,乘积项逻辑等等,如本领域普通技术人员受益于本发明的描述所理解的。局部互联253为逻辑元件250提供可配置或可编程机构,以耦连到另一个逻辑元件或如果需要可对可编程互联109(有时称为“全局互联”)进行编程。
接口电路256和接口电路259为可编程逻辑106电路块提供可配置的或可编程的方式以耦连到可编程互联109(并因此耦连到如图3所示的其它的可编程逻辑106)。接口电路256和接口电路259可包括多路复用器(MUX),寄存器,缓冲器,驱动器,和类似器件,如本领域普通技术人员受益于本发明的描述所理解的。
如果需要,可以调整PLD 103内的电路的每一部分或每一块的体偏置(见图1,3,和4)。而且,如果需要,可以独立于其它部分,在个别或集合的基础上,调整电路的每一部分或每一块的体偏置。在电路的每一部分或每一块内,如果需要,可以在个别或集合的基础上,调整每一子块或晶体管,子块组或晶体管组的体偏置。
例如,根据本发明的示例性实施例,可以调整以下所列的PLD内的全部或一部分电路的体偏置:图1中的一个或多于一个块(例如,可编程逻辑106,可编程互联109等);在一个或多于一个可编程逻辑块106内的一个或多于一个逻辑元件250;在一个或多于一个可编程逻辑块106内的一个或多于一个接口电路256和/或259;在一个或多于一个可编程逻辑块106内的一个或多于一个局部互联;和在一个或多于一个接口电路256和/或259内的一个或多于一个MUX,驱动器,缓冲器,等等。
如上所述,可以在任何需要的粒度水平上对体偏置进行调整。换言之,如果需要并适用,可以对适用于个别晶体管,晶体管组,子块,块或整个PLD进行调整。例如,如果需要可以独立于一个或多于一个PMOS晶体管对一个或多于一个NMOS晶体管进行体偏置调整。
而且,如果需要,可以独立于PLD 103内的所有其它元件调整PLD 103中的一个元件的体偏置。如本领域普通技术人员受益于本发明的描述所理解的,如果需要,可以调整PLD的某些部分的体偏置,并对PLD的其它部分提供固定或默认的体偏置。
作为体偏置调整的粒度的一个例子,考虑一个两个输入,一个输出的多路复用器(MUX)。图5显示用于根据本发明的包括体偏置调整的示例性实施例中的PLD的MUX。该MUX包括晶体管275和晶体管278。MUX从电路A接收一个信号,从电路B接收另一个信号。响应选择信号S,或其反S’,MUX把来自电路A的信号或来自电路B的信号路由至电路C(耦连到MUX的输出)。
可以以多种方式调整晶体管275和晶体管278的体偏置。首先,可以选择不调整体偏置(例如,使用PLD内的默认的体偏置)。其次,可以把晶体管275的体偏置调整到与晶体管278的体偏置相同的电平。可选择地,可以对晶体管275和晶体管278中的一个的体偏置进行调整。还可以选择,单独调整晶体管275和晶体管278中每一个的体偏置。
因此,可以以灵活的方式配置MUX的性能特性。换言之,可以在MUX的运行速度,电流驱动能力,和功率耗散之间进行平衡或在它们之间进行权衡。再如,注意可以将体偏置调整的构思扩展到MUX中的一组晶体管,一个特定的信号布线(例如,对应于特定输入的信号布线),一组传输晶体管(例如,可编程布线中的一组传输晶体管,其提供其它组的晶体管共享的MUX以保存配置内存的位计数),等等。
如上所述,根据本发明,用户可以对PLD的各个部分的体偏置进行调整。用户可以使用将设计映射到PLD的软件来进行调整。图6A描述了根据本发明的说明性实施例使用的PLD计算机辅助设计(CAD)软件的各个软件模块。这些模块包括设计入口模块305,合成模块310,布局布线模块315和验证模块320。
设计入口模块305可以把多个设计文件进行集成。如果需要,用户可以使用设计入口模块305或使用各种电子设计自动化(EDA)或CAD工具(诸如工业标准的EDA工具)生成设计文件。用户可以以图表形式,基于波形图的形式,原理图形式,文本或二进制形式,或这些形式的组合来进入设计。
合成模块310接收设计入口模块305的输出。基于用户提供的设计,合成模块310生成能实现用户提供的设计的适当的逻辑电路。一个或多于一个PLD(未清楚示出)实现了合成的总体设计或系统。合成模块310还可以生成任何能将用户设计中的各模块集成,并适当运行,及接口的胶联逻辑(glue logic)。例如,合成模块310可以提供适当的硬件,以使一个块的输出能适当地与另一个块的输入相接。合成模块310可以提供适当的硬件以满足总体设计或系统中每一模块的规范。
而且,合成模块310可包含用来优化经过合成的设计的算法和程序。通过优化,合成模块310可更有效地使用实现总体设计或系统的一个或多于一个PLD的资源。合成模块310将其输出提供给布局布线模块315。
布局布线模块315使用设计者的时序规范来实现优化的逻辑映射和布局。逻辑映射和布局确定了PLD内的布线资源的使用。换言之,通过对设计的某些部分使用PLD内的特定的可编程互联,布局布线模块315有助于优化总体设计或系统的性能。通过适当地使用PLD的布线资源,布局布线模块315有助于满足总体设计或系统的关键的时序路径。布局布线模块315对关键的时序路径进行优化,以用本领域普通技术人员根据本发明的描述已知的方式使时序收敛得更快。结果,总体设计或系统可以获得更快的性能(即,运行在较高的时钟速率或有较大的吞吐量)。
而且,布局布线模块315对实现本设计或系统的一部分PLD或全部PLD的体偏置进行调整。布局布线模块315可根据用户规定的标准自动进行调整,或将此两者结合来进行调整。布局布线模块315可使用用户规定的标准(例如,诸如功率耗散,速度,和/或电流驱动能力的性能规范)。此外或可替代地,如果需要,布局布线模块315可使用在设计或系统内有关关键路径的信息来对此设计或系统的部分或全部的体偏置进行调整。
例如,布局布线模块315可对设计或系统的关键部分的体偏置进行调整以获得较高的性能。如果需要,布局布线模块315可考虑功率耗散标准(如,最大功率密度)以在功率和性能之间做出权衡。布局布线模块315向验证模块320提供经过优化的设计。
验证模块320执行设计的仿真和验证。该仿真和验证能部分地对设计是否符合用户规定的规范进行验证。仿真和验证的目的还在于在设计的原型制作前,检测并更正任何设计问题。因此,验证模块320能帮助用户减少总体花费并缩短总体设计或系统推向市场的时间。
如果需要,验证模块320可以支持或执行多种验证和仿真选择。这些选择包括设计规则检查,功能验证,测试工作台生成,静态时序分析,时序仿真,硬件/软件仿真,系统验证,板级时序分析,信号完整性分析和电磁兼容(EMC),网表形式验证,和功率消耗估计。注意如果需要可以执行其它或附加的验证技术,如本领域普通技术人员受益于本发明的描述所理解的。如上所述,根据本发明的PLD的结构和电路能为用户提供在PLD中或在PLD各区域,对泄漏速度(并因此产生的功率耗散)进行权衡的能力。为了使用户能尽可能方便地使用该功能性,根据本发明示例性实施例的PLD CAD能自动配置此电路以自动满足用户的规范和标准。而且,为最大化或最有效地减少泄漏,同时最小化速度对用户电路的影响,根据本发明的各个实施例的PLD CAD在实现用户电路的过程中考虑了偏置区的存在,并优化了该电路以最大化偏置区的有效性。以下将对PLD CAD的功能性进行详细描述。
对时序驱动的PLD CAD系统,为更好地利用衬底偏置,在设计实现过程中,应该估计PLD的衬底偏置,或PLD的每一区(可能包括一个或多于一个晶体管)的衬底偏置。在设计的时序分析过程中,PLD CAD考虑了体偏置。因此,从时序角度看,体偏置电平会影响设计的哪部分会变得很关键。
一开始,CAD系统为PLD的每一区假定一些体偏置电平,用户希望对这些区的一个或多于一个晶体管的体偏置电平进行设置或编程。作为一种可能的选择,PLD CAD可以假设所有受影响的区都使用相对较低的速度设定(即,产生相对较低的泄漏电平的体偏置)。PLD CAD系统提供PLD电路的初始布局。通过对初始布局进行迭代改进,来继续布局。
在迭代改进程序的各个点上,PLD CAD估计电路的速度,并计算涉及到的所有连接的时序松弛值(timing slack value)。计算时序间隙值考虑了估计延迟时为每一区选择的电流体偏置电平。应注意PLD CAD将体偏置的选择与迭代的布局改进进行交织。PLD CAD对包含较小的平均值和最坏情况的连接松弛值(slack value)的部分电路区域进行评估,以转换到较高速度(对应于较高泄漏)的体偏置设定。CAD软件选择一个或多于一个区域的新的备选偏置设定,并根据电路的时序,和漏电流和/或功率的总的增大,通过估计新设定的总花费来评估偏置设定。如果新的偏置设定会在该区域的所有连接上产生正的松弛值(或在该区域产生不负(less negative)的松弛值),则PLD CAD通常会采用新的偏置设定。
类似地,CAD软件对目前具有相对高的偏置设定,但所有连接都有正的松弛值的那些区域进行评估以转换到低速体偏置设定。如果这种做法不会违反任何电路时序标准或多于一条标准,则CAD软件采用新的体偏置设定。
一旦改变了一个或多于一个偏置设定,迭代布局改进继续试图解决任何潜在的时序问题或由改变的偏置设定产生的犯规,或试图将时序要求更严格的电路移动到具有较高速度的新产生的偏置区。当布局或布线满足用户的时序和功率目标,或当达到期望或规定的迭代极限(即,不能完成任何更进一步的改进出现)时,算法终止。
图6B图解说明了根据本发明的示例性实施例的PLD CAD软件的流程图。图6B所示的PLD CAD把PLD的每一区的体偏置的选择并入了时序驱动的布局布线CAD系统。
更具体地讲,在330,PLD CAD设置初始区的体偏置设定。在335,软件生成初始布局。然后,在338,软件利用反映当前体偏置设定的延迟估计值分析电路的时序。在341,软件确定是否已经满足了用户的时序和功率目标。如果满足,在344,软件记录布局和体偏置选择。如果不满足,在347软件检查确定是否已经达到了迭代极限。如果已经达到迭代极限,则软件进行到344以记录电流布局和体偏置选择。如果软件还没有达到迭代极限,则递增迭代次数(未清楚示出),并在350改变至少一些区域的偏置设定。在353,软件利用反映变化的体偏置设定的延迟估计值来分析电路的时序。在356,软件对电路的布局进行改进,然后跳转到341以确定是否已经满足用户的时序和功率目标。
如本领域普通技术人员受益于本发明的描述所理解的,可以使用此处描述的算法的许多种变形。例如,可以使用许多种初始体偏置设定,诸如把所有的区域设置成低速或设置成高速。如果软件估计到在一个布局中能满足所有的时序规范,则对高速偏置区转换到低速区进行评价,优选对包含有与较大的正松弛值连接的区域进行评估。把一些区域转换成低速区域之后,软件继续进行布局改进。软件对上面描述的两个步骤进行迭代直到满足用户的功率和时序目标。
作为第二个例子,软件可以从用户的芯片平面布置图生成偏置区的初始设定。软件一开始将平面布局图上对时间要求严格的区域的偏置设置成高速运行,把其它区域的偏置设置成相对低速运行。然后软件使用图6B所示的技术以进一步对偏置选择进行改进。
作为第三个例子,软件可以从电路的快速布局中生成体偏置的初始设定,之后进行时序分析以把对速度要求严格的区域和其它区域区别开来。布局可以由标准布局构成,或者也可以由“粗略布局”构成,“粗略布局”能确定布局中的体偏置区域以对用户电路的每一部分进行定位。然后软件可以使用图6B中的算法对那些初始偏置设定进一步改进。
作为第四个例子,在开始布局之前,软件把电路预先分簇(pre-cluster)成由体偏置区域构成的相对较大的电路元件。每一簇由对时间要求严格或对时间要求不严格的电路组成。软件把这些簇放置到表示PLD的体偏置区域的粗略的网格中。然后软件根据放置进网格的电路的类型对每一区域的偏置电平进行设置。因此,对时间要求严格的电路接收相对较高速的体偏置设定,而对时间要求不严格的电路接收相对较低速的体偏置设定。然后软件使用图6B中的算法用那些初始偏置设定对布局进行改进。
作为第五个例子,除了布局算法以外的其它算法,诸如布线算法,可以考虑延迟估计中的给定区域的体偏置。可以通过对区域体偏置选择和标准算法优化进行交织,把这些算法和体区域偏置细调进行交织。
一旦PLD CAD已经实现了一次设计(即,合成,布局布线该设计),CAD软件就自动地将体偏置电路(即,体偏置生成电路,体偏置控制电路)设置成正确的状态和体偏置电平。取决于体偏置电路的工作细节,及用户的目标,软件可以以多种方式实现此操作。
一个可选方法是,如果用户对泄漏功率目标有要求,且偏置电路加入了泄漏锁定环(以下将详细描述),则PLD CAD应对偏置电路进行设置以使泄漏功率小于或等于用户的功率耗散目标。CAD软件然后在相对较高的温度下,及在具有漏电流与“导通”晶体管驱动电流最高比率的工艺拐点(process corner)下分析电路的时序,以使电路在该工艺过程和运行条件下满足所有的时序规范。相反,如果用户的目标是最小化泄漏功率,使其满足所有的时序规范,则软件对每一区域的泄漏锁定环进行设置以使晶体管在最坏的期望过程和操作拐点(operating corner)下在满足所有的时序约束的区域内运行。一般来说,该拐点会出现在相对较高的温度下及在具有漏电流与“导通”晶体管的驱动电流的最高比率的工艺拐点处。
另一可选择方法,如果用户的目标是最小化功率以满足一些时序规范,且偏置电路包括延迟锁定环,则CAD软件对延迟环进行设置或编程以使电路满足那些时序规范。通过时序分析,软件可以在每一体偏置区域的电路中提取最关键的路径来对延迟环进行设置或编程。如果延迟锁定环使用可编程延迟链,则软件对电路进行设置以把每一体偏置区域中的参考链的延迟设置成额定延迟值,该额定延迟值比对应的体偏置区域的关键路径的额定延迟要大。软件布线到延迟锁定电路,并路由定义关键路径的激励和捕捉边缘的适当的时钟信号。通常同一时钟信号定义了激励和捕捉边缘,但在具有多个相和频率相关的时钟信号的设计中,单独的时钟域可定义激励和捕捉边缘。如果延迟锁定环是“软的”并锁定到一些PLD电路,则CAD系统应尽可能精确地把每一偏置区域的每一关键路径复制以用作延迟锁定环的参考延迟。
又一可选择方法,PLD CAD通过对体偏置电路进行编程,可以给用户提供在各种功率-延迟之间权衡选择的能力。在那种情况下,CAD软件根据用户已经选择的偏置设定,查询适当的功率和延迟模块,并把那些模块使用在功率和时序分析中。软件可以使功率和延迟模块不那么保守(最坏情况)。这是通过在给用户发货前,测试设备并存储指示晶体管速度和PLD中的泄漏的数据(通常,以阈值电压的形式)来实现的(例如,驻存在PLD内的非易失内存)。在编程过程中,CAD软件仍将用户期望的功率-延迟权衡编程到设备中,但片上硬件将此“期望的”权衡和存储的设备特性进行比较,计算并应用适当的体偏置电平以使晶体管具有期望的功率-延迟权衡。
图7显示根据本发明的示例性实施例来调整体偏置的过程的流程图。诸如与图6A结合进行描述的软件的程序可实现图7中的过程。
一旦该过程开始,在400,辨别如上所述的实现设计或系统的PLD内的关键电路的路径,如本领域普通技术人员受益于本发明的描述所理解的。在403,程序对辨别的关键电路路径内的晶体管的体偏置进行调整。换言之,程序为一个或多于一个晶体管或晶体管组选择一个或多于一个体偏置电平。接下来,在406,程序对关键电路路径编程或配置一个或多于一个体偏置发生器。体偏置发生器生成一个或多于一个在403中选择的体偏置电平。
注意程序不必将其仅局限于识别的关键的电路路径或块。而是或此外,用户可以识别或说明用户期望满足的特定的性能标准的电路路径或块。例如,用户可能希望在PLD内实现高速的加法器。用户可识别用来实现该加法器的电路或块,并向软件说明以调整电路的体偏置电平来满足某些时序规范。用户可提供诸如功率耗散的其它的性能规范或标准。软件可在各种性能规范之间做出权衡以选择电路路径或块的体偏置电平。
图8显示根据本发明的示例性实施例的用来对PLD内期望的PLD电路或块的体偏置电平进行调整、编程或设置的电路的结构图。该电路包括体偏置发生器430,多个配置内存(配置随机存取存储器,或CRAM,或内存的其它实现)单元438A-438D,多个晶体管440A-440D,和PLD电路445A-445B。
体偏置发生器430生成一个或多于一个体偏置信号435并将这些信号提供给晶体管440A-440D。响应CRAM单元438A-438D中的数据的每一个,晶体管440A-440D将体偏置信号435提供给PLD电路445A-445B。PLD电路445A-445B可由希望设置、编程或调整的体偏置电平的电路构成,诸如如上所述的个别晶体管,晶体管组,电路块等等。
举例来说,假设CRAM单元438A和438C存储二进制1,而CRAM单元438B和438D存储二进制零。结果,晶体管440A和440C导通,并将体偏置信号435A提供给PLD电路445A-445B。晶体管440B和440D断开,因此不给PLD电路445A-445B提供任何信号。
作为另外一个例子,假设前面例子的相反的情况存在(即,CRAM单元438A-438D分别保持二进制数据0,1,0,1)。在这种情况下,晶体管440A和440C断开(且因此不向PLD电路445A-445B提供任何信号),而晶体管440B和440D导通。结果,晶体管440B和440D向PLD电路445A-445D提供体偏置信号435B。
在示例性实施例中,如果需要,CRAM单元438A-438D可用作不止一个用途。更具体地讲,CRAM单元438A-438D可以控制PLD内各电路的体偏置(如,PLD电路445A或445B)。此外,CRAM单元438A-438D可作为布线CRAM单元。换言之,除了控制体偏置电平外,如果需要,一个或多于一个CRAM单元438A-438D可在MUX内选择一个电路路径而不选另一个电路路径(即,在MUX内选择与MUX内的可选的布线路径相反的一个布线路径)。
注意可以以多种方式实现体偏置发生器430,如本领域普通技术人员受益于本发明的描述所理解的。例如,可以使用偏置源(如多种已知的偏置源中的一个)然后使用电荷泵生成被加压成适当的或期望电平的体偏置信号435。举另外一个例子,可以使用对应于体偏置电平的存储的数字数据,并使用一个或多于一个定标电路(scalercircuit)和一个或多于一个数-模转换器(DAC)以生成期望的体偏置信号435。
除了对体偏置电平进行调整、编程或设置外,可以使用其它的方式来控制功率耗散,并因此控制PLD的功率密度。更具体地讲,可以选择地关闭或关断PLD中的部分电路。结果,可进一步降低PLD内的功率耗散。
图9所示为根据本发明的示例性实施例用于选择性地关断电路或减少或一般控制PLD内电路的功率消耗的电路的结构图。该电路包括控制电路136,晶体管450,PLD电路445A。此外,该电路还可以包括晶体管450A,晶体管453,电源电路456,晶体管453A和PLD电路445B。
假设希望关断PLD电路445A。晶体管450把PLD电路445A耦连到电源电压VDD。换言之,当晶体管450导通时,PLD电路445A接收电源电压VDD,反之亦然。晶体管响应来自控制电路136的控制信号导通或关断。因此,要关断PLD电路445A,应使控制电路136使晶体管450的栅极信号无效,并中断到PLD电路445A的电源电压。接着,在控制电路136的管理下,可以通过使能(assert)晶体管450的栅极信号来接通PLD电路445A。
注意,除了把晶体管450断开或导通外,可以控制晶体管450的栅极电压以把晶体管450用作可变阻抗的器件。因此,晶体管450在其极限状态具有相对较高的阻抗(断开状态),相对较低的阻抗(导通状态),或介于此两种状态之间的阻抗水平。结果,不仅可以关断或接通PLD电路445A,还可以通过控制晶体管450的阻抗来控制这些电路功率耗散。
除此之外或可替代地,使用晶体管450来控制供给PLD电路445A(不管关断,接通,或以上所述的这些极限状态之间的任何状态)的电源电压VDD,可以用晶体管450A来控制电源电压VSS(一般电路接地)的供给。操作的细节类似于以上对晶体管450和电源电压VDD的描述,如本领域普通技术人员受益于本发明的描述所理解的。
如果需要,PLD 103可以使用多于一个的电源电压。换言之,可以选择使用电源电压电路456从主电源电压VDD生成第二电源电压459。如果需要,与主电源电压相比,第二电源电压459可以有较小或较大的幅值。而且,如果需要,可以使用多于一个的第二电源电压。第二电源电压445B给PLD电路445B供电。在控制电路136的管理下,可以分别对晶体管453的栅极信号无效或使能来关断或给PLD电路445B上电。
注意,除了关断或接通晶体管453外,可以控制晶体管453的栅极以把晶体管用作可变阻抗的器件。因此,晶体管453在其极限状态具有相对较高的阻抗(断开状态),相对较低的阻抗(导通状态),或介于此两种状态之间的阻抗水平。结果,不仅可以关断并接通PLD电路445B,还可以通过控制晶体管453的阻抗,来控制那些电路的功率耗散。
除此之外,或可替代地,使用晶体管453来控制供给PLD电路445B(不管关断,接通,或以上所述的在这些极限状态之间的任何状态)的第二电源电压459,可以用晶体管453A来控制电源电压VSS(一般电路接地)的供给。操作的细节类似于以上对晶体管453和第二电源电压459的描述,如本领域普通技术人员受益于本发明的描述所理解的。
注意如果需要,每个PLD电路445A和/或PLD电路445B可由PLD块(如,见图1,图3和图4),部分PLD块,或一组PLD块构成。换言之,如果需要,可以选择性地把电源控制技术应用到PLD内的一个或多于一个块、子块、或部分块。
注意响应PLD的外部源,可以对体偏置电平进行调整,编程或设置。例如,可以把体偏置电平发送给PLD以调整或修改其性能。图10显示根据本发明的示例性实施例用来响应外部源470来调整PLD内的体偏置电平的电路布置图。该电路布置包括外部源470,通信/接口电路475,和体偏置发生器430。
通信/接口电路475给外部源470或体偏置发生器430提供了通信和交换信息的机构。外部源470可向PLD 103内的通信/接口电路475提供一个或多于一个控制信号480。通信/接口电路475把从外部源470接收的信息提供给体偏置发生器430。响应该信息,体偏置发生器430生成其电平对应于控制信号480的电平的一个或多于一个体偏置信号435。通信/接口电路475可把诸如状态信号的信息从体偏置发生器430(或一般由PLD 103)提供给外部源470。
外部源470可由多种器件、结构或布置组成,如本领域普通技术人员受益于本发明的描述所理解的。例如,如果需要,外部源470可由互联网,计算机网络,总线等构成。
注意如果需要可以在动态或时变的基础上对PLD内的体偏置电平进行调整、编程或设置以考虑进或响应变化的条件(如,性能规范的改变)。举例来说,参考图10,外部源470可对提供给PLD 103的控制信号进行更新或修改。响应该信号,体偏置发生器430提供对应于更新的或修改的控制信号480的体偏置信号435。
举另外一个例子,可以改变或修改体偏置电平以响应PLD103内部的变化,例如,PLD 103的一个或多于一个电路或区域的温度的变化。图11显示根据本发明的示例性实施例的用于调节PLD内的体偏置电平的电路布置图。
此电路布置包括一个或多于一个温度传感器503,一个或多于一个参考源512,减法器509和体偏置发生器430。温度传感器503检测PLD 103内的一个或多于一个区域,电路或块的温度,并把温度信号506提供给减法器509。参考源512把参考信号515提供给减法器509。参考信号515可具有对应于各种温度水平的值。
减法器509从温度信号506中减去参考信号515,并把差值信号518提供给体偏置发生器430。差值信号518可由PLD 103内的一个或多于一个部分的实际温度和期望的温度之间的差值构成。
响应差值信号518,体偏置发生器430生成体偏置信号435。体偏置发生器430可用差值信号518以生成影响PLD 103性能的各个方面的体偏置信号435。例如,如果差值信号518指示比阈值温度或最高温度低,则体偏置发生器430就会生成降低PLD 103内的一个或多于一个晶体管的阈值电压的体偏置信号(因此性能提高)。相反地,如果差值信号518指示温度水平高于安全水平或最大水平,则体偏置发生器430可生成增大PLD 103内的一个或多于一个晶体管的阈值电压的体偏置信号(因此,引起温度水平下降,尽管使性能下降。)
更一般地讲,可以实现生成体偏置电平的反馈环以针对特定的性能标准。换言之,可以把PLD的实际的性能度量和期望的或具体的度量或标准进行比较,并相应地对体偏置电平进行调整,编程,或设置。
图12显示用在本发明的示例性实施例的对PLD的体偏置电平进行调整,编程,或设置的过程或技术的流程图。用适当的诸如控制电路136的电路(或电路和软件或固件的结合),可以实现该程序或技术。
该程序以如下方式运行。在550,对PLD的期望的一部分或几部分的初始体偏置电平进行设置或编程。接着,在533,可以获得PLD的性能度量。性能度量可包括许多种标准,诸如给定的操作花费的时间,功率消耗,功率密度,吞吐量,芯片上自检结果和类似的标准,如本领域普通技术人员受益于本发明的描述所理解的。
接下来,在556,程序检查以确定实际的性能度量是否满足期望的或具体的一个标准或多于一个的标准。如果满足,在559,程序保持体偏置电平不变。另一方面,如果实际的性能度量不能满足指定的一个标准或多于一个的标准,在562,程序对更新的体偏置电平进行调整,编程或设置以减小实际的和期望的性能度量之间的差。然后程序转回到553以检查新的体偏置电平是否影响实际性能度量。如果需要,该程序可以无限继续或继续到一给定的次数。
除了检查性能度量(如,运行速度,吞吐量,功率消耗)以外,可以检查PLD内的一个或多于一个部分或电路的温度水平。图13显示考虑了温度水平,用来对体偏置电平进行调整,编程,或设置的过程或技术的流程图。如上所述,用适当的诸如控制电路136的电路(或电路和软件或固件的结合)可以实现该程序或技术。
在550,程序对PLD内的期望的晶体管,电路,块或类似元件的初始体偏置电平进行设置,编程或调整。在553,程序获得性能度量。性能度量可以包括如上所述的许多种参数或变量。性能度量的选择取决于诸如PLD实现的电路或系统的设计和性能规范的因素,如本领域普通技术人员理解的。
接下来,在553A,程序获得温度水平。如上所述,可以从PLD的各部分获得并检查一个或多于一个温度水平。在示例性实施例中,可以从任何识别的关键路径,或一般而言,可以从任何具有相对较高的功率消耗并因此具有相对较高的温度水平的电路中获得温度水平。
在556A中,程序检查以确定实际的性能度量是否满足期望的或具体的标准或多于一个的标准。而且,程序检查以确定实际的温度水平是否满足期望的或具体的标准或多于一个的标准(如,实际的温度是否落在规定的范围内或位于阈值水平之下等)。
如果两个条件都成立,在559中,程序保持体偏置电平不变。如果两个条件都不成立,则在562中,程序对新的或更新的体偏置电平进行调整,编程或设置以减小实际的和期望的性能度量之间的差,及实际的和期望的或规定的温度水平之间的差。然后程序转回到553以检查确定新设置的体偏置电平是否对实际的性能度量和温度度量产生影响。如果需要,程序可无限继续,或继续到一给定的次数。
在其它实施例中,响应或根据PLD实现的电路或系统的运行环境,可以对体偏置电平进行调整,编程或设置。例如,假设根据本发明使用PLD来实现通信网络控制器或布线器。在运行过程中,PLD可以获得关于控制器或布线器运行的环境的条件或特征的信息。
例如,PLD可获得此环境内的有关通信量水平的度量。取决于其运行环境的条件或特性,PLD可以对一个或多于一个晶体管的体偏置电平进行调整、编程或设置以使其性能与运行环境的需求相匹配。当然,以上的例子仅说明如何使用这种PLD。可以将本发明的构思应用到许多种电路,系统,和运行环境中,每一个都具有自己的特性或条件,如本领域普通技术人员受益于本发明的描述所理解的。
图14显示考虑了PLD运行的环境特征或条件,用来对体偏置电平进行调整,编程,或设置的过程或技术的流程图。如上所述,可以用诸如控制电路136的适当的电路(或电路和软件或固件的结合)来实现该程序或技术。
程序以如下方式运行。在550,对PLD的期望的一部分或几部分的体偏置电平进行编程或设置。接下来,在553B,可以获得PLD运行的环境、电路或系统的一个或多于一个条件或特性。所述条件或特性可以包括如上所述的许多项。
接着,在556B中,程序检查以确定运行环境的条件或特性是否能获得理想的更高的PLD性能水平。(例如,为了用以上的例子,如果网络交通水平相对很高,因此获得更理想的更高的PLD性能或吞吐量),如果没有,在559,程序保持体偏置电平不变。另一方面,如果需要较高的PLD性能或吞吐量,在562,程序对新的或更新的体偏置电平进行调整、编程或设置以减小实际的和期望的性能度量之间的差。然后,程序转回到553B,以检查新的体偏置电平是否影响了实际的性能度量。如果需要,该程序可无限继续或继续到一给定的次数。
根据本发明的构思,可以使用多种实施例,这取决于诸如对一特定的应用或实施方式的设计和性能规范的因素。例如,参考图14,注意其与结合图13描述的实施例类似,如果需要,可以把PLD的各部分的温度水平考虑进去。
换种方式讲,不仅可以检查是否需要较高的PLD性能或吞吐量,还可以检查PLD内的温度水平或功率密度是否安全或适当地提高了PLD的性能。图15显示用于实现这种实施例的程序。根据应用,可以把该程序重复期望的次数。如上所述,可以用诸如控制电路136的适当的电路(或电路和软件或固件的结合)来实现该程序或技术。
本公开的另一方面涉及通过测量PLD内的选定的电路或区域的延迟来对PLD内的电路的体偏置进行设置或调整。图16显示根据用户电路的运行延迟的延迟表示来调整用户电路中的晶体管的体偏置的电路布置图。更具体地讲,该电路布置包括PLD 103,PLD区606,用户电路609,延迟电路612和体偏置控制器603。
PLD区606包括用户电路609和延迟电路612。用户电路609可包括任何需要的电路,诸如用户实现的或指定的电路或部分指定电路(如,关键路径)。延迟电路612表示用户电路609的实际延迟或以用户电路609的实际延迟为模型。一般而言,该延迟表示用户电路609的延迟,且该延迟不必与用户电路609的延迟相同。例如,如果需要,延迟电路612可以与用户电路609具有相同的延迟、两倍于用户电路的延迟、或为用户电路的延迟的一半等等。
延迟电路612可通过信号链615(诸如导体的一个或多于一个耦连机构)耦连到体偏置控制器603。响应延迟电路612的实际延迟,体偏置控制器603把一个或多于一个体偏置信号提供给PLD区606中的至少一些晶体管(以下将详细描述)。
注意,图16显示两个体偏置信号Vb1和Vb2。体偏置信号对PLD区606中的至少一个晶体管的体偏置进行调整或设置。例如,一个体偏置信号(比如说Vb1)可以设置PMOS晶体管的体偏置,而另一信号(比如说,Vb2)可以设置NMOS晶体管的体偏置等等。通过调整用户电路609中的晶体管的体偏置,可以如上所述控制或调整其速度或泄漏。
图17显示根据本发明的说明性实施例的体偏置控制器的结构图。体偏置控制器603包括参考信号发生器625,延迟电路612,相位比较器637和体偏置发生器430。任选地,体偏置控制器603可包括MUX627,以下将详细描述。
参考信号发生器625从时钟信号628生成参考信号631。取决于根据电路的实际设计和实施方式的因素(即,延迟电路612的延迟和用户电路609的延迟的关系),如果需要,参考信号631可以与时钟信号628有特定的关系。例如,参考信号631的频率可为时钟信号628的频率的一半。参考信号631供给延迟电路612。响应该参考信号,延迟电路612产生输出信号634,其为参考信号631的延迟形式。
注意,如果需要,可任选使用MUX 627以能从若干时钟信号628A中选择一个。如下面详细描述的,体偏置控制器603使用时钟信号628的周期对参考电路的延迟进行测量,并使用测量的结果以生成体偏置信号并将其提供给PLD 103上的各电路。通过MUX 627的选择信号627A,可以从时钟信号628A中选择一个以使选择的时钟信号有期望的或特定的周期。在这种方式下,可以提高体偏置控制器603的灵活性。
相位比较器637将参考信号631和延迟电路612的输出信号634进行比较。根据两个信号的相对相位,比较器637产生输出信号640(加速)和输出信号643(减速)。输出信号640和643驱动体偏置发生器430。响应输出信号640和643,体偏置发生器430产生体偏置信号。在如图17所示的特定的例子中,体偏置发生器产生体偏置信号646(vb1)和体偏置信号649(vb2)。
图18显示根据本发明的示例性实施例的参考信号发生器的电路布置。参考信号发生器625包括触发器660,和反相器663和666。在此特定的例子中,参考信号发生器625由1:2分频电路构成。因此,触发器660和反相器663生成的信号的频率是时钟信号628的一半。反相器666对信号进行缓冲产生参考信号631。如本领域普通技术人员受益于本发明的描述所理解的,取决于电路配置及应用,如果需要,可以不需要使用反相器666或可以省略它。
图19描述了根据本发明的示例性实施例的相位比较器637的电路布置。比较器637包括异或(XOR)门675,和触发器681。延迟电路612的输出提供XOR门675的一个输入。参考信号631提供XOR门675的另一个输入。
XOR门675的输出提供触发器681的数据(D)输入。时钟信号628驱动触发器681和684。触发器681的Q输出提供输出信号640(加速/)。高输出信号表明电路运行非常慢(应该有更大的前向体偏置),反之亦然(低速信号表明电路应该有更大的反向体偏置)。
如果经过延迟电路612的延迟比时钟信号628的周期短,则用户电路运行太快。在此情况下,在时钟信号628的上升转换时刻,门675的输出应为逻辑零。相反地,如果经过延迟电路612的延迟比时钟信号628的周期长,则用户电路运行太慢。在这些情况下,在时钟信号628的上升转换时刻,XOR门675在其输出提供逻辑高电平信号。结果,触发器681提供加速的输出信号。
图20图解说明了根据本发明的示例性实施例的相位比较器的另一电路布置。类似于图19,图20中的比较器637包括异或门675,异或非(XNOR)门678和触发器681和684。此外,图20中的比较器637包括死区延迟电路690。死区延迟电路690能对PLD 103内的晶体管进行更稳定和更功率有效的体偏置调整。
更具体地讲,死区延迟电路690使XNOR门678接收参考信号634的延迟形式,而是接收参考信号634本身。在没有死区延迟电路690时,当比较器637试图寻找用户电路609的平衡的速度,泄漏,和功率消耗时,可重复交替地使能其加速和减速输出信号。重复地进行体调整(晶体管体的充电和放电)可能会引起功率消耗增加,因此使效率下降。
死区延迟电路690有助于减少比较器637的输出“振动”。换言之,在比较器637包括延迟电路612和死区延迟电路690(即,图20)的情况下,一个延迟电路会形成最小的延迟,两个延迟的和会形成最大的延迟。如果时钟信号628的周期超过两个延迟的和,则用户电路609运行速度太快。结果,比较器637使能减速输出信号。
相反,如果时钟周期比延迟电路612的延迟短,则用户电路609运行速度太慢。因此,比较器637使能加速输出信号。如果用户电路609在可接收的或规定的速度范围内运行(具有对应的泄漏和功率消耗水平),则比较器307不对其输出信号使能。因此,比较器637不在其输出信号之间重复交替变化,目的是获得用户电路609的可接受的运行速度。
注意延迟电路612可以提供固定的或可调的或可配置的延迟。在固定延迟情况下,延迟电路612包括具有期望或规定延迟的逻辑电路。例如,延迟电路612可以包括诸如门的组合逻辑元件的布置。可以用PLD 103的可编程资源实现延迟电路612,这些可编程资源诸如可编程逻辑106和可编程布线或互联109(见图1)。
可替代地,延迟电路612具有可配置的延迟。图21描述了用于本发明的示例性实施例中的可配置延迟电路。图21中的延迟电路612接收一组配置或调整信号703。信号703包括一组表示为B0到Bi.的位。配置信号703调整或配置延迟电路612的延迟。换言之,通过调整配置信号703的各位的值,可以调整延迟电路612将其输入信号进行延迟的时间量以生成其输出信号。
图22显示根据本发明的示例性实施例的用于可配置延迟电路的电路布置图。可配置延迟电路包括耦连的一组互联或布线延迟元件710的级联或串联,耦连的一组逻辑延迟元件719、MUX713和MUX725的级联或串联。
布线延迟元件710的输出信号构成了MUX713的输入信号。MUX713还接收一个或多于一个选择信号716。选择信号716的数量取决于布线延迟元件710的数量,如本领域普通技术人员受益于本发明的描述所理解的。通过选择信号716,可以选择地把布线延迟元件710中的一个元件的输出耦连到MUX713的输出。因此,可以把第一布线延迟元件的输入信号(参考信号631)配置一定量的延迟再从MUX713输出。
类似地,逻辑延迟元件719的输出信号作为MUX 725的输入信号。MUX 725还接收一个或多于一个选择信号728。选择信号728的数量取决于逻辑延迟元件719的数量,如本领域普通技术人员受益于本发明的描述所理解的。通过使用选择信号728,可以选择地把逻辑延迟元件719中的一个元件的输出耦连到MUX728的输出。相应地,可以把第一逻辑延迟元件(即MUX 713的输出信号)的输入信号配置一定量的延迟再从MUX725输出。
利用MUX713和MUX725,可以对可配置延迟电路的延迟进行配置,以构成需要数量的布线延迟元件710和逻辑延迟元件719的各个延迟的组合。因此,可以配置延迟电路(如,通过如上详细描述的PLD配置软件)以使其延迟表示用户电路的延迟。而且,如果需要可以以动态的方式(响应变化的运行环境,外部控制,用户控制等等)配置延迟。
图23显示用于根据本发明的示例性实施例的布线延迟元件710的电路布置。布线延迟元件710包括MUX735和反相器738。MUX735和反相器738一起表示PLD 103内的一般的布线机制(包括其相应的延迟)。MUX 735多个输入中的一个输入和其选择输入接地,以使MUX 735将其输入信号耦连到反相器738的输入。反相器738的输出信号由布线延迟元件738的输出信号构成。因此,布线延迟逻辑710的输出信号是其输入信号的取反的形式。
注意这些图(如图18-20,和22-24)仅是它们表示的各自电路的示例性的实施例。如本领域普通技术人员受益于本发明的描述所理解的,如果需要,可根据诸如设计和性能规范,使用其它的电路和实施例。
仅作为一个例子,可以不使用具有离散时间输出值的比较器,而使用具有连续时间输出值的比较器。而且,如果需要,可以使用多于一个的体偏置控制器603(和比较器),以给PLD 103的各部分提供可调的或可编程的体偏置信号。
作为另一个例子,如果需要,可以使用专用电路来实现这些图中的各个电路(如,体偏置控制器603),比如,可作为控制电路136(见图1)的一部分电路。作为一种可选方案,如果需要,可以在PLD 103(如,见图1、3和4)的可编程资源中实现那些电路,即在可编程逻辑106和可编程互联109中实现。如本领域普通技术人员受益于本发明的描述所理解的,可以使用这两种方法的结合。换言之,如果需要,可以部分使用PLD 103的可编程资源,部分使用专用电路来实现该电路。如果实现方式使用了PLD可编程资源,PLD CAD软件(如,见图6A和相应的描述)对PLD 103的可编程结构(可编程逻辑106和可编程互联109)内的各电路元件进行布局布线。
此外,PLD CAD对实现延迟电路112的电路元件(可组合的逻辑元件或布线延迟元件710和逻辑延迟元件719)进行选择,布局,和布线。PLD CAD软件选择电路元件以使延迟电路612表示用户电路609的延迟。PLD CAD软件对配置内存133(见图1)的位形式的值进行编程以接下来将其值提供给各种配置或编程信号,诸如MUX选择信号627A(见图17)和配置信号703(见图21)。在可替代的实施例中,用户可以把延迟电路的参数定义到模型用户电路609中。可替代的实施例给用户提供了将PLD的电路的性能与用户的规范或要求匹配的能力。
注意,除了可使用一个延迟电路612和一个比较器637外,如果需要,还可使用多个延迟电路612和/或多个比较器637。这样,可以提供由延迟链提供的延迟的更高的分辨率,并因此改进体偏置控制器的收敛(convergence)。
本公开的另一方面涉及直接对集成电路中的晶体管的性能(泄漏,速度和功率耗散)进行总体的调整或编程(不使用以包括那些晶体管的电路的性能为模型的延迟电路)。更具体地讲,可以利用泄漏锁定环(LLL),通过对晶体管的体偏置进行编程或调整来设置集成电路中的一个或多于一个晶体管的泄漏电平。
泄漏锁定环技术的优点是它能够直接控制电路的泄漏,而非利用将延迟表示为漏电流的度量来间接控制。该技术使用户能指定容许的或期望的泄漏电平并在性能,漏电流和功率耗散之间选择和指定期望做出的权衡。注意可以把泄漏锁定环的构思总体地应用到包括PLD的集成电路中。
图25显示根据本发明的说明性实施例的泄漏锁定环的结构图。泄漏锁定环包括参考晶体管760,泄漏测量电路766,加法器755和体偏置发生器430。一般而言,泄漏锁定环包括负反馈电路。它利用参考晶体管760来度量集成电路763中的晶体管的漏电流,并利用测量的漏电流来生成那些晶体管的体偏置信号。
注意,如果需要,可以设计出泄漏锁定环的其它实施例,泄漏锁定环测量其它的量,并对一个或多于一个晶体管的体偏置进行调整以满足一个或多于一个用户规定的标准,如本领域普通技术人员受益于本发明的描述所理解的。这种量的例子包括参考晶体管的阈值电压(VT),或参考晶体管的饱和电流(Idsat)。
参考晶体管760位于IC电路763中。注意,如果需要,可以用多于一个的参考晶体管760(如,用来测量IC电路763中的多于一个位置的漏电流)。IC电路763由集成电路内的电路构成,该集成电路的性能是人们寻求通过体偏置电平进行调整或编程的性能。例如,在集成电路是可编程逻辑器件的情况下,IC电路763可由用户电路609构成(例如参见图16)。
通常,应选择IC电路763在集成电路内包含足够小的部分电路,以使其中的晶体管(包括参考晶体管760)有相似的电特征,且条件的变化(如,过程,电压和温度或PVT)不会显著降低在整个IC电路763内对体偏置的编程。
泄漏测量电路766测量参考晶体管760的泄漏,且把泄漏信号769提供给加法器755。加法器755把泄漏信号769和参考泄漏信号(vref)772进行比较以生成误差信号778。体偏置发生器430利用误差信号生成一个或多于一个体偏置信号435。体偏置发生器430把体偏置信号435提供给IC电路760内的期望的晶体管。
注意,如果需要,可以用图25中的泄漏锁定环的可配置元件。例如,如果需要,可以使用可配置泄漏测量电路766(可配置增益),可配置加法器775(可配置增益)或单独的增益块跟随加法器775,可配置参考泄漏信号772(可配置电平),和/或体偏置发生器430的可配置增益。注意,如果需要,可以用PLD配置RAM位、PLD上的来自用户电路的信号、或这两者的结合来对这些可配置元件的每一个元件进行配置。
图26图解说明了根据本发明的另一示例性实施例的泄漏锁定环的结构图。图26中的泄漏锁定环包括参考晶体管760(其位于IC电路763内),泄漏测量电路766,参考值和比较器电路775,滤波器787和体偏置发生器430。
参考晶体管760和IC电路763与结合图25的以上描述的那些电路具有相同的或类似的配置。泄漏测量电路766通过控制信号781提供可编程的增益。更具体地讲,通过控制信号781,可以调整泄漏测量电路766施加到测量到的漏电流的增益以提供泄漏信号769。
参考值和比较器电路775对泄漏信号进行处理。通过控制信号784,如果需要,参考值和比较器电路775提供可编程参考值、可编程增益、或可提供两者。换言之,如果需要,通过控制信号784,可以调整参考泄漏信号(类似于图25中的参考泄漏信号772)、将泄漏信号769和参考泄漏信号进行比较以生成泄漏误差信号778的比较器(未清楚示出)的增益、或两者。如果需要,参考值和比较器电路775任选地包括将比较器的输出信号进行量化的量化器。
滤波器787过滤并处理泄漏误差信号778以产生过滤的信号790。体偏置发生器430以上述结合图25描述的方式运行,以给IC电路763提供一个或多于一个的体偏置信号。
注意,如果需要,可以从泄漏锁定环中省掉滤波器787。滤波器787具有期望的传递功能,诸如增益块或电路,低通传递功能或积分器晶体管功能。选择是否包含滤波器787及其特定的传递功能取决于设计和性能规范及考虑,如本领域普通技术人员受益于本发明的描述所理解的。
如果需要,可以以多种方式实现泄漏测量电路766及参考值和比较器775。对电路和实施方式的选择取决于多种因素(如,使用的集成电路技术、期望的性能特征等等),如本领域普通技术人员受益于本发明的描述所理解的。图27-29给出了一些例子。
图27描述了根据本发明的说明性实施例的泄漏测量电路766。泄漏测量电路766包括PMOS晶体管803和805、参考晶体管760和多个NMOS晶体管808A-808D。
参考晶体管760的栅极耦连到其源极,这会使参考晶体管760处于断开状态。因此,导通流过参考晶体管760的电流表示其漏电流。晶体管803串联耦连在参考晶体管760上。晶体管803的栅极耦连到其漏极(所谓的“二极管连接”配置)。
晶体管803和晶体管805形成电流镜。电流镜放大了漏电流(流过参考晶体管760的电流)。放大的水平取决于晶体管803和805的相对的尺寸,如本领域普通技术人员受益于本发明的描述所理解的。
由电流镜放大的电流流过处于导通状态的晶体管808A-808D中的任何一个晶体管。晶体管808A-808D提供可配置的输出电流(漏电流769)。通过施加适当电平的控制信号781,并选择地接通晶体管808A-808D,从而配置输出电流。通常,对于有i位的控制信号781,可以提供2i个参考电流电平。晶体管808A-808D可能具有选择的相关的尺寸,以响应控制信号781,提供期望的参考电流分布。
如本领域普通技术人员受益于本发明的描述所理解的,可以使用多个晶体管的数量和尺寸的多种组合。举一个例子,可以选择晶体管808A-808D的尺寸以使晶体管808B-808D中的每一个的宽度是前面的晶体管(二进制加权配置)的两倍。在示例性的实施例中,对于一个给定的通道长度,晶体管706的宽为200,晶体管803的宽为1,晶体管805的宽为50,晶体管808A的宽为1,晶体管808B-808D具有二进制加权的宽度(即,晶体管808B的宽为2,晶体管808C的宽为4,以此类推)。
图28显示根据本发明的说明性实施例的参考值和比较器电路775。该电路包括电阻818,电阻821和比较器815。电阻818和821形成电压分压器,它把泄漏参考信号772提供给比较器815。可以选择电阻818和821的值以提供期望的参考电平。比较器815把泄漏信号769和泄漏参考信号722进行比较,以提供泄漏误差信号778。如果需要,比较器815具有可配置的增益(响应控制信号784)。注意图28显示简化的比较器815,而且,如果需要,此比较器815可包括稳定的参考电路以提供相对准确的参考电压。
图29图解说明了根据本发明的另一示例性实施例的参考值和比较器电路775。该电路包括电阻824,电阻827,电阻830,比较器815A,比较器815B和计数器839。如果需要,比较器815A和/或815B可具有可配置的增益(响应控制信号784)。
图29中的参考值和比较器电路775类似于图28中的电路,但它用了两个参考信号以提供漏电流的一个下界和一个上界。更具体地讲,电阻824、827和830形成电压分压器,电压分压器将泄漏参考信号772A提供给比较器815A,将泄漏参考信号772B提供给比较器815B。泄漏参考信号772A和772B构成漏电流的边界。换言之,泄漏参考信号772A和772B提供漏电流的“视窗”值。可以选择电阻824、827和830的阻值以提供期望电平的漏电流参考信号772A和772B。
比较器815A把泄漏信号769和泄漏参考信号772A进行比较以提供下降信号833。下降信号833使计数器839向下计数(即,使集成电路的运行速度降低)。相反地,比较器815B把泄漏信号769和泄漏参考信号772B进行比较以提供上升信号836。上升信号836使计数器839向上计数(即,使集成电路的运行速度提高)。换言之,计数器与图26中的把积分器用作滤波器787是类似的。计数信号842提供计数器839的电流计数值。体偏置发生器430(图29中未示出)使用计数信号842以生成体偏置信号435(图29中未示出),并将此信号提供给IC电路763。
为了进一步对体偏置电平进行控制或编程,如果需要,集成电路内可以包含多于一个的体偏置发生器电路430。在这种配置中,每一体偏置发生器430向集成电路内的电路(诸如IC电路763)或区域提供一个或多于一个体偏置信号435。
因此,可以对体偏置发生器430进行编程以为集成电路的每一电路或每一区域提供特定的或个性化的体偏置信号。作为可选的方法,用户可以对体偏置发生器430进行指定或编程,以生成集成电路的给定的电路或区域的期望数量或电平的体偏置信号435。具体调整或编程的体偏置电平能在集成电路的每一电路或每一区域的性能、泄漏和功率耗散之间进行权衡。结果,用户用更精细的粒度,增强了对集成电路的各部分或各电路的性能和功率之间的权衡的控制。
图30描述了根据本发明的示例性实施例用于给集成电路IC提供多个体偏置电路的电路布置。此电路布置包括集成电路区870,一组IC电路763A-763C,相应数量的MUX 875A-875C,和一组体偏置发生器435A-435C。
每一体偏置发生器430A-430C生成一个或多于一个体偏置信号,表示为体偏置信号435A-435C,并将这些信号提供给每一MUX875A-875C。响应选择信号880,每一MUX 875A-875C从体偏置发生器435A-435C中的一个选择体偏置信号,并将选择的体偏置信号提供给对应的集成电路763A-763C中的一个集成电路。
除了用MUX 875A-875C外,还可以使用可用可编程非易失耦连机构(诸如熔丝)。在这种情况下,可以在制造之后,测试个别集成电路以确定其特征(如,泄漏电平)并对非易失耦连机构进行编程以把期望的或适当的体偏置信号提供给集成电路的各个区或各个电路。通过包含若干体偏置发生器,可以给用户对那些区域或电路的体偏置电平独立进行编程的能力。
在另一个实施例中,可以用储存在非易失内存(诸如与集成电路的特征相关的值(如泄漏电平)或集成电路内的特定的区或电路)的值和用户配置的或用户指定的值相结合以生成体偏置电平。该方案既考虑了集成电路的特征又考虑了用户指定的参数,以在性能,功率耗散和泄漏电平之间做出权衡。
如果是PLD,则PLD区780由PLD区606(例如,见图25)构成,每一IC电路763可以对应于用户电路609。可以给PLD 103中的每一用户电路609(例子,见图25)提供一个或多于一个调整的或编程的体偏置信号435。在另一个实施例中,IC电路763可以对应于物理上相近的一组PLD电路(可编程逻辑106和可编程互联109),或选择的一组可编程逻辑106和可编程互联109(如,图3中排列成矩形阵列的一组可编程逻辑电路106)。
图30图解说明了体偏置发生器430A-430C作为提供体偏置信号435A-435C的电路。在PLD的情况下,一般可以用体偏置控制器603A-603C(如,见图25),而不使用体偏置发生器430A-430C。
注意图30图解说明的IC区780包括IC电路763A-763C,如本领域普通技术人员受益于本发明的描述所理解的,相反的情况也可能存在(即,每一IC电路可包括一个或多于一个IC区870)。
还应注意可以把以上所述的性能调整构思和其它的技术结合起来以降低功率密度或使他们保持在安全范围内。例如,可以接通或关断PLD内的各电路(见图9和相应描述)以在期望的性能水平及安全性或规定的功率消耗水平或功率密度之间做出权衡。其它的修改和实施例对参考了本发明的描述的本领域普通技术人员是很明显的。
通常,应该注意如果需要可以把本发明的构思有效地应用到各种可编程逻辑电路或本领域叫做其它名称的集成电路,如本领域普通技术人员受益于本发明的描述所理解的。这类电路包括被称为复杂可编程逻辑器件(CPLD)、可编程门阵列(PGA)和现场可编程门阵列(FPGA)的器件。
本领域普通技术人员在参考这些图后会注意到所示的各个块主要描述概念上的功能和信号流。实际的电路实施方式可能包含或可能不包含各功能块的个别的可识别的硬件,且可能使用或可能不使用所示的特定电路。
例如,如果需要,可以把各个块的功能性组合到一个电路块中。而且,如果需要,可以在几个电路块中实现单个块的功能性。电路实施方式的选择取决于诸如一个给定的实施方式的特定设计规格和性能规范,如本领域普通技术人员受益于本发明的描述所理解的。
除了此处描述的本发明的实施例以外的其它修改例和可替代的实施例,对从本发明的描述中获益的本领域普通技术人员是明显的。因此,此描述教给本领域技术人员实施本发明的方式,而且,此描述仅被认为是说明性的。
所显示和描述的本发明的形式应该被认为目前优选的或示例性的实施例。本领域技术人员可以对各部分的形状,尺寸和布置进行修改,而不偏离此文描述的本发明的范围。例如,本领域技术人员可用等同的元件替代此处图解说明和描述的元件。而且,本领域技术人员在参考本发明的描述后可把本发明的一些特征独立于其它特征使用,而不偏离本发明范围。

Claims (10)

1.一种利用可编程逻辑器件即PLD来实现电子电路的方法,所述方法包括:
把所述电子电路映射到所述PLD内的功能资源以生成一个设计;
在不考虑所生成的设计的至少一部分的情况下,通过将参考信号的相位与延迟信号进行比较,从表示信号传播延迟的延迟得到体偏置值;和
把生成的所述设计中的所述至少一部分内的至少一个晶体管的体偏置电平编程为所述体偏置值。
2.根据权利要求1所述的方法,其中把所述电子电路映射成所述PLD内的功能资源进一步包括把所述电子电路的至少一部分映射到所述PLD内的可编程逻辑资源。
3.根据权利要求1所述的方法,其中从表示生成的所述设计中的至少一部分内的信号传播延迟的延迟得到体偏置值进一步包括:把所述延迟和已知的时间周期进行比较。
4.根据权利要求3所述的方法,其中所述延迟是可调的。
5.根据权利要求1所述的方法,其中所述延迟由在所述PLD内实现的逻辑电路产生。
6.一种用于实现电子电路的可编程逻辑器件即PLD,所述PLD包括:
在所述PLD内的功能资源,其中所述电子电路能够被映射到所述功能资源以生成一个设计;
体偏置发生器,其用于在不考虑所生成的设计的至少一部分的情况下,通过将参考信号的相位与延迟信号进行比较,从表示信号传播延迟的延迟生成体偏置值;和
在生成的所述设计中的所述至少一部分中的至少一个晶体管,所述至少一个晶体管具有由所述体偏置发生器生成的所述体偏置值。
7.根据权利要求6所述的PLD,其中所述电子电路的至少一部分被映射到所述PLD内的可编程逻辑资源。
8.根据权利要求6所述的PLD,其中所述体偏置发生器通过将所述延迟和已知的时间周期进行比较来生成所述体偏置值。
9.根据权利要求8所述的PLD,其中所述延迟是可调的。
10.根据权利要求6所述的PLD,其中所述延迟由在所述PLD内实现的逻辑电路产生。
CN201110166824.2A 2004-05-19 2005-05-18 用于调整集成电路性能的设备和方法 Expired - Fee Related CN102361449B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US10/848,953 US7348827B2 (en) 2004-05-19 2004-05-19 Apparatus and methods for adjusting performance of programmable logic devices
US10/848,953 2004-05-19
US10/865,402 US7129745B2 (en) 2004-05-19 2004-06-10 Apparatus and methods for adjusting performance of integrated circuits
US10/865,402 2004-06-10

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
CN2005800235701A Division CN1985440B (zh) 2004-05-19 2005-05-18 用于调整集成电路性能的设备和方法

Publications (2)

Publication Number Publication Date
CN102361449A CN102361449A (zh) 2012-02-22
CN102361449B true CN102361449B (zh) 2014-11-26

Family

ID=34981317

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201110166824.2A Expired - Fee Related CN102361449B (zh) 2004-05-19 2005-05-18 用于调整集成电路性能的设备和方法

Country Status (5)

Country Link
US (3) US7129745B2 (zh)
EP (1) EP1776759B1 (zh)
JP (1) JP2007538474A (zh)
CN (1) CN102361449B (zh)
WO (1) WO2005116878A2 (zh)

Families Citing this family (95)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7180322B1 (en) 2002-04-16 2007-02-20 Transmeta Corporation Closed loop feedback control of integrated circuits
US7849332B1 (en) 2002-11-14 2010-12-07 Nvidia Corporation Processor voltage adjustment system and method
US7886164B1 (en) 2002-11-14 2011-02-08 Nvidia Corporation Processor temperature adjustment system and method
US7882369B1 (en) 2002-11-14 2011-02-01 Nvidia Corporation Processor performance adjustment system and method
US7205758B1 (en) 2004-02-02 2007-04-17 Transmeta Corporation Systems and methods for adjusting threshold voltage
US7949864B1 (en) * 2002-12-31 2011-05-24 Vjekoslav Svilan Balanced adaptive body bias control
US7649402B1 (en) 2003-12-23 2010-01-19 Tien-Min Chen Feedback-controlled body-bias voltage source
US7816742B1 (en) * 2004-09-30 2010-10-19 Koniaris Kleanthes G Systems and methods for integrated circuits comprising multiple body biasing domains
US7859062B1 (en) 2004-02-02 2010-12-28 Koniaris Kleanthes G Systems and methods for integrated circuits comprising multiple body biasing domains
FR2868181B1 (fr) * 2004-03-29 2006-05-26 Soisic Sa Procede de simulation d'un circuit a l'etat stationnaire
US7400167B2 (en) * 2005-08-16 2008-07-15 Altera Corporation Apparatus and methods for optimizing the performance of programmable logic devices
US7348827B2 (en) * 2004-05-19 2008-03-25 Altera Corporation Apparatus and methods for adjusting performance of programmable logic devices
US7112997B1 (en) * 2004-05-19 2006-09-26 Altera Corporation Apparatus and methods for multi-gate silicon-on-insulator transistors
US7326972B2 (en) * 2004-06-30 2008-02-05 Intel Corporation Interconnect structure in integrated circuits
US7509504B1 (en) 2004-09-30 2009-03-24 Transmeta Corporation Systems and methods for control of integrated circuits comprising body biasing systems
WO2006050747A1 (en) * 2004-11-10 2006-05-18 Freescale Semiconductor, Inc. Apparatus and method for controlling voltage and frequency using multiple reference circuits
US7739531B1 (en) 2005-03-04 2010-06-15 Nvidia Corporation Dynamic voltage scaling
US7394708B1 (en) * 2005-03-18 2008-07-01 Xilinx, Inc. Adjustable global tap voltage to improve memory cell yield
US7295036B1 (en) * 2005-11-30 2007-11-13 Altera Corporation Method and system for reducing static leakage current in programmable logic devices
US7936184B2 (en) * 2006-02-24 2011-05-03 Altera Corporation Apparatus and methods for adjusting performance of programmable logic devices
US8010931B1 (en) * 2006-02-24 2011-08-30 University Of Southern California Tool for a configurable integrated circuit that uses determination of dynamic power consumption
WO2007096913A1 (en) * 2006-02-24 2007-08-30 Stmicroelectronics S.R.L. Method for designing a complex integrated electronic circuit architecture
US7330049B2 (en) * 2006-03-06 2008-02-12 Altera Corporation Adjustable transistor body bias generation circuitry with latch-up prevention
US7495471B2 (en) * 2006-03-06 2009-02-24 Altera Corporation Adjustable transistor body bias circuitry
US7355437B2 (en) 2006-03-06 2008-04-08 Altera Corporation Latch-up prevention circuitry for integrated circuits with transistor body biasing
US7486106B1 (en) * 2006-03-24 2009-02-03 Altera Corporation CPLD for multi-wire keyboard decode with timed power control circuit
US7463057B1 (en) 2006-03-29 2008-12-09 Altera Corporation Integrated circuits with adjustable memory element power supplies
US7891865B2 (en) * 2006-05-03 2011-02-22 International Business Machines Corporation Structure for bolometric on-chip temperature sensor
JP2007311535A (ja) * 2006-05-18 2007-11-29 Matsushita Electric Ind Co Ltd セル配置方法
KR100784908B1 (ko) * 2006-08-11 2007-12-11 주식회사 하이닉스반도체 전압 조절 장치
US20080180129A1 (en) * 2006-08-31 2008-07-31 Actel Corporation Fpga architecture with threshold voltage compensation and reduced leakage
US7388531B1 (en) * 2006-09-26 2008-06-17 Marvell International Ltd. Current steering DAC using thin oxide devices
US7826549B1 (en) * 2006-11-02 2010-11-02 Sudhir Aggarwal Wireless communication transmitter and system having the same
US8705300B1 (en) * 2007-02-27 2014-04-22 Altera Corporation Memory array circuitry with stability enhancement features
US7889751B2 (en) * 2007-03-06 2011-02-15 Sudhir Aggarwal Low power wireless communication system
US9134782B2 (en) 2007-05-07 2015-09-15 Nvidia Corporation Maintaining optimum voltage supply to match performance of an integrated circuit
JP5162956B2 (ja) * 2007-05-11 2013-03-13 ソニー株式会社 半導体集積回路およびその動作方法
US7716615B2 (en) * 2007-08-31 2010-05-11 International Business Machines Corporation Redundant critical path circuits to meet performance requirement
US7675317B2 (en) * 2007-09-14 2010-03-09 Altera Corporation Integrated circuits with adjustable body bias and power supply circuitry
US8949763B1 (en) * 2007-10-02 2015-02-03 Altera Corporation Apparatus and methods for optimization of integrated circuits
US7890893B2 (en) * 2008-01-10 2011-02-15 International Business Machines Corporation Design structure for semiconductor on-chip repair scheme for negative bias temperature instability
US7679537B2 (en) * 2008-01-21 2010-03-16 Honeywell International Inc. Precision microcontroller-based pulse width modulation digital-to-analog conversion circuit and method
US8370663B2 (en) 2008-02-11 2013-02-05 Nvidia Corporation Power management with dynamic frequency adjustments
US20090267588A1 (en) * 2008-04-23 2009-10-29 Schmitz Michael J Method and apparatus to dynamically control impedance to maximize power supply
US8102187B2 (en) * 2008-05-02 2012-01-24 Texas Instruments Incorporated Localized calibration of programmable digital logic cells
US20090292849A1 (en) * 2008-05-22 2009-11-26 Khoo Ken Adaptable pci express controller core
US20090302887A1 (en) * 2008-06-10 2009-12-10 Tad Kwasniewski Apparatus for power consumption reduction in programmable logic devices and associated methods
US8099704B1 (en) * 2008-07-28 2012-01-17 Altera Corporation Performance improvements in an integrated circuit by selectively applying forward bias voltages
US7639041B1 (en) * 2008-07-28 2009-12-29 Altera Corporation Hotsocket-compatible body bias circuitry with power-up current reduction capabilities
US7952423B2 (en) * 2008-09-30 2011-05-31 Altera Corporation Process/design methodology to enable high performance logic and analog circuits using a single process
WO2010052607A1 (en) * 2008-11-05 2010-05-14 Nxp B.V. Body biasing
US7911261B1 (en) 2009-04-13 2011-03-22 Netlogic Microsystems, Inc. Substrate bias circuit and method for integrated circuit device
US8072237B1 (en) 2009-06-04 2011-12-06 Altera Corporation Computer-aided design tools and memory element power supply circuitry for selectively overdriving circuit blocks
US8181147B2 (en) * 2009-06-29 2012-05-15 Lsi Corporation Parametric data-based process monitoring for adaptive body bias control
KR101695493B1 (ko) 2009-07-15 2017-01-11 엘지전자 주식회사 조리기기 및 제어방법
JP5529450B2 (ja) * 2009-07-15 2014-06-25 スパンション エルエルシー ボディバイアス制御回路及びボディバイアス制御方法
US9256265B2 (en) 2009-12-30 2016-02-09 Nvidia Corporation Method and system for artificially and dynamically limiting the framerate of a graphics processing unit
US9830889B2 (en) 2009-12-31 2017-11-28 Nvidia Corporation Methods and system for artifically and dynamically limiting the display resolution of an application
US8839006B2 (en) 2010-05-28 2014-09-16 Nvidia Corporation Power consumption reduction systems and methods
US8907678B2 (en) * 2010-12-10 2014-12-09 Raritan Americas, Inc. Methods and apparatus for sensing ground leakage and automated self testing thereof
US9495503B2 (en) * 2011-04-06 2016-11-15 Qualcomm Incorporated Method and apparatus to enable a selective push process during manufacturing to improve performance of a selected circuit of an integrated circuit
US8525245B2 (en) 2011-04-21 2013-09-03 International Business Machines Corporation eDRAM having dynamic retention and performance tradeoff
US8492207B2 (en) 2011-04-21 2013-07-23 International Business Machines Corporation Implementing eFuse circuit with enhanced eFuse blow operation
US8816470B2 (en) 2011-04-21 2014-08-26 International Business Machines Corporation Independently voltage controlled volume of silicon on a silicon on insulator chip
US8456187B2 (en) 2011-04-21 2013-06-04 International Business Machines Corporation Implementing temporary disable function of protected circuitry by modulating threshold voltage of timing sensitive circuit
KR101946360B1 (ko) 2011-05-16 2019-02-11 가부시키가이샤 한도오따이 에네루기 켄큐쇼 프로그래머블 로직 디바이스
US8779799B2 (en) 2011-05-19 2014-07-15 Semiconductor Energy Laboratory Co., Ltd. Logic circuit
JP5892852B2 (ja) 2011-05-20 2016-03-23 株式会社半導体エネルギー研究所 プログラマブルロジックデバイス
US8669781B2 (en) 2011-05-31 2014-03-11 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device
KR101318423B1 (ko) * 2011-06-24 2013-10-15 위니맥스 주식회사 정수필터 어셈블리 및 이를 구비한 냉장고 및 정수기
US8416009B2 (en) 2011-07-13 2013-04-09 International Business Machines Corporation Solutions for controlling bulk bias voltage in an extremely thin silicon-on-insulator (ETSOI) integrated circuit chip
US8633731B1 (en) 2011-08-09 2014-01-21 Altera Corporation Programmable integrated circuit with thin-oxide passgates
US8698516B2 (en) * 2011-08-19 2014-04-15 Altera Corporation Apparatus for improving performance of field programmable gate arrays and associated methods
US8461869B1 (en) * 2011-08-19 2013-06-11 Altera Corporation Apparatus for configuring performance of field programmable gate arrays and associated methods
US8730713B2 (en) 2011-09-12 2014-05-20 Qualcomm Incorporated SRAM cell writability
US9436250B1 (en) 2011-12-19 2016-09-06 Altera Corporation Apparatus for improving power consumption of communication circuitry and associated methods
US8970289B1 (en) 2012-01-23 2015-03-03 Suvolta, Inc. Circuits and devices for generating bi-directional body bias voltages, and methods therefor
US9201994B1 (en) * 2013-03-13 2015-12-01 Calypto Design Systems, Inc. Flexible power query interfaces and infrastructures
US9100002B2 (en) * 2013-09-12 2015-08-04 Micron Technology, Inc. Apparatus and methods for leakage current reduction in integrated circuits
US9444460B1 (en) 2013-11-22 2016-09-13 Altera Corporation Integrated circuits with programmable overdrive capabilities
US9177096B2 (en) * 2014-03-26 2015-11-03 Freescale Semiconductor, Inc. Timing closure using transistor sizing in standard cells
KR102140787B1 (ko) * 2014-07-07 2020-08-03 삼성전자주식회사 저항성 메모리 장치, 저항성 메모리 시스템 및 저항성 메모리 장치의 동작방법
US9628081B2 (en) * 2014-08-12 2017-04-18 Xilinx, Inc. Interconnect circuits having low threshold voltage P-channel transistors for a programmable integrated circuit
US9496871B1 (en) * 2014-08-18 2016-11-15 Xilinx, Inc. Programmable power reduction technique using transistor threshold drops
US9893725B2 (en) * 2014-08-27 2018-02-13 Nxp Usa, Inc. Low side and high side drivers for a motor
US9361994B1 (en) * 2014-09-04 2016-06-07 Cypress Semiconductor Corporation Method of increasing read current window in non-volatile memory
CN105677932A (zh) * 2014-11-19 2016-06-15 复旦大学 用于带后硅可调寄存器电路的统计时序分析方法
US9625924B2 (en) * 2015-09-22 2017-04-18 Qualcomm Incorporated Leakage current supply circuit for reducing low drop-out voltage regulator headroom
JP2017079037A (ja) * 2015-10-22 2017-04-27 富士通株式会社 演算装置及びその方法
US9678150B2 (en) * 2015-10-27 2017-06-13 Xilinx, Inc. Methods and circuits for debugging circuit designs
US9934341B2 (en) 2015-11-11 2018-04-03 International Business Machines Corporation Simulation of modifications to microprocessor design
US10121534B1 (en) 2015-12-18 2018-11-06 Altera Corporation Integrated circuit with overdriven and underdriven pass gates
US10591946B2 (en) * 2017-07-13 2020-03-17 Realtek Semiconductor Corp. Electronic device, power circuit applied to the electronic device, and associated method
IT201900001941A1 (it) * 2019-02-11 2020-08-11 St Microelectronics Des & Appl Circuito con l'utilizzo di mosfet e procedimento corrispondente
CN115699010A (zh) * 2020-06-09 2023-02-03 三菱电机株式会社 学习装置、推理装置以及可编程逻辑器件的开发用工具链

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6038194A (en) * 1998-12-28 2000-03-14 Philips Electronics North America Corporation Memory decoder with zero static power
CN1414705A (zh) * 2001-10-10 2003-04-30 阿尔特拉公司 用于可编程逻辑设备中绝缘体外延硅晶体管的设备和方法

Family Cites Families (69)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS601071A (ja) 1983-06-18 1985-01-07 三菱電機株式会社 電気機関車の中間台車用揺れ枕装置
JPS61160960A (ja) * 1985-01-08 1986-07-21 Matsushita Electric Ind Co Ltd 半導体集積回路
JPH0335473A (ja) 1989-06-30 1991-02-15 Mitsubishi Electric Corp 磁気記録再生装置
JPH03269248A (ja) 1990-03-19 1991-11-29 Fujitsu Ltd 物体検査装置
JPH04247653A (ja) * 1991-02-04 1992-09-03 Ricoh Co Ltd 半導体集積回路装置の遅延補正装置
JP3184265B2 (ja) * 1991-10-17 2001-07-09 株式会社日立製作所 半導体集積回路装置およびその制御方法
DE4221575C2 (de) 1992-07-01 1995-02-09 Ibm Integrierter CMOS-Halbleiterschaltkreis und Datenverarbeitungssystem mit integriertem CMOS-Halbleiterschaltkreis
US5341034A (en) 1993-02-11 1994-08-23 Benchmarq Microelectronics, Inc. Backup battery power controller having channel regions of transistors being biased by power supply or battery
KR0169157B1 (ko) 1993-11-29 1999-02-01 기다오까 다까시 반도체 회로 및 mos-dram
US5422591A (en) 1994-01-03 1995-06-06 Sgs-Thomson Microelectronics, Inc. Output driver circuit with body bias control for multiple power supply operation
US5689209A (en) * 1994-12-30 1997-11-18 Siliconix Incorporated Low-side bidirectional battery disconnect switch
JP3641511B2 (ja) * 1995-06-16 2005-04-20 株式会社ルネサステクノロジ 半導体装置
US5689144A (en) 1996-05-15 1997-11-18 Siliconix Incorporated Four-terminal power MOSFET switch having reduced threshold voltage and on-resistance
US6118302A (en) 1996-05-28 2000-09-12 Altera Corporation Interface for low-voltage semiconductor devices
KR100189752B1 (ko) 1996-06-01 1999-06-01 구본준 독립적인 웰 바이어스 전압을 가진 전압 펌프회로
JP3264622B2 (ja) 1996-07-16 2002-03-11 株式会社東芝 半導体装置
US5880620A (en) * 1997-04-22 1999-03-09 Xilinx, Inc. Pass gate circuit with body bias control
US6411156B1 (en) 1997-06-20 2002-06-25 Intel Corporation Employing transistor body bias in controlling chip parameters
US6593799B2 (en) 1997-06-20 2003-07-15 Intel Corporation Circuit including forward body bias from supply voltage and ground nodes
US5841694A (en) 1997-07-30 1998-11-24 Programmable Silicon Solutions High performance programmable interconnect
US6535034B1 (en) 1997-07-30 2003-03-18 Programmable Silicon Solutions High performance integrated circuit devices adaptable to use lower supply voltages with smaller device geometries
US6097242A (en) 1998-02-26 2000-08-01 Micron Technology, Inc. Threshold voltage compensation circuits for low voltage and low power CMOS integrated circuits
US6147508A (en) 1998-08-20 2000-11-14 International Business Machines Corp. Power consumption control mechanism and method therefor
JP4384759B2 (ja) * 1998-09-14 2009-12-16 テキサス インスツルメンツ インコーポレイテツド Mos集積回路の特性を改良するためのボディ電圧のパルス動作
US6826730B2 (en) 1998-12-15 2004-11-30 Texas Instruments Incorporated System and method for controlling current in an integrated circuit
US6484265B2 (en) 1998-12-30 2002-11-19 Intel Corporation Software control of transistor body bias in controlling chip parameters
US6271713B1 (en) 1999-05-14 2001-08-07 Intel Corporation Dynamic threshold source follower voltage driver circuit
JP2001034040A (ja) 1999-07-21 2001-02-09 Sharp Corp 接触帯電装置ならびにそれを備えたプロセスカートリッジおよび画像形成装置
JP3928837B2 (ja) 1999-09-13 2007-06-13 株式会社ルネサステクノロジ 半導体集積回路装置
US6448840B2 (en) 1999-11-30 2002-09-10 Intel Corporation Adaptive body biasing circuit and method
KR100347140B1 (ko) 1999-12-31 2002-08-03 주식회사 하이닉스반도체 전압 변환 회로
KR20010077099A (ko) 2000-01-31 2001-08-17 윤종용 자기 정렬된 웰 바이어스 영역을 갖는 모스 트랜지스터 및그 제조방법
US20020140496A1 (en) 2000-02-16 2002-10-03 Ali Keshavarzi Forward body biased transistors with reduced temperature
JP3762856B2 (ja) 2000-05-30 2006-04-05 株式会社ルネサステクノロジ 半導体集積回路装置
US6549032B1 (en) 2000-08-22 2003-04-15 Altera Corporation Integrated circuit devices with power supply detection circuitry
TW463466B (en) 2000-08-30 2001-11-11 Silicon Integrated Sys Corp Current A/D converter and the unit cell thereof
TW448617B (en) 2000-09-15 2001-08-01 Silicon Integrated Sys Corp N-well bias preset circuit for CMOS and the method thereof
US6343044B1 (en) 2000-10-04 2002-01-29 International Business Machines Corporation Super low-power generator system for embedded applications
US6744301B1 (en) * 2000-11-07 2004-06-01 Intel Corporation System using body-biased sleep transistors to reduce leakage power while minimizing performance penalties and noise
JP2002164544A (ja) 2000-11-28 2002-06-07 Sony Corp 半導体装置
KR100385230B1 (ko) 2000-12-28 2003-05-27 삼성전자주식회사 불휘발성 반도체 메모리 장치의 프로그램 방법
US6373281B1 (en) 2001-01-22 2002-04-16 International Business Machines Corporation Tri-state dynamic body charge modulation for sensing devices in SOI RAM applications
US6429726B1 (en) 2001-03-27 2002-08-06 Intel Corporation Robust forward body bias generation circuit with digital trimming for DC power supply variation
US6469572B1 (en) 2001-03-28 2002-10-22 Intel Corporation Forward body bias generation circuits based on diode clamps
US6670655B2 (en) 2001-04-18 2003-12-30 International Business Machines Corporation SOI CMOS device with body to gate connection
US6605981B2 (en) 2001-04-26 2003-08-12 International Business Machines Corporation Apparatus for biasing ultra-low voltage logic circuits
JP2002343083A (ja) 2001-05-18 2002-11-29 Mitsubishi Electric Corp 半導体装置
US6554249B2 (en) 2001-05-30 2003-04-29 Fmc Technologies, Inc. Plug valve having seal segments with booster springs
US6763484B2 (en) 2001-06-28 2004-07-13 Intel Corporation Body bias using scan chains
US6483375B1 (en) 2001-06-28 2002-11-19 Intel Corporation Low power operation mechanism and method
US6518826B2 (en) 2001-06-28 2003-02-11 Intel Corporation Method and apparatus for dynamic leakage control
US6559702B2 (en) 2001-07-19 2003-05-06 Texas Instruments Incorporated Bias generator and method for improving output skew voltage
US6529421B1 (en) * 2001-08-28 2003-03-04 Micron Technology, Inc. SRAM array with temperature-compensated threshold voltage
US6621325B2 (en) 2001-09-18 2003-09-16 Xilinx, Inc. Structures and methods for selectively applying a well bias to portions of a programmable device
JP2003110028A (ja) * 2001-10-01 2003-04-11 Hitachi Ltd データ処理装置
US6630700B2 (en) 2001-10-05 2003-10-07 Motorola, Inc. NMOS circuit in isolated wells that are connected by a bias stack having pluralirty of diode elements
JP4090231B2 (ja) 2001-11-01 2008-05-28 株式会社ルネサステクノロジ 半導体集積回路装置
JP2003168735A (ja) 2001-11-30 2003-06-13 Hitachi Ltd 半導体集積回路装置
US6614301B2 (en) 2002-01-31 2003-09-02 Intel Corporation Differential amplifier offset adjustment
US20030151428A1 (en) 2002-02-12 2003-08-14 Ouyang Paul H. 5 Volt tolerant input/output buffer
US6525559B1 (en) 2002-04-22 2003-02-25 Pericom Semiconductor Corp. Fail-safe circuit with low input impedance using active-transistor differential-line terminators
JP4401621B2 (ja) 2002-05-07 2010-01-20 株式会社日立製作所 半導体集積回路装置
US6870213B2 (en) 2002-05-10 2005-03-22 International Business Machines Corporation EEPROM device with substrate hot-electron injector for low-power
US6731158B1 (en) * 2002-06-13 2004-05-04 University Of New Mexico Self regulating body bias generator
EP1529343A1 (en) * 2002-08-08 2005-05-11 Koninklijke Philips Electronics N.V. Circuit and method for controlling the threshold voltage of transistors
US6809550B2 (en) * 2002-09-20 2004-10-26 Atmel Corporation High speed zero DC power programmable logic device (PLD) architecture
US6784722B2 (en) 2002-10-09 2004-08-31 Intel Corporation Wide-range local bias generator for body bias grid
US7120804B2 (en) * 2002-12-23 2006-10-10 Intel Corporation Method and apparatus for reducing power consumption through dynamic control of supply voltage and body bias including maintaining a substantially constant operating frequency
US20060132218A1 (en) * 2004-12-20 2006-06-22 Tschanz James W Body biasing methods and circuits

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6038194A (en) * 1998-12-28 2000-03-14 Philips Electronics North America Corporation Memory decoder with zero static power
CN1414705A (zh) * 2001-10-10 2003-04-30 阿尔特拉公司 用于可编程逻辑设备中绝缘体外延硅晶体管的设备和方法

Also Published As

Publication number Publication date
US7573317B2 (en) 2009-08-11
WO2005116878A2 (en) 2005-12-08
US20050280437A1 (en) 2005-12-22
US20090289696A1 (en) 2009-11-26
US20070069764A1 (en) 2007-03-29
CN102361449A (zh) 2012-02-22
US7129745B2 (en) 2006-10-31
WO2005116878A3 (en) 2006-04-27
EP1776759A2 (en) 2007-04-25
JP2007538474A (ja) 2007-12-27
US8138786B2 (en) 2012-03-20
EP1776759B1 (en) 2017-06-07

Similar Documents

Publication Publication Date Title
CN102361449B (zh) 用于调整集成电路性能的设备和方法
CN1985440B (zh) 用于调整集成电路性能的设备和方法
US7405589B2 (en) Apparatus and methods for power management in integrated circuits
Tschanz et al. Adaptive body bias for reducing impacts of die-to-die and within-die parameter variations on microprocessor frequency and leakage
EP1670140A2 (en) Apparatus and method for adjusting performance characteristics of programmable logic devices
US6983432B2 (en) Circuit and method for modeling I/O
Chang et al. A review of 0.18-/spl mu/m full adder performances for tree structured arithmetic circuits
US7112997B1 (en) Apparatus and methods for multi-gate silicon-on-insulator transistors
US7696811B2 (en) Methods and circuits to reduce threshold voltage tolerance and skew in multi-threshold voltage applications
US20080246533A1 (en) Methods and circuits to reduce threshold voltage tolerance and skew in multi-threshold voltage applications
JP4905354B2 (ja) 電源電圧調整装置
US7936184B2 (en) Apparatus and methods for adjusting performance of programmable logic devices
US20090302887A1 (en) Apparatus for power consumption reduction in programmable logic devices and associated methods
Nezamfar et al. Energy–performance tunable logic
Vamos et al. Fpga power model for minimizing the thermal dissipation
Rius et al. An activity monitor for power/performance tuning of CMOS digital circuits
Bailey An ultra-low power methodology for incorporating MTCMOS into delay insensitive asynchronous circuits

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20141126

Termination date: 20170518

CF01 Termination of patent right due to non-payment of annual fee