CN1087750A - 激光加工方法 - Google Patents

激光加工方法 Download PDF

Info

Publication number
CN1087750A
CN1087750A CN93109565A CN93109565A CN1087750A CN 1087750 A CN1087750 A CN 1087750A CN 93109565 A CN93109565 A CN 93109565A CN 93109565 A CN93109565 A CN 93109565A CN 1087750 A CN1087750 A CN 1087750A
Authority
CN
China
Prior art keywords
laser
film
selecting
silicon
family element
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN93109565A
Other languages
English (en)
Other versions
CN1076864C (zh
Inventor
山崎舜平
张宏勇
石原浩朗
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Semiconductor Energy Laboratory Co Ltd
Original Assignee
Semiconductor Energy Laboratory Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Semiconductor Energy Laboratory Co Ltd filed Critical Semiconductor Energy Laboratory Co Ltd
Publication of CN1087750A publication Critical patent/CN1087750A/zh
Application granted granted Critical
Publication of CN1076864C publication Critical patent/CN1076864C/zh
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02656Special treatments
    • H01L21/02664Aftertreatments
    • H01L21/02667Crystallisation or recrystallisation of non-monocrystalline semiconductor materials, e.g. regrowth
    • H01L21/02675Crystallisation or recrystallisation of non-monocrystalline semiconductor materials, e.g. regrowth using laser beams
    • H01L21/02686Pulsed laser beam
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/58After-treatment
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/58After-treatment
    • C23C14/5806Thermal treatment
    • C23C14/5813Thermal treatment using lasers
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B27/00Optical systems or apparatus not provided for by any of the groups G02B1/00 - G02B26/00, G02B30/00
    • G02B27/09Beam shaping, e.g. changing the cross-sectional area, not otherwise provided for
    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B27/00Optical systems or apparatus not provided for by any of the groups G02B1/00 - G02B26/00, G02B30/00
    • G02B27/09Beam shaping, e.g. changing the cross-sectional area, not otherwise provided for
    • G02B27/0938Using specific optical elements
    • G02B27/095Refractive optical elements
    • G02B27/0955Lenses
    • G02B27/0966Cylindrical lenses
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02367Substrates
    • H01L21/0237Materials
    • H01L21/02422Non-crystalline insulating materials, e.g. glass, polymers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02532Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02656Special treatments
    • H01L21/02664Aftertreatments
    • H01L21/02667Crystallisation or recrystallisation of non-monocrystalline semiconductor materials, e.g. regrowth
    • H01L21/02675Crystallisation or recrystallisation of non-monocrystalline semiconductor materials, e.g. regrowth using laser beams
    • H01L21/02678Beam shaping, e.g. using a mask
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/265Bombardment with radiation with high-energy radiation producing ion implantation
    • H01L21/26506Bombardment with radiation with high-energy radiation producing ion implantation in group IV semiconductors
    • H01L21/26513Bombardment with radiation with high-energy radiation producing ion implantation in group IV semiconductors of electrically active species
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/268Bombardment with radiation with high-energy radiation using electromagnetic radiation, e.g. laser radiation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/12Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being other than a semiconductor body, e.g. an insulating body
    • H01L27/1214Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being other than a semiconductor body, e.g. an insulating body comprising a plurality of TFTs formed on a non-semiconducting substrate, e.g. driving circuits for AMLCDs
    • H01L27/1259Multistep manufacturing methods
    • H01L27/127Multistep manufacturing methods with a particular formation, treatment or patterning of the active layer specially adapted to the circuit arrangement
    • H01L27/1274Multistep manufacturing methods with a particular formation, treatment or patterning of the active layer specially adapted to the circuit arrangement using crystallisation of amorphous semiconductor or recrystallisation of crystalline semiconductor
    • H01L27/1285Multistep manufacturing methods with a particular formation, treatment or patterning of the active layer specially adapted to the circuit arrangement using crystallisation of amorphous semiconductor or recrystallisation of crystalline semiconductor using control of the annealing or irradiation parameters, e.g. using different scanning direction or intensity for different transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/84Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being other than a semiconductor body, e.g. being an insulating body

Abstract

一种用于恢复经受结构损伤的硅之类的淀积半 导体薄膜晶性的激光退火方法,所述方法包括通过用 波长为400nm或更短和脉宽为50nsec或更窄的脉 冲式激光束照射在薄膜的表面上激活半导体的步骤, 其中,所述淀积薄膜被涂敷透明薄膜,如氧化硅之类 的薄膜,其厚度为3至300nm,所采用的入射到所述 涂层的激光束的能量密度为E(MJ/cm2),并满足关 系式:
log10N≤-0.02(E-350), 其中N是脉冲式激光束的发射数目。

Description

本发明涉及一种适于用在半导体器件成批生产中的、高生产率条件下能实现均匀退火的、高可靠的激光退火方法。更具体地说,本发明提供一种其晶性由于在诸如离子照射(ion    irradiation)、离子注入和离子掺杂等工艺中受到损伤而严重地劣化的淀积薄膜的激光退火方法。
如今,对降低制造半导体器件中的加工温度的方法正广泛地进行研究。对低温加工方法如此积极地研究的原因部分地归因于在例如玻璃制造的绝缘衬底上制备半导体器件所提出的要求。激光退火技术被认为是有前途的主要低温加工方法。
但是,激光退火的条件尚未确定,因为传统的激光退火方法是各自独立地在不同条件下进行的,这些条件取决于在每个方法中独立地选择的装置和涂敷条件。这就使得许多人错误地认为,激光退火技术不能给出可靠和一致得足以使该方法实际可行的结果。因此,本发明的目的在于首次建立能给出高度再现的结果的激光退火方法的条件。
在制造半导体器件的方法中,淀积薄膜极大地受到诸如离子照射、离子注入和离子掺杂等工艺过程的损伤,并由此在晶性方面遭到破坏,从而产生远非所说的半导体的非晶相或类似态。所以,为了将激光退火用于激活这种被损伤的薄膜,本发明人对如何使激光退火的条件最佳化进行了深入的研究。在该研究期间已发现,最佳条件的变化不仅受激光束的能量控制的影响,而且还受薄膜中所含有的杂质以及所加激光束的脉冲发射的次数的影响。
采用本发明的方法激活的淀积薄膜是那些含有作为主要成份的周期表的Ⅳ族元素,例如硅、锗、硅和锗的合金,或Ⅳ族元素的化合物如碳化硅的淀积薄膜。所淀积的薄膜的厚度为100
Figure 931095654_IMG4
至10000
Figure 931095654_IMG5
。考虑到光传输,已完全确认,采用处于短波长范围的特别是400nm或更短波长的激光束能很好地实现这种薄膜的激光退火。
本发明的方法包括以下步骤:
将具有400nm或更短波长和50nsec或更窄脉宽的激光脉冲照射到薄膜上,该薄膜含有从碳、硅、锗、锡和铅所构成的组中选出的Ⅳ族元素以及掺入其中的杂质离子。
其中在所说激光脉冲到达所述含有Ⅳ族元素的薄膜的路程中,在所述含有Ⅳ族元素的薄膜上形成厚度为3至300nm的透明薄膜,所述激光脉冲的每一个的能量密度E以mJ/cm2为单位和所述激光脉冲数N满足关系式:log10N≤-0.02(E-350)。
从由KrF激发物激光器、ArF激发物激光器、XeCl激发物激光器和XeF激发物激光器构成的组中选出的一种激光器发射激光脉冲。杂质离子的掺入是采用离子照射、离子注入和离子掺杂等工艺实现的。含有Ⅳ族元素的薄膜形成在绝缘衬底上,并且该绝缘衬底在照射步骤期间被保持在室温至500℃的温度。
业已确认,采用具有足够高至激活的能量密度的激光束能降低薄层电阻。在含有作为杂质的磷的薄膜的情况下,这种趋势必然能观察到。然而,在含有作为杂质的硼的薄膜中,该薄膜由于这种高能量密度的激光的照射而受到损伤。此外,脉冲发射数的增加减少了激光退火薄膜的特性的波动被认为是理所当然的事。但是,这是不确切的,因为已经发现,由于增加发射数目使微观波动增强,涂层的结构劣化了。
这可解释为是由于重复加到薄膜上的激光束照射引起的涂层内的晶核生长所致。结果,在涂层内出现粒状大小在0.1至1μm尺寸范围内的分布,而该涂层以前是由均匀尺寸的晶粒所组成的。当采用高能激光照射时,此现象尤其明显。
业已发现,所淀积的薄膜(即半导体薄膜)必须涂敷(覆盖)3至300nm厚的光传输涂层,而不能暴露在大气中。从传输光束的观点看,该光传输涂层优先选用氧化硅或氮化硅制造。最好采用主要含有氧化硅的材料,因为通常它也可用作栅绝缘材料。无需说明,此光传输薄膜可以掺磷或硼,以钝化可移动离子。如果含有Ⅳ族元素的薄膜未涂敷这种光传输涂层,将会发生均匀性以加速方式被破坏的现象。
还已发现,在上述条件下并且又满足以下关系式时:
log10N≤A(E-B)
采用脉冲式激光束能获得更为光滑(均匀)的涂层,这里E(mJ/cm2)是所照射的每一激光脉冲的能量密度,N(发射数)是脉冲式激光的发射数目。A和B的值取决于掺入涂层中的杂质。当磷作为杂质存在时,选A为-0.02,B为350,当采用硼作为杂质时,选A为-0.02,B为300。
采用透明的衬底替代透明的薄膜能得到相似的结果。也就是说,按照本发明的激光加工方法包括以下步骤:
将杂质掺入在透明衬底上形成的半导体薄膜中;并且
将波长为400nm或更短和脉宽为50nsec或更窄的激光脉冲穿过所述透明衬底照射至所述半导体薄膜上;
其中,单位为mJ/cm2的所述激光脉冲的每一个的能量密度E和所述激光脉冲的数目N满足关系式:
log10N≤-0.02(E-350)。
图7(A)示出掺入步骤,图7(B)示出照射步骤。参照数字71代表透明衬底,72代表半导体薄膜。
以下是附图的简要说明。
图1是用于本发明的实施例中的激光退火装置的原理图;
图2是按照本发明的一个实施例由激光退火所获得的硅薄膜(掺磷,N型)的薄层电阻与所加的激光能量密度之间在改变脉冲发射的重复次数时的关系图;
图3是按照本发明的一个实施例由激光退火所获得的硅薄膜(掺磷和硼,P型)的薄层电阻与所加的激光能量密度之间在改变脉冲发射的重复次数时的关系图;
图4是在本发明的一个实施例中获得的硅薄膜的结构与所加的激光能量密度以及脉冲发射的重复次数之间的关系图;
图5为用于本发明的实施例中的激光退火装置的光学系统的原理图;
图6示出按照本发明的激光退火方法;和
图7示出按照本发明的另一种激光退火方法。
下面参考非限制性实例,以更详细的方式描述本发明,不过,应当指出,不能将本发明解释成限于该实例。
在此实例中,将杂质掺入由Ⅳ族元素组成的薄膜中,以使其具有N型和P型导电的一种,将另一种杂质用掩模掺入该薄膜的一部分中,使所述部分具有N型和P型导电的其余一种。在图1中,原理性地示出了用于本实施的激光退火装置。激光束在发生器2中产生,在通过全反射镜5和6之后,在放大器3中被放大,并在通过全反射镜7和8之后被引入光学系统4。初始激光束具有约3×2cm2的矩形束面积,但借助光学系统4被加工成长光束,其长约为10至30cm,宽约0.1至1cm。通过此光学系统的激光的最大能量密度为1000mJ/单发射。
光学系统4中的光路示于图5中。入射于光学系统4上的激光通过柱形凹透镜A、柱形凸透镜B、水平方向设置的蝇眼透镜C和垂直方向设置的蝇眼透镜D。依靠蝇眼透镜C和D,激光从初始的高斯分布变成矩形分布。接着,激光通过柱形凸透镜E和F,并在反射镜G(图1中反射镜9)上被反射,最后通过柱形透镜H聚焦在样品上。
在此实例中,图5所示的距离X1和X2是固定的,而虚焦点I(由蝇眼透镜的弯曲表面之间的差所产生)和反射镜G之间的距离X3、距离X4和X5是变化的,以便调节放大率M和焦距F。即:
M=(X3+X4)/X5
1/F=1/(X3+X4)+1/X5
在此实例中,光路的总长度X6为约1.3m。
如以上所述,初始光束被改进成长形光束,以改善其加工性能。更准确地说,在离开光学系统之后,通过全反射镜9照射在样品11上的矩形光束与样品的宽度相比有更大的宽度,因此,样品仅需沿一个方向运动。所以,装载样品的平台和驱动装置10可以做成简单的结构,因此其维修工作能容易地完成。此外,在安装样品时调整工作也能大大地被简化。
另一方面,若采用方形横截面的光束,则由单个光束覆盖整个衬底将是不可能的。于是,样品应沿两个方向作两维运动。在此情况下,平台的驱动装置将变得复杂,同时调整也必须按二维的方式进行,这就带来许多的困难。特别是以手工方式进行调整时,此工序要耗费相当多的时间,从而大大地降低了整个工艺过程的生产率。此外,这些装置必须安装在象抗振工作台之类的稳固的工作台1上。
用在本实例中的样品是不同型号的玻璃衬底(例如,康宁(Corning)#7059玻璃衬底),其长度为100mm,宽度为100-300mm。在此加工方法中采用能发射248nm波长和50nsec或更窄脉宽的光的KrF激光器。
用等离子加速CVD(化学汽相淀积)工艺在玻璃衬底61上淀积100nm厚的非晶硅薄膜。所得到的薄膜在600℃下退火48小时,以获得结晶薄膜,并且所得到的薄膜被构图,以形成岛形部分62和63(图6(A))。进一步,用溅射法在上面淀积70nm厚的氧化硅薄膜(光传输涂层)64,并且衬底的整个表面用磷掺杂。在此步骤中采用通常所说的离子掺杂工艺(图6(B)),使用磷化氢(PH3)作为等离子源和80KV的加速电压。然后,将衬底的一部分65掩盖住,用离子掺杂工艺注入硼(图6(C))。在此步骤中采用乙硼烷(B2H6)作等离子源并在65KV电压下加速。更准确地说,通过光传输涂层,磷被注入(掺入)到所掩盖的部分,从而获得了具有N型导电的部分,而磷和硼通过光传输涂层被注入(掺入)到未掩盖的部分,结果形成具有P型导电的部分。
其后,在改变能量密度和脉冲发射的数目的同时,将激光束照射到岛形部分(半导体薄膜)上,以实现激光激活。对薄层电阻相应地进行测量,并通过光学显微镜观察构成涂层的晶体的结构。在图2至4中概括了得到的结果。
图2示出了用磷离子掺杂的硅薄膜的薄层电阻在改变脉冲发射的重复数时与激光束的能量密度的关系图。在2×1015cm-2的剂量下,将磷掺到硅薄膜中。采用在200mJ/cm2或更小的能量密度下运行的激光器,为激活薄层需要大量的发射数目,还会产生约10KΩ/sq。的高薄层电阻的不良结果。但是,采用具有200mJ/cm2或更高的能量密度的激光束,在1至10次发射的激光器运行条件下就可实现充分的激活。
图3示出在4×1015cm-2剂量下激光激活由硼离子掺杂的硅薄膜的结果。在此情况下,采用200mJ/cm2或更小的能量密度,只能进行不充分的激活,对于充分的激活而言,需要大的脉冲发射数目。采用运行在200至300mJ/cm2的能量密度的激光束,通过1至10次发射就得到足够低的薄层电阻。但是,从另一方面来说,采用运行在300mJ/cm2或更高的能量密度下的激光器,薄层电阻反而会增大。特别是,与采用200mJ/cm2或更低的激光束能量密度激活的情形相反,随着增加脉冲发射的重复次数,薄层电阻会增加。这个现象可解释成是由于薄膜的均匀性破坏使晶粒边界生长所造成的,而薄膜均匀性的破坏是因为采用太多发射数的激光照射所致。
在实际工艺中,激光退火同时用于P型和N型区,如图6(D)所示。这就意味着以350mJ/cm2的能量密度照射的激光束充分地激活N型区,但同时损害了P型区的性能。因此,在根据本实例的工艺中,激光优选工作在200至300mJ/cm2的能量密度范围内,最好在250至300mJ/cm2的范围内。脉冲重复数最好在1至100个脉冲的范围内。
如以上所述的那样,淀积薄膜的结构显著地受到激光退火的影响。事实上,如图4所示,脉冲发射的数目能够与激光束能量密度和薄膜结构相联系。在图4中,用语“退火脉冲”是指激光束脉冲发射的数目。图中的实心圆代表在掺磷的硅上观察到表面结构变化的点,而空心圆代表在掺硼的硅上的相同情况。图的右侧上部区域相应于表面上出现不良结构(粗糙表面)的情况,而图的左侧下部区域相应于表面上出现良好结构(平滑表面)的情况。从该结果可以看出,掺磷的硅对激光照射具有强的耐性。因此,在不损伤表面结构的情况下进行激光退火的条件可以理解成是满足关系式:
log10N≤A(E-B)
这里,E(mJ/cm2)是所照射的激光束的能量密度,N(发射数)是脉冲式激光器的发射的数目。在磷作为杂质被掺入时,A和B的值是A=-0.02,B=350,而当硼作为杂质被掺入时,A=-0.02,B=300。
当淀积薄膜的结构受到相当大的损伤时,此特征值由于硅性能局部出现严重下降而呈现大的分散(Scattering)。事实上,在有缺陷的结构(粗糙表面)的硅薄膜上,观察到薄层电阻的分散高达20%或更多。通过满足以上的条件并将激光能量密度设定为适当的值,能够消除这种分散。
例如,当激光能量密度设定为250mJ/cm2时,脉冲式激光束以10次或更低的频率发射。如果能量密度提高到280mJ/cm2,激光束最好以1至3次的频率发射。通过在这种条件下激光退火,薄层电阻的变化可控制在10%或更小的范围内。
根据本发明,通过如前面所述的那样设定激光退火的最佳条件,获得了具有低的性能变化的高可靠半导体薄膜。因此可以看出,根据本发明的加工方法对半导体工业是有益的。
虽然参照特定实施例详细地描述了本发明,但很明显,对本领域的技术人员来说,在不脱离本发明的构思和范围的情况下,可进行各种变换和修改。

Claims (23)

1、一种激光加工方法,包括以下步骤:
将波长为400nm或更短和脉宽为50nscc或更窄的激光脉冲照射至薄膜上,所述薄膜含有从碳、硅、锗、锡和铅组成的组中选择的Ⅳ族元素以及掺入其中的杂质离子;
在所述激光脉冲到达含有Ⅳ族元素的所述薄膜的路径中,在含有Ⅳ族元素的所述薄膜上设置厚度为3至300nm的透明薄膜,单位为mJ/cm2的所述激光脉冲的每一个的能量密度E和所述激光脉冲的数目N满足关系式:
log10≤-0.02(E-350)。
2、按照权利要求1的方法,其中,所述激光脉冲是从由KrF激发物激光器、ArF激发物激光器、XeCl激发物激光器和XeF激发物激光器所构成的组中选出的一种激光器中发出的。
3、按照权利要求1的方法,其中,磷、硼或它们二者被用作所述杂质离子。
4、按照权利要求1的方法,其中,含有Ⅳ族元素的所述薄膜包括从碳化硅及硅和锗的合金所构成的组中选出的材料。
5、按照权利要求1的方法,其中,所述杂质离子的掺入是通过离子照射、离子注入或离子掺杂实现的。
6、按照权利要求1的方法,其中,所述透明薄膜含有从氧化硅和氮化硅组成的组中选出的材料。
7、按照权利要求1的方法,其中,所述能量密度为200至300mJ/cm2
8、按照权利要求1的方法,其中,所述含有Ⅳ族元素的薄膜具有100
Figure 931095654_IMG2
至10000
Figure 931095654_IMG3
的厚度。
9、按照权利要求1的方法,其中,所述含有Ⅳ族元素的薄膜设置在绝缘衬底上,并且所述绝缘衬底在所述照射步骤中被保持在室温至500℃的温度。
10、一种激光加工方法,包括以下步骤:
形成厚度为3至300nm的覆盖半导体薄膜的透明薄膜;
通过所述透明薄膜将杂质掺入所述半导体薄膜;和
将波长为400nm或更短和脉宽为50nsec或更窄的激光脉冲照射到所述半导体薄膜上;
以mJ/cm2为单位的所述每一激光脉冲的能量密度E和所述激光脉冲数目N满足关系式:
log10N≤-0.02(E-350)。
11、按照权利要求10的方法,其中,所述激光脉冲是从由KrF激发物激光器,ArF激发物激光器、XeCl激发物激光器和XeF激发物激光器所组成的组中选出的一种激光器中发出的。
12、按照权利要求10的方法,其中,磷、硼或它们二者被用作所述杂质。
13、按照权利要求10的方法,其中,所述半导体薄膜含有从硅、锗、氧化硅及硅和锗的合金所组成的组中选出的材料。
14、按照权利要求10的方法,其中,所述掺入步骤是通过离子照射、离子注入或离子掺杂实现的。
15、按照权利要求10的方法,其中,所述透明薄膜含有从氧化硅和氮化硅所组成的组中选出的材料。
16、按照权利要求10的方法,其中,所述能量密度E为200至300mJ/cm2
17、按照权利要求10的方法,其中进一步包括在绝缘衬底上形成所述半导体薄膜的步骤。
18、一种激光加工方法,包括以下步骤:
形成覆盖含有从碳、硅、锗、锡和铅所组成的组中选出的Ⅳ族元素的薄膜的透明薄膜,其厚度为10至100nm;
通过所述透明薄膜将杂质掺入到所述含有Ⅳ族元素的薄膜中,以便使其具有N型和P型导电的一种;
通过所述透明薄膜用掩模将另一杂质掺入所述含有Ⅳ族元素的薄膜的一部分,以使所述部分具有N型和P型导电的其余一种;和
将波长为400nm或更短和脉宽为50nsec或更窄的激光脉冲照射到所述含有Ⅳ族元素的薄膜上;
单位为mJ/cm2的所述激光脉冲的每一个的能量密度E和所述激光脉冲的数目N满足关系式:
log10N≤-0.02(E-350)。
19、按照权利要求18的方法,其中,所述含有Ⅳ族元素的薄膜包含从碳化硅及硅和锗的合金所构成的组中选出的材料。
20、按照权利要求18的方法,其中,所述透明薄膜含有从氧化硅和氮化硅所组成的组中选出的材料。
21、一种薄膜加工方法,包括以下步骤:
将杂质掺入在透明衬底上设置的半导体薄膜;和
将波长为400nm或更短和脉宽为50nsec或更窄的激光脉冲通过所述透明衬底照射到所述半导体薄膜上;
其中,单位为mJ/cm2的所述激光脉冲的每一个的能量密度E和所述激光脉冲的数目N满足关系式:
log10N≤-0.02(E-350)。
22、按照权利要求21的方法,其中,所述半导体薄膜含有从硅、锗、碳化硅及硅和锗的合金所组成的组中选出的材料。
23、按照权利要求21的方法,其中,所述衬底是绝缘的。
CN93109565A 1992-06-26 1993-06-26 激光加工方法 Expired - Lifetime CN1076864C (zh)

Applications Claiming Priority (6)

Application Number Priority Date Filing Date Title
JP193005/1992 1992-06-26
JP193005/92 1992-06-26
JP19300592 1992-06-26
JP25229592 1992-08-27
JP252295/92 1992-08-27
JP252295/1992 1992-08-27

Related Child Applications (6)

Application Number Title Priority Date Filing Date
CN2006101016553A Division CN1921069B (zh) 1992-06-26 1993-06-26 用激光束照射半导体层的激光加工装置
CN95108719A Division CN1108225C (zh) 1992-06-26 1995-07-26 光学系统
CNB991267265A Division CN1139105C (zh) 1992-06-26 1999-12-11 半导体层激光退火的方法
CN01104514A Division CN1350322A (zh) 1992-06-26 2001-02-10 用激光束照射半导体层的激光加工装置
CNB011045159A Division CN1214450C (zh) 1992-06-26 2001-02-10 半导体器件的制造方法
CN011045167A Division CN1216404C (zh) 1992-06-26 2001-02-10 半导体器件的制造方法

Publications (2)

Publication Number Publication Date
CN1087750A true CN1087750A (zh) 1994-06-08
CN1076864C CN1076864C (zh) 2001-12-26

Family

ID=26507640

Family Applications (7)

Application Number Title Priority Date Filing Date
CN2006101016553A Expired - Lifetime CN1921069B (zh) 1992-06-26 1993-06-26 用激光束照射半导体层的激光加工装置
CN93109565A Expired - Lifetime CN1076864C (zh) 1992-06-26 1993-06-26 激光加工方法
CN95108719A Expired - Lifetime CN1108225C (zh) 1992-06-26 1995-07-26 光学系统
CNB991267265A Expired - Lifetime CN1139105C (zh) 1992-06-26 1999-12-11 半导体层激光退火的方法
CN011045167A Expired - Lifetime CN1216404C (zh) 1992-06-26 2001-02-10 半导体器件的制造方法
CN01104514A Pending CN1350322A (zh) 1992-06-26 2001-02-10 用激光束照射半导体层的激光加工装置
CNB011045159A Expired - Lifetime CN1214450C (zh) 1992-06-26 2001-02-10 半导体器件的制造方法

Family Applications Before (1)

Application Number Title Priority Date Filing Date
CN2006101016553A Expired - Lifetime CN1921069B (zh) 1992-06-26 1993-06-26 用激光束照射半导体层的激光加工装置

Family Applications After (5)

Application Number Title Priority Date Filing Date
CN95108719A Expired - Lifetime CN1108225C (zh) 1992-06-26 1995-07-26 光学系统
CNB991267265A Expired - Lifetime CN1139105C (zh) 1992-06-26 1999-12-11 半导体层激光退火的方法
CN011045167A Expired - Lifetime CN1216404C (zh) 1992-06-26 2001-02-10 半导体器件的制造方法
CN01104514A Pending CN1350322A (zh) 1992-06-26 2001-02-10 用激光束照射半导体层的激光加工装置
CNB011045159A Expired - Lifetime CN1214450C (zh) 1992-06-26 2001-02-10 半导体器件的制造方法

Country Status (4)

Country Link
US (7) US5897799A (zh)
JP (10) JPH06124913A (zh)
KR (6) KR970005141B1 (zh)
CN (7) CN1921069B (zh)

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101256947B (zh) * 2001-08-03 2010-08-18 株式会社半导体能源研究所 激光辐照装置、激光辐照方法、以及半导体器件制造方法
CN1614756B (zh) * 1994-12-16 2013-06-19 株式会社半导体能源研究所 半导体器件及其制造方法
CN103464892A (zh) * 2012-06-05 2013-12-25 三菱综合材料株式会社 激光加工装置及激光加工方法
CN106903424A (zh) * 2017-02-20 2017-06-30 温州大学 一种基于激光冲击波提高光学元件力学性能的后处理方法
CN107852818A (zh) * 2015-04-27 2018-03-27 国家科研中心 使用两种激光器的打印方法

Families Citing this family (101)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6149988A (en) * 1986-09-26 2000-11-21 Semiconductor Energy Laboratory Co., Ltd. Method and system of laser processing
US6261856B1 (en) * 1987-09-16 2001-07-17 Semiconductor Energy Laboratory Co., Ltd. Method and system of laser processing
JPH06124913A (ja) * 1992-06-26 1994-05-06 Semiconductor Energy Lab Co Ltd レーザー処理方法
US5643801A (en) * 1992-11-06 1997-07-01 Semiconductor Energy Laboratory Co., Ltd. Laser processing method and alignment
US6897100B2 (en) 1993-11-05 2005-05-24 Semiconductor Energy Laboratory Co., Ltd. Method for processing semiconductor device apparatus for processing a semiconductor and apparatus for processing semiconductor device
CN1052566C (zh) 1993-11-05 2000-05-17 株式会社半导体能源研究所 制造半导体器件的方法
US6723590B1 (en) 1994-03-09 2004-04-20 Semiconductor Energy Laboratory Co., Ltd. Method for laser-processing semiconductor device
KR100321541B1 (ko) 1994-03-09 2002-06-20 야마자끼 순페이 능동 매트릭스 디스플레이 장치의 작동 방법
US6300176B1 (en) * 1994-07-22 2001-10-09 Semiconductor Energy Laboratory Co., Ltd. Laser processing method
US6130120A (en) * 1995-01-03 2000-10-10 Goldstar Electron Co., Ltd. Method and structure for crystallizing a film
US5854803A (en) 1995-01-12 1998-12-29 Semiconductor Energy Laboratory Co., Ltd. Laser illumination system
TW305063B (zh) * 1995-02-02 1997-05-11 Handotai Energy Kenkyusho Kk
TW297138B (zh) 1995-05-31 1997-02-01 Handotai Energy Kenkyusho Kk
US6524977B1 (en) 1995-07-25 2003-02-25 Semiconductor Energy Laboratory Co., Ltd. Method of laser annealing using linear beam having quasi-trapezoidal energy profile for increased depth of focus
JP2007251196A (ja) * 1995-07-25 2007-09-27 Semiconductor Energy Lab Co Ltd レーザー光照射装置及び半導体装置の作製方法
JP3917231B2 (ja) 1996-02-06 2007-05-23 株式会社半導体エネルギー研究所 レーザー照射装置およびレーザー照射方法
US6599790B1 (en) * 1996-02-15 2003-07-29 Semiconductor Energy Laboratory Co., Ltd Laser-irradiation method and laser-irradiation device
JPH09234579A (ja) * 1996-02-28 1997-09-09 Semiconductor Energy Lab Co Ltd レーザー照射装置
US6759628B1 (en) * 1996-06-20 2004-07-06 Sony Corporation Laser annealing apparatus
US6040019A (en) * 1997-02-14 2000-03-21 Advanced Micro Devices, Inc. Method of selectively annealing damaged doped regions
JPH10253916A (ja) * 1997-03-10 1998-09-25 Semiconductor Energy Lab Co Ltd レーザー光学装置
JP3770999B2 (ja) * 1997-04-21 2006-04-26 株式会社半導体エネルギー研究所 レーザー照射装置及びレーザー照射方法
JP3462053B2 (ja) * 1997-09-30 2003-11-05 株式会社半導体エネルギー研究所 ビームホモジェナイザーおよびレーザー照射装置およびレーザー照射方法および半導体デバイス
JPH11186189A (ja) * 1997-12-17 1999-07-09 Semiconductor Energy Lab Co Ltd レーザー照射装置
US6246524B1 (en) 1998-07-13 2001-06-12 Semiconductor Energy Laboratory Co., Ltd. Beam homogenizer, laser irradiation apparatus, laser irradiation method, and method of manufacturing semiconductor device
JP4663047B2 (ja) * 1998-07-13 2011-03-30 株式会社半導体エネルギー研究所 レーザー照射装置及び半導体装置の作製方法
KR100430231B1 (ko) * 1998-10-02 2004-07-19 엘지.필립스 엘시디 주식회사 레이저어닐장비
EP1744349A3 (en) 1998-10-05 2007-04-04 Semiconductor Energy Laboratory Co., Ltd. Laser irradiation apparatus, laser irradiation method, beam homogenizer, semiconductor device, and method of manufacturing the semiconductor device
US6204160B1 (en) * 1999-02-22 2001-03-20 The United States Of America As Represented By The Secretary Of The Navy Method for making electrical contacts and junctions in silicon carbide
US6393042B1 (en) 1999-03-08 2002-05-21 Semiconductor Energy Laboratory Co., Ltd. Beam homogenizer and laser irradiation apparatus
JP3562389B2 (ja) * 1999-06-25 2004-09-08 三菱電機株式会社 レーザ熱処理装置
JP4827276B2 (ja) 1999-07-05 2011-11-30 株式会社半導体エネルギー研究所 レーザー照射装置、レーザー照射方法及び半導体装置の作製方法
TW473783B (en) * 1999-08-13 2002-01-21 Semiconductor Energy Lab Laser apparatus, laser annealing method, and manufacturing method of a semiconductor device
US7160765B2 (en) * 1999-08-13 2007-01-09 Semiconductor Energy Laboratory Co., Ltd. Method for manufacturing a semiconductor device
US6567219B1 (en) * 1999-08-13 2003-05-20 Semiconductor Energy Laboratory Co., Ltd. Laser irradiation apparatus
TW494444B (en) * 1999-08-18 2002-07-11 Semiconductor Energy Lab Laser apparatus and laser annealing method
US6548370B1 (en) 1999-08-18 2003-04-15 Semiconductor Energy Laboratory Co., Ltd. Method of crystallizing a semiconductor layer by applying laser irradiation that vary in energy to its top and bottom surfaces
KR100319455B1 (ko) 1999-12-24 2002-01-05 오길록 결정화 장비용 광학 시스템
US6872607B2 (en) * 2000-03-21 2005-03-29 Semiconductor Energy Laboratory Co., Ltd. Method of manufacturing a semiconductor device
US7078321B2 (en) 2000-06-19 2006-07-18 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and method of manufacturing the same
TW523791B (en) * 2000-09-01 2003-03-11 Semiconductor Energy Lab Method of processing beam, laser irradiation apparatus, and method of manufacturing semiconductor device
JP4921643B2 (ja) * 2001-02-22 2012-04-25 株式会社Ihi 照明光学系及びこれを備えるレーザー処理装置
TW528879B (en) * 2001-02-22 2003-04-21 Ishikawajima Harima Heavy Ind Illumination optical system and laser processor having the same
US7061959B2 (en) * 2001-04-18 2006-06-13 Tcz Gmbh Laser thin film poly-silicon annealing system
US7009140B2 (en) * 2001-04-18 2006-03-07 Cymer, Inc. Laser thin film poly-silicon annealing optical system
TW558861B (en) * 2001-06-15 2003-10-21 Semiconductor Energy Lab Laser irradiation stage, laser irradiation optical system, laser irradiation apparatus, laser irradiation method, and method of manufacturing semiconductor device
US7372630B2 (en) * 2001-08-17 2008-05-13 Semiconductor Energy Laboratory Co., Ltd. Laser, irradiation apparatus, laser irradiation method, and method for manufacturing semiconductor device
CN2546122Y (zh) * 2001-11-20 2003-04-23 青岛迪玛特五金工具有限公司 孔加工机械的激光定位系统
US8004664B2 (en) 2002-04-18 2011-08-23 Chang Type Industrial Company Power tool control system
US6937336B2 (en) * 2002-08-15 2005-08-30 Black & Decker, Inc. Optical alignment system for power tool
KR20030095313A (ko) * 2002-06-07 2003-12-18 후지 샤신 필름 가부시기가이샤 레이저 어닐링장치 및 레이저 박막형성장치
US20030233921A1 (en) 2002-06-19 2003-12-25 Garcia Jaime E. Cutter with optical alignment system
JP2004128421A (ja) * 2002-10-07 2004-04-22 Semiconductor Energy Lab Co Ltd レーザ照射方法およびレーザ照射装置、並びに半導体装置の作製方法
US7160762B2 (en) * 2002-11-08 2007-01-09 Semiconductor Energy Laboratory Co., Ltd. Method for manufacturing semiconductor device, semiconductor device, and laser irradiation apparatus
JP4429586B2 (ja) * 2002-11-08 2010-03-10 株式会社半導体エネルギー研究所 半導体装置の作製方法
SG129265A1 (en) * 2002-11-29 2007-02-26 Semiconductor Energy Lab Laser irradiation apparatus, laser irradiation method, and method for manufacturing a semiconductor device
US7056810B2 (en) * 2002-12-18 2006-06-06 Semiconductor Energy Laboratory Co., Ltd. Method for manufacturing semiconductor apparatus, and semiconductor apparatus and electric appliance
DE602004020538D1 (de) * 2003-02-28 2009-05-28 Semiconductor Energy Lab Verfahren und Vorrichtung zur Laserbestrahlung, sowie Verfahren zur Herstellung von Halbleiter.
JP4515034B2 (ja) 2003-02-28 2010-07-28 株式会社半導体エネルギー研究所 半導体装置の作製方法
US7524712B2 (en) * 2003-03-07 2009-04-28 Semiconductor Energy Laboratory Co., Ltd. Method for manufacturing a semiconductor device and laser irradiation method and laser irradiation apparatus
US7304005B2 (en) * 2003-03-17 2007-12-04 Semiconductor Energy Laboratory Co., Ltd. Laser irradiation apparatus, laser irradiation method, and method for manufacturing a semiconductor device
JP4373115B2 (ja) * 2003-04-04 2009-11-25 株式会社半導体エネルギー研究所 半導体装置の作製方法
US7210820B2 (en) * 2003-05-07 2007-05-01 Resonetics, Inc. Methods and apparatuses for homogenizing light
US7208395B2 (en) * 2003-06-26 2007-04-24 Semiconductor Energy Laboratory Co., Ltd. Laser irradiation apparatus, laser irradiation method, and method for manufacturing semiconductor device
US7336907B2 (en) * 2003-10-31 2008-02-26 Texas Instruments Incorporated Optical assembly having cylindrical lenses and related method of modulating optical signals
CN100571959C (zh) * 2003-11-26 2009-12-23 Tcz私营有限公司 激光薄膜多晶硅退火光学系统
JP4342429B2 (ja) * 2004-02-09 2009-10-14 株式会社東芝 半導体装置の製造方法
US20060146906A1 (en) * 2004-02-18 2006-07-06 Cymer, Inc. LLP EUV drive laser
JP4579575B2 (ja) 2004-05-14 2010-11-10 株式会社半導体エネルギー研究所 レーザ照射方法及びレーザ照射装置
WO2007049525A1 (en) 2005-10-26 2007-05-03 Semiconductor Energy Laboratory Co., Ltd. Laser irradiation apparatus and manufacturing method of semiconductor device
JP5132119B2 (ja) * 2005-10-26 2013-01-30 株式会社半導体エネルギー研究所 半導体装置の作製方法
US7679029B2 (en) 2005-10-28 2010-03-16 Cymer, Inc. Systems and methods to shape laser light as a line beam for interaction with a substrate having surface variations
US20070117287A1 (en) 2005-11-23 2007-05-24 Semiconductor Energy Laboratory Co., Ltd. Laser irradiation apparatus
CN101346800B (zh) * 2005-12-20 2011-09-14 株式会社半导体能源研究所 用于制造半导体装置的激光辐射设备和方法
US7307237B2 (en) * 2005-12-29 2007-12-11 Honeywell International, Inc. Hand-held laser welding wand nozzle assembly including laser and feeder extension tips
JP2008124149A (ja) 2006-11-09 2008-05-29 Advanced Lcd Technologies Development Center Co Ltd 光学装置および結晶化装置
DE102007036262A1 (de) * 2007-08-02 2009-02-05 Robert Bosch Gmbh Radarsensor für Kraftfahrzeuge
JP2009283807A (ja) * 2008-05-26 2009-12-03 Canon Inc 窒化物半導体層を含む構造体、窒化物半導体層を含む複合基板、及びこれらの製造方法
JP5414467B2 (ja) * 2009-11-09 2014-02-12 キヤノン株式会社 レーザ加工方法
US9069255B2 (en) * 2009-11-18 2015-06-30 Jim Hennessy Carrier sheet for a photosensitive printing element
JP5302937B2 (ja) * 2010-07-20 2013-10-02 株式会社イー・エム・ディー 不純物活性化方法、半導体装置の製造方法
CN102169816B (zh) * 2011-03-09 2013-02-27 清华大学 一种超浅结深紫外激光退火设备中的屏蔽电极装置
GB2490143B (en) * 2011-04-20 2013-03-13 Rolls Royce Plc Method of manufacturing a component
DE102011002236A1 (de) * 2011-04-21 2012-10-25 Dritte Patentportfolio Beteiligungsgesellschaft Mbh & Co.Kg Verfahren zur Herstellung einer polykristallinen Schicht
US9769902B1 (en) 2011-05-09 2017-09-19 The United States Of America As Represented By Secretary Of The Air Force Laser sensor stimulator
US9859348B2 (en) 2011-10-14 2018-01-02 Diftek Lasers, Inc. Electronic device and method of making thereof
RU2486282C1 (ru) * 2011-11-17 2013-06-27 Федеральное государственное автономное образовательное учреждение высшего профессионального образования "Уральский федеральный университет имени первого Президента России Б.Н. Ельцина" Способ получения имплантированного ионами олова кварцевого стекла
JP5920662B2 (ja) * 2012-06-05 2016-05-18 三菱マテリアル株式会社 レーザ加工装置およびレーザ加工方法
EP2869963B1 (de) * 2012-07-04 2016-09-28 Saint-Gobain Glass France Vorrichtung und verfahren zur laserbearbeitung grossflächiger substrate unter verwendung von mindestens zwei brücken
DE102015000449A1 (de) 2015-01-15 2016-07-21 Siltectra Gmbh Festkörperteilung mittels Stoffumwandlung
EP2953158A3 (en) 2014-06-04 2016-02-17 Diftek Lasers, Inc. Method of fabricating crystalline island on substrate
US10121667B2 (en) 2014-11-12 2018-11-06 President And Fellows Of Harvard College Creation of hyperdoped semiconductors with concurrent high crystallinity and high sub-bandgap absorptance using nanosecond laser annealing
CN107000125B (zh) 2014-11-27 2022-08-12 西尔特克特拉有限责任公司 基于激光器的分离方法
EP3395489A1 (de) 2014-11-27 2018-10-31 Siltectra GmbH Festkörperteilung mittels stoffumwandlung
US10312310B2 (en) 2016-01-19 2019-06-04 Diftek Lasers, Inc. OLED display and method of fabrication thereof
CN106024604B (zh) * 2016-08-02 2018-11-23 京东方科技集团股份有限公司 一种激光退火设备
JP6870286B2 (ja) * 2016-11-15 2021-05-12 富士電機株式会社 炭化珪素半導体装置の製造方法
US9933592B1 (en) 2017-01-20 2018-04-03 Bae Systems Information And Electronic Systems Integration Inc. Large aperture, passive optical athermalized beam expander for eye-safe lasers
US10411027B2 (en) 2017-10-19 2019-09-10 Globalfoundries Singapore Pte. Ltd. Integrated circuits with memory cells and method for producing the same
CN109095587B (zh) * 2018-08-28 2021-08-03 江苏大学 一种基于空化降解废水的光学装置
CN111843713A (zh) * 2020-06-29 2020-10-30 江苏亚威艾欧斯激光科技有限公司 透镜加工装置及透镜阵列

Family Cites Families (120)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS5313976B1 (zh) * 1969-08-04 1978-05-13
US4151008A (en) * 1974-11-15 1979-04-24 Spire Corporation Method involving pulsed light processing of semiconductor devices
US4059461A (en) * 1975-12-10 1977-11-22 Massachusetts Institute Of Technology Method for improving the crystallinity of semiconductor films by laser beam scanning and the products thereof
JPS53135653A (en) 1977-05-01 1978-11-27 Canon Inc Photoelectric detecting optical device
US4155779A (en) * 1978-08-21 1979-05-22 Bell Telephone Laboratories, Incorporated Control techniques for annealing semiconductors
JPS5842448B2 (ja) * 1978-08-25 1983-09-20 セイコーエプソン株式会社 液晶表示パネル
US4309224A (en) * 1978-10-06 1982-01-05 Tokyo Shibaura Denki Kabushiki Kaisha Method for manufacturing a semiconductor device
US4305640A (en) * 1978-11-24 1981-12-15 National Research Development Corporation Laser beam annealing diffuser
US4198246A (en) * 1978-11-27 1980-04-15 Rca Corporation Pulsed laser irradiation for reducing resistivity of a doped polycrystalline silicon film
US4309225A (en) * 1979-09-13 1982-01-05 Massachusetts Institute Of Technology Method of crystallizing amorphous material with a moving energy beam
US4546009A (en) * 1979-10-01 1985-10-08 Exxon Research Engineering Co High-mobility amorphous silicon displaying non-dispersive transport properties
JPS5669837A (en) * 1979-11-12 1981-06-11 Fujitsu Ltd Manufacture of semiconductor device
NL8006339A (nl) * 1979-11-21 1981-06-16 Hitachi Ltd Halfgeleiderinrichting en werkwijze voor de vervaar- diging daarvan.
US4370175A (en) * 1979-12-03 1983-01-25 Bernard B. Katz Method of annealing implanted semiconductors by lasers
JPS5783745A (en) 1980-11-08 1982-05-25 Chuo Spring Co Ltd Formation of shock-proof end piece for control cable
DE3272410D1 (en) * 1981-02-16 1986-09-11 Fujitsu Ltd Method of producing mosfet type semiconductor device
US4379727A (en) * 1981-07-08 1983-04-12 International Business Machines Corporation Method of laser annealing of subsurface ion implanted regions
US4431459A (en) * 1981-07-17 1984-02-14 National Semiconductor Corporation Fabrication of MOSFETs by laser annealing through anti-reflective coating
JPS5823479A (ja) * 1981-08-05 1983-02-12 Fujitsu Ltd 半導体装置の製造方法
JPS5880852A (ja) * 1981-11-10 1983-05-16 Toshiba Corp 半導体装置の製造方法
US4475027A (en) * 1981-11-17 1984-10-02 Allied Corporation Optical beam homogenizer
US4484334A (en) * 1981-11-17 1984-11-20 Allied Corporation Optical beam concentrator
JPS58127318A (ja) * 1982-01-25 1983-07-29 Nippon Telegr & Teleph Corp <Ntt> 絶縁層上への単結晶膜形成方法
US4436557A (en) * 1982-02-19 1984-03-13 The United States Of America As Represented By The United States Department Of Energy Modified laser-annealing process for improving the quality of electrical P-N junctions and devices
JPS58147708A (ja) * 1982-02-26 1983-09-02 Nippon Kogaku Kk <Nikon> 照明用光学装置
JPS58191420A (ja) * 1982-05-04 1983-11-08 Nec Corp 単結晶シリコン膜形成法
US4473433A (en) * 1982-06-18 1984-09-25 At&T Bell Laboratories Process for producing dielectrically isolated single crystal silicon devices
JPS5945089A (ja) * 1982-09-09 1984-03-13 Toshiba Corp 肉盛溶接方法
US4536231A (en) * 1982-10-19 1985-08-20 Harris Corporation Polysilicon thin films of improved electrical uniformity
US4592799A (en) * 1983-05-09 1986-06-03 Sony Corporation Method of recrystallizing a polycrystalline, amorphous or small grain material
JPS605508A (ja) 1983-06-24 1985-01-12 Agency Of Ind Science & Technol 半導体結晶薄膜の製造方法
JPS6014441A (ja) 1983-07-04 1985-01-25 Semiconductor Energy Lab Co Ltd 半導体装置作製方法
US4662708A (en) * 1983-10-24 1987-05-05 Armco Inc. Optical scanning system for laser treatment of electrical steel and the like
JPS60224282A (ja) * 1984-04-20 1985-11-08 Semiconductor Energy Lab Co Ltd 半導体装置の作製方法
JPH0693515B2 (ja) * 1984-04-26 1994-11-16 株式会社半導体エネルギー研究所 半導体装置作製方法
GB2158843A (en) 1984-05-14 1985-11-20 Philips Electronic Associated Method of manufacturing a semiconductor device by molecular beam epitaxy
JPH07118443B2 (ja) * 1984-05-18 1995-12-18 ソニー株式会社 半導体装置の製法
JPS60257511A (ja) * 1984-06-04 1985-12-19 Sony Corp 熱処理方法及びそれに用いる熱処理装置
JPS6180815A (ja) * 1984-09-27 1986-04-24 Sony Corp 線状エネルギ−ビ−ム照射装置
US4937459A (en) 1984-11-16 1990-06-26 Canon Kabushiki Kaisha Alignment signal detecting device
JPS61141174A (ja) * 1984-12-13 1986-06-28 Seiko Epson Corp 固体撮像装置
JPS61152069A (ja) 1984-12-26 1986-07-10 Hitachi Ltd 半導体装置の製造方法
US4769750A (en) * 1985-10-18 1988-09-06 Nippon Kogaku K. K. Illumination optical system
US4733944A (en) * 1986-01-24 1988-03-29 Xmr, Inc. Optical beam integration system
EP0241317B1 (en) * 1986-04-11 1993-03-10 Canon Kabushiki Kaisha Process for forming deposited film
JPS636501A (ja) 1986-06-27 1988-01-12 Komatsu Ltd インテグレ−タプリズム
JPS6325933A (ja) * 1986-07-17 1988-02-03 Nec Corp シリコン基板の歪付け方法
JPS6344739A (ja) * 1986-08-12 1988-02-25 Fujitsu Ltd 半導体装置の製造方法
JPS6384789A (ja) 1986-09-26 1988-04-15 Semiconductor Energy Lab Co Ltd 光加工方法
US6149988A (en) 1986-09-26 2000-11-21 Semiconductor Energy Laboratory Co., Ltd. Method and system of laser processing
US5708252A (en) * 1986-09-26 1998-01-13 Semiconductor Energy Laboratory Co., Ltd. Excimer laser scanning system
JPH0355921Y2 (zh) * 1986-11-11 1991-12-13
JPH0786647B2 (ja) 1986-12-24 1995-09-20 株式会社ニコン 照明装置
US4943733A (en) * 1987-05-15 1990-07-24 Nikon Corporation Projection optical apparatus capable of measurement and compensation of distortion affecting reticle/wafer alignment
JP2773117B2 (ja) 1987-06-19 1998-07-09 株式会社ニコン 露光装置及び露光方法
US4773944A (en) * 1987-09-08 1988-09-27 Energy Conversion Devices, Inc. Large area, low voltage, high current photovoltaic modules and method of fabricating same
JPS6476715A (en) * 1987-09-17 1989-03-22 Nec Corp Manufacture of polycrystalline semiconductor thin film
JPS6487814A (en) 1987-09-29 1989-03-31 Matsushita Electric Ind Co Ltd Exhaust gas filter
JP2540744B2 (ja) 1987-10-08 1996-10-09 株式会社ニコン レ―ザを用いた露光用照明装置
JPH01119020A (ja) 1987-10-30 1989-05-11 Canon Inc 露光装置
JPH0676312B2 (ja) * 1988-01-19 1994-09-28 株式会社サンギ 洗顔,洗髪及び入浴剤
JP2623276B2 (ja) 1988-01-22 1997-06-25 株式会社日立製作所 薄膜半導体装置の製造方法
JPH0831645B2 (ja) 1988-03-16 1996-03-27 株式会社ニコン 照明装置
US5307207A (en) * 1988-03-16 1994-04-26 Nikon Corporation Illuminating optical apparatus
JPH01239837A (ja) * 1988-03-19 1989-09-25 Nippon Denso Co Ltd 再結晶化方法
JPH01241862A (ja) * 1988-03-24 1989-09-26 Sony Corp 表示装置の製造方法
JPH01245993A (ja) * 1988-03-27 1989-10-02 Semiconductor Energy Lab Co Ltd 薄膜加工装置
NL8800953A (nl) * 1988-04-13 1989-11-01 Philips Nv Werkwijze voor het vervaardigen van een halfgeleiderlichaam.
JP2664723B2 (ja) 1988-05-11 1997-10-22 富士通株式会社 レーザアニール装置
JPH01286478A (ja) 1988-05-13 1989-11-17 Hitachi Ltd ビーム均一化光学系おゆび製造法
JP2653099B2 (ja) 1988-05-17 1997-09-10 セイコーエプソン株式会社 アクティブマトリクスパネル,投写型表示装置及びビューファインダー
JPH0220681A (ja) * 1988-07-05 1990-01-24 Fujitsu Ltd レーザビームの集束方法
JPH0251224A (ja) 1988-08-15 1990-02-21 Tokyo Electron Ltd 不純物の注入方法
JP2632558B2 (ja) * 1988-09-08 1997-07-23 株式会社日立製作所 レーザビーム照射装置および照射方法
US5180690A (en) * 1988-12-14 1993-01-19 Energy Conversion Devices, Inc. Method of forming a layer of doped crystalline semiconductor alloy material
JP2679319B2 (ja) * 1988-12-22 1997-11-19 株式会社ニコン 照明装置及びそれを備えた露光装置並びに露光方法
US5253110A (en) 1988-12-22 1993-10-12 Nikon Corporation Illumination optical arrangement
JPH02187294A (ja) * 1989-01-13 1990-07-23 Nec Corp レーザビーム整形装置
JP2535610B2 (ja) * 1989-02-22 1996-09-18 株式会社半導体エネルギー研究所 薄膜トランジスタの作製方法
JPH02255292A (ja) * 1989-03-28 1990-10-16 Fuji Electric Co Ltd レーザ加工装置
US5225924A (en) * 1989-04-07 1993-07-06 Dainippon Screen Mfg. Co., Ltd. Optical beam scanning system
JP3190653B2 (ja) * 1989-05-09 2001-07-23 ソニー株式会社 アニール方法およびアニール装置
US5272361A (en) * 1989-06-30 1993-12-21 Semiconductor Energy Laboratory Co., Ltd. Field effect semiconductor device with immunity to hot carrier effects
US5089802A (en) * 1989-08-28 1992-02-18 Semiconductor Energy Laboratory Co., Ltd. Diamond thermistor and manufacturing method for the same
JPH03132012A (ja) * 1989-10-18 1991-06-05 Nikon Corp 半導体露光装置
US4997250A (en) * 1989-11-17 1991-03-05 General Electric Company Fiber output coupler with beam shaping optics for laser materials processing system
JPH03159119A (ja) * 1989-11-17 1991-07-09 Hitachi Ltd 半導体装置の製造方法
US5232674A (en) * 1989-12-20 1993-08-03 Fujitsu Limited Method of improving surface morphology of laser irradiated surface
JP3033120B2 (ja) * 1990-04-02 2000-04-17 セイコーエプソン株式会社 半導体薄膜の製造方法
JPH0411226A (ja) 1990-04-27 1992-01-16 Seiko Epson Corp 表示装置の製造方法
JP2657957B2 (ja) * 1990-04-27 1997-09-30 キヤノン株式会社 投影装置及び光照射方法
US5095386A (en) * 1990-05-01 1992-03-10 Charles Lescrenier Optical system for generating lines of light using crossed cylindrical lenses
EP0456199B1 (en) * 1990-05-11 1997-08-27 Asahi Glass Company Ltd. Process for preparing a polycrystalline semiconductor thin film transistor
JP2700277B2 (ja) 1990-06-01 1998-01-19 株式会社半導体エネルギー研究所 薄膜トランジスタの作製方法
JP3248109B2 (ja) 1990-11-02 2002-01-21 ソニー株式会社 ステップアンドリピート式のレーザ結晶化方法及びレーザ光照射方法
US5236865A (en) * 1991-01-16 1993-08-17 Micron Technology, Inc. Method for simultaneously forming silicide and effecting dopant activation on a semiconductor wafer
JP3149450B2 (ja) * 1991-04-04 2001-03-26 セイコーエプソン株式会社 薄膜トランジスタの製造方法及び製造装置
US5097291A (en) * 1991-04-22 1992-03-17 Nikon Corporation Energy amount control device
JP3277548B2 (ja) * 1991-05-08 2002-04-22 セイコーエプソン株式会社 ディスプレイ基板
JP3213338B2 (ja) * 1991-05-15 2001-10-02 株式会社リコー 薄膜半導体装置の製法
JP3466633B2 (ja) * 1991-06-12 2003-11-17 ソニー株式会社 多結晶半導体層のアニール方法
GB9114018D0 (en) * 1991-06-28 1991-08-14 Philips Electronic Associated Thin-film transistor manufacture
JPH0521339A (ja) * 1991-07-10 1993-01-29 Ricoh Co Ltd 薄膜半導体装置とその製法
KR100269350B1 (ko) * 1991-11-26 2000-10-16 구본준 박막트랜지스터의제조방법
KR950003235B1 (ko) * 1991-12-30 1995-04-06 주식회사 금성사 반도체 소자의 구조
US5424244A (en) * 1992-03-26 1995-06-13 Semiconductor Energy Laboratory Co., Ltd. Process for laser processing and apparatus for use in the same
US5372836A (en) * 1992-03-27 1994-12-13 Tokyo Electron Limited Method of forming polycrystalling silicon film in process of manufacturing LCD
JP3211377B2 (ja) * 1992-06-17 2001-09-25 ソニー株式会社 半導体装置の製造方法
JPH06124913A (ja) 1992-06-26 1994-05-06 Semiconductor Energy Lab Co Ltd レーザー処理方法
SG46344A1 (en) * 1992-11-16 1998-02-20 Tokyo Electron Ltd Method and apparatus for manufacturing a liquid crystal display substrate and apparatus and method for evaluating semiconductor crystals
US5403762A (en) * 1993-06-30 1995-04-04 Semiconductor Energy Laboratory Co., Ltd. Method of fabricating a TFT
JPH06232069A (ja) * 1993-02-04 1994-08-19 Semiconductor Energy Lab Co Ltd 半導体装置の作製方法
US5366926A (en) * 1993-06-07 1994-11-22 Xerox Corporation Low temperature process for laser dehydrogenation and crystallization of amorphous silicon
US6300176B1 (en) 1994-07-22 2001-10-09 Semiconductor Energy Laboratory Co., Ltd. Laser processing method
JP3286518B2 (ja) 1996-01-23 2002-05-27 三洋電機株式会社 ラジオ受信機の電界強度検出回路
JP3917231B2 (ja) 1996-02-06 2007-05-23 株式会社半導体エネルギー研究所 レーザー照射装置およびレーザー照射方法
US5699191A (en) 1996-10-24 1997-12-16 Xerox Corporation Narrow-pitch beam homogenizer
JP3813269B2 (ja) 1996-11-01 2006-08-23 株式会社半導体エネルギー研究所 レーザー照射システム
US5986807A (en) 1997-01-13 1999-11-16 Xerox Corporation Single binary optical element beam homogenizer
JPH10253916A (ja) 1997-03-10 1998-09-25 Semiconductor Energy Lab Co Ltd レーザー光学装置

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1614756B (zh) * 1994-12-16 2013-06-19 株式会社半导体能源研究所 半导体器件及其制造方法
CN101256947B (zh) * 2001-08-03 2010-08-18 株式会社半导体能源研究所 激光辐照装置、激光辐照方法、以及半导体器件制造方法
CN103464892A (zh) * 2012-06-05 2013-12-25 三菱综合材料株式会社 激光加工装置及激光加工方法
CN103464892B (zh) * 2012-06-05 2016-03-09 三菱综合材料株式会社 激光加工装置及激光加工方法
CN107852818A (zh) * 2015-04-27 2018-03-27 国家科研中心 使用两种激光器的打印方法
CN107852818B (zh) * 2015-04-27 2020-07-14 国家科研中心 使用两种激光器的打印方法
CN106903424A (zh) * 2017-02-20 2017-06-30 温州大学 一种基于激光冲击波提高光学元件力学性能的后处理方法

Also Published As

Publication number Publication date
JP2001060562A (ja) 2001-03-06
KR970005141B1 (ko) 1997-04-12
US5858473A (en) 1999-01-12
US6991975B1 (en) 2006-01-31
CN1076864C (zh) 2001-12-26
JP3708793B2 (ja) 2005-10-19
KR940001496A (ko) 1994-01-11
CN1921069B (zh) 2010-12-08
CN1214450C (zh) 2005-08-10
CN1108225C (zh) 2003-05-14
JP2007158376A (ja) 2007-06-21
CN1139105C (zh) 2004-02-18
KR100261853B1 (ko) 2000-08-01
US5897799A (en) 1999-04-27
KR0169945B1 (en) 1999-02-18
KR100261852B1 (ko) 2000-07-15
CN1128193A (zh) 1996-08-07
CN1414604A (zh) 2003-04-30
JP2004186704A (ja) 2004-07-02
JP4602365B2 (ja) 2010-12-22
US6440785B1 (en) 2002-08-27
JP2010045411A (ja) 2010-02-25
CN1284742A (zh) 2001-02-21
JP2001044131A (ja) 2001-02-16
KR0169872B1 (ko) 1999-10-01
US20060194377A1 (en) 2006-08-31
CN1216404C (zh) 2005-08-24
CN1921069A (zh) 2007-02-28
KR100203981B1 (ko) 1999-06-15
JP2011223027A (ja) 2011-11-04
CN1350322A (zh) 2002-05-22
JP2001023921A (ja) 2001-01-26
CN1414615A (zh) 2003-04-30
JPH06124913A (ja) 1994-05-06
JP2000357667A (ja) 2000-12-26
US5968383A (en) 1999-10-19
JP4832566B2 (ja) 2011-12-07
JP2001015449A (ja) 2001-01-19
US6002101A (en) 1999-12-14
US7985635B2 (en) 2011-07-26

Similar Documents

Publication Publication Date Title
CN1076864C (zh) 激光加工方法
CN1144275C (zh) 薄膜晶体管制造方法及薄膜晶体管
US5714404A (en) Fabrication of polycrystalline thin films by pulsed laser processing
CN1186802C (zh) 顺序横向固化方法加工期间及其后硅薄膜的表面平面化
CN1540390A (zh) 光束均匀器、激光照射装置及半导体装置制造方法
CN1685474A (zh) 对基片上薄膜区域作激光结晶处理以最小化边缘区域的过程和系统,及如此薄膜区域的结构
CN1223460A (zh) 半导体材料
CN1719582A (zh) 制备多晶硅薄膜的方法以及用其制备半导体器件的方法
CN1185532C (zh) 平板显示器的制造方法
JPH08157295A (ja) 薄膜形成方法
CN1770397A (zh) 用于多晶硅制作的辅助激光结晶的方法

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
C17 Cessation of patent right
CX01 Expiry of patent term

Expiration termination date: 20130626

Granted publication date: 20011226