CN1106030C - 提高金属抗氟能力的方法及集成电路结构 - Google Patents

提高金属抗氟能力的方法及集成电路结构 Download PDF

Info

Publication number
CN1106030C
CN1106030C CN97120067A CN97120067A CN1106030C CN 1106030 C CN1106030 C CN 1106030C CN 97120067 A CN97120067 A CN 97120067A CN 97120067 A CN97120067 A CN 97120067A CN 1106030 C CN1106030 C CN 1106030C
Authority
CN
China
Prior art keywords
fluorine
metal
barrier layer
layer
dielectric film
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Lifetime
Application number
CN97120067A
Other languages
English (en)
Other versions
CN1182956A (zh
Inventor
E·C·库内三世
H·K·李
T·L·麦德维特
A·K·斯塔姆佩尔
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
International Business Machines Corp
Original Assignee
International Business Machines Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by International Business Machines Corp filed Critical International Business Machines Corp
Publication of CN1182956A publication Critical patent/CN1182956A/zh
Application granted granted Critical
Publication of CN1106030C publication Critical patent/CN1106030C/zh
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
    • H01L21/28568Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System the conductive layers comprising transition metals
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76814Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics post-treatment or after-treatment, e.g. cleaning or removal of oxides on underlying conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76826Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. by contacting the layer with gases, liquids or plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76828Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. thermal treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76831Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers in via holes or trenches, e.g. non-conductive sidewall liners
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76832Multiple layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76834Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers formation of thin insulating films on the sidewalls or on top of conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76835Combinations of two or more different dielectric layers having a low dielectric constant
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S438/00Semiconductor device manufacturing: process
    • Y10S438/963Removing process residues from vertical substrate surfaces

Abstract

一种提高金属对自身因暴露在从含氟材料释放出来的氟而变质的抵抗能力的方法,即在绝缘材料与金属之间形成氟阻挡层。本发明材对提高半导体结构中金相(例如铝金相)抗腐蚀和抗中毒的能力特别有用。本发明还包括用这种方法制取的集成电路结构。

Description

提高金属抗氟能力的方法及集成电路结构
本发明总的说来涉及半导体器件及其制造工艺,更具体地说,涉及防止半导体器件布线变质的方法和结构。
金属线与含氟的绝缘材料接触会变质。这种变质是IC(集成电路)失灵的潜在机理,因而是应该认真对待的问题。半导体工业对变质问题付出的代价极大,因为随之而来的是必须对工艺过程严加监督、观察,对设备进行维修。在本发明问世之前,人们对金属线与含氟的绝缘层接触会变质的问题一无所知,更不用说解决问题了。
因此,本发明的目的是提供金属与含氟绝缘材料接触不致变质的方法。
本发明的另一个目的提供使铝线与含氟的氧化物绝缘体接触不致腐蚀的方法。
本发明还有另一个目的,即防止氟毒化IC金属化系统中使用的金属,从而防止通路电阻不希望有的增长并增强通路填料中金属化层与金属之间的接触。
本发明的上述和其它目的是通过下列措施达到的:在含氟绝缘材料与金属之间加上不含氟的阻挡层,以便有效地限制氟从绝缘材料往金属中的扩散,从而防止金属变质。
对本发明来说,在金属电气触点和以金属为主的电导体方面使用的变质”一词包括金属的“腐蚀”或“中毒”。金属线或金属触点因暴露在氟中而引起的“腐蚀”是指氟与金属通过化学反应而产生金属氟化物。金属因暴露在氟中而“中毒”是指氟作为污染物质自然渗入金属中的量足以提高受污染金属介面的接触电阻的情况。
虽然并不想拘泥于任何具体的理论,但我们认为,含氟的绝缘材料在金属线和金属导体形成线路图形过程中具有释放氟成分的倾向,特别是氟以元素氟(F)或氟气体(F2)的形式出现时,起了引发和/或促进对诸如铝或钛之类的金属的腐蚀和/或毒化作用,从而使金属暴露在释放出的氟中或为释放出的氟所渗透。氟无论是有意识地作为绝缘材料的成分加入或甚至作为绝缘材料的污染物质出现,都可以观察到上述现象。例如,我们就曾经观察到氟作为污染物质出现在通常使用的作为金属导线间绝缘薄膜的以TEOS(即原硅酸四乙酯)为主的绝缘膜中。氟作为污染物质在绝缘层中的出现,如果不用本发明采用的起调停作用的阻挡层加以阻止,一旦释放出来,依然是邻近各金属导线变质潜在的一个威胁。
本发明的研究人员发现,金属暴露在氟中腐蚀的速度完全与邻接的绝缘薄膜中氟的浓度有关,就是说,绝缘薄膜中的氟浓度低引起的金属腐蚀比浓度高时小。
在本发明中,不含氟的阻挡层是在阻挡层上形成金属电层和将金属导线层制成一定的线路布线图形之前在绝缘膜上形成的。其例子有氧化硅、氮化硅、硅或碳膜。在本发明中,不含氟的阻挡层材料本身必须是不含氟的,而且不应在金属腐蚀、抗蚀剂清除、净化、退火和其它与金属化操作有关的工序中产生氟或让氟在各工序过程中迁移。“不含氟”一词是说没有一点氟出现,虽然可能会有痕量的氟作为污染物质存在,其量小得微不足道以致不会形成损害金属导线和绝缘膜上或绝缘膜中形成的通路金属的作用的真正变质的威胁。因此,“不含氟”一词应这样理解。不含氟的阻挡层材料的介电性能还符合BEOL(线路后端)加工工序的要求,而且材料本身应与标准的通路工艺相适应。
不含氟阻挡层可以按两种不同的方法制取:一种基本上是添加式的,另一种实质上是减除式的。添加法是将阻挡层作为外加层淀积在含氟绝缘材料外露的表面上。可淀积成薄膜的不含氟阻挡层材料有不含氟的硅烷或制备得最大限度地减少氟污染物质以TEOS为主材料的薄膜。其它在本发明的实践中可淀积成薄膜的不含氟阻挡层材料有溅射淀积法制成的氧化物、等离子体增强化学汽相淀积法制成的氮化硅、本征物理汽相淀积法制成的硅、未掺杂的氧化硅、汽相淀积法制成的非晶质碳和其它不含氟的类似材料。
形成不含氟阻挡层的减除法是通过改变含氟材料表面区的组成形成的,具体作法是除去被覆层中包含的氟,以便有效制取内部富氟、外部无氟的阻挡层。要以这种方式制取不含氟的阻挡层,可以将含氟的绝缘材料在有或没有等离子体的情况下在氢气中退火,不然也可以将含氟的绝缘材料暴露在氧或臭氧的等离子体中,以减少和消除含氟绝缘材料表面区的氟,在绝缘材料表面区形成不含氟的表面层。在这种氢气退火的情况下,我们认为从绝缘材料表面放出而产生HF气体,从而形成不含氟区。另一方面,尽管这时真正的机理尚未搞清楚,理论上我们认为氧或臭氧的等离子体使绝缘材料的表面区放出而产生SiF或F气体,为不含氟的SiO所取代。
无论用本发明的添加法抑或减除法,不含氟阻挡层的厚度必须足以防止氟通过阻挡层迁移。通常,不含氟阻挡层的厚度取决于阻挡层的材料及其特有的结构。不含氟阻挡层的厚度通常在1纳米至300纳米的范围。
在本发明的另一个实施例中,不含氟阻挡层在有意将绝缘膜掺以氟以减小绝缘膜的介电常数从而减少各邻接金属线之间的容性耦合的场合特别有用。在BEOL(线路后端)工艺中就有这种情况。在本发明的这个实施例中,不含氟阻挡层是在多氟绝缘膜与金属线之间形成的,以阻止原本对金属线腐蚀日益加剧的危险。
在本发明的另一实施例中,不含氟阻挡层用来通过加强通路中第一金属化层与金属的接触来降低通路的电阻。例如,在本实施例中,可以在围绕通路的含氟氧化层表面形成不含氟阻挡层以通过防止诸如AlCu/TiN/Ti/W、AlCu/Ti/AlCu或Cu/TaN/Cu之类的通路金属的“中毒”和通路金属粘结随之而来的因暴露在氟中引起的污染消失来增强通路金属与第一层金属的接触。此实施例有效地防止通路电阻提高。
用本发明加以保护免受氟腐蚀的金属包括任何有氟存在时易受腐蚀的金属或金属合金。用本发明加以保护不致因氟而变质的金属包括例如铝和象Al-Si、Al-Cu、Al-Si-Cu之类的铝合金,铜和象Cu-Sn、Cu-Si之类的铜合金、Au和以Au为主的合金(例如Au-Ge、Au-Zn)、镍和镍合金、铬、CrSi2、锗、钼、MoSi2、钯、PdSi2、铂、PtSi、钽、TaSi2、钛、TiN、Ti-Pt、Ti-W、TiSi2、钨、WSi2、WN、ZrSi2等。特别是铝或铜及其各自的合金,对硅、多晶硅、硅化物和表面石英层的欧姆接触和键合极为理想,因而在VLSI(超大规模集成电路)技术中广泛用在多层金属化设计中。然而,鉴于含铝的金属和含铜的金属还特别易受氟引起的腐蚀,因而本发明在保护这类金属材料方面特别有用。
本发明不难与VSLI技术中极其重要的多层金属化设计结合和配合使用。通常,需要结合使用工艺的只有一个工序,即不含氟阻挡层的形成工序本身。此外,由于本发明是在防止金属布线和金属化互连器件变质方面特别有力的技术,因而因降低了废品率和变质监控要求而节约成本。
参看附图阅读下面对本发明的详细说明可以更好地理解上述和其它目的、各方面和优点。附图中:
图1是本发明的一个实施例有关添加法形成氟阻挡层各工序的流程图;
图2A~2F示出了图1的流程图中所述的本发明的实施半导体器件的横向剖视图;
图3是本发明的一个实施例有关减除形成氟阻挡层各工序的流程图;
图4A至4E示出了图3的流程图中所述有关含氟层表面改进的本发明另一实施例工序不同阶段的半导体器件的横向剖视图。
各附图没有必要按比例绘制,各层的厚度仅仅是为清楚举例说明起见示出的,不应视为是对本发明的限制。
现在参看附图,更具体地说,参看图1,图1示出了本发明的一个实施例有关添加法形成不含氟阻挡层的流程图。本发明如图1中所概括的加工顺序按下列各工序的顺序进行:
(a)形成金属布线图形,即顺次履行下列子工序:金属淀积/光刻掩蔽/腐蚀/剥除/退火;
(b)淀积氟阻挡层(下层);
(c)淀积氟化绝缘层;
(d)绝缘层化学机械抛光;
(e)淀积氟阻挡层(上层);
(f)通过下列子工序形成触点:光刻掩蔽/腐蚀法形成通道/用金属填满通道和形成第二层布线;且
想形成其它的金属化层时重复(a)~(f)各工序。
图2A~2F分别示出了上述添加法的实施过程。图2A中示出了金属配线图形24的形成,这是顺次通过以下子工序进行的:在衬底26(例如单晶半导体)上淀积一层金属层,腐蚀形成布线图形24时可采用一般的蚀刻法在金属层上形成图形和加掩模。接着剥除掩模并将金属化衬底退火,使金相部分结晶。如图2B中所示,接着在衬底26和金属布线24外露的表面部分保护形成不含氟的阻挡层28。不含氟下阻挡层28可用一般的绝缘膜形成法淀积成,例如化学汽相淀积法(CVD)、等离子体增强化学汽相淀积法(PECVD)、低压化学汽相淀积法(LPCVD)、HDPCVD或物理汽相淀积法(PVD)等。不含氟阻挡层材料可以是例如硅、二氧化硅、氮化硅或碳。不含氟阻挡层的厚度必须大于1纳米,最好大于5纳米左右,从实用和技术观点出法,不含氟阻挡层的厚度没有上限。氟阻挡层若太薄,氟就会通过阻挡层迁移,从而污染了位于阻挡层反面的金属,这是我们所不希望发生的。
接着,如图2C中所示,氟绝缘层22可以通过将一般的二氧化硅或无定形碳层掺氟进行淀积。这种掺杂可在形成氟绝缘层22的过程中通过用诸如SixFy(x和y为正值)例如SiF4或诸如CxFy例如CF4、CF6、C2F6、C6F12之类的氟源将形成绝缘膜的气体掺氟进行。形成绝缘膜气体可以是例如SiH4/O2、SiH4/O2/Ar、SiH4/N2O、或TEOS/O2,例如以CVD、PECVD、HDPCVD或PVD法淀积。本发明的一些最佳实施例用掺SiF4的SiH4/O2/Ar HDPCVD或掺SiF4的TEOS/O2/He PECVD形成富氟绝缘层22。富氟绝缘层22的厚度通常取得比金属丝24的厚度大和小于金属丝厚度的四分之一的值。富氟绝缘层22可以是例如SiOzF2-z(其中0<z<2)。图2D的这种中间结构一般在增设金属化层之前先经过抛光以确保在同一平面上。
如图2E所示,不含氟的上阻挡层28是在富氟绝缘层22表面形成的。上氟阻挡层28可用任何上述同一方法相对于下氟阻层28形成。如图2E中所示,上氟阻挡层28挡上用光刻法开了一孔,通路20则通过腐蚀穿过氟阻挡层28、富氟绝缘层22和下氟阻挡层28形成,一直到金属丝24外露为止。
如图2F所示,就时就可以按一般设计按下列顺序填充以下金属:先是钛,然后Ti-N(例如用PVD),最后是钨(例如用CVD法)。通路20中的通路金属最好经过腐蚀和抛光(例如用CMP法)使其与阻挡层28的表面齐平。接着,可以通过在上阻挡层膜28的表面和通路20上形成金属膜、形成导线23图形并将其腐蚀、再进行退火使金相结晶来形成第二级金属化层23。
如图2F中所示,完工后的金属化部分包括通路20,且钨通路20通过SiOzF2-z层22将埋设的铝线24上覆的铝线23互连起来。第一金属化层的各铝线24和各铝线所在的衬底26上都形成有下氟阻挡层28。这种结构的上氟阻挡层28还用作通路20中金属填料和金属层23的氟阻挡层。上阻挡层28保护金属化层23使其不致因富氟绝缘层22在金属化层23形成图形过程中放出的氟而腐蚀掉,既加强了金属线23与通路20中金属填料的粘合,因上阻挡膜28防止通路金属中氟毒,而不允许通路20中金属填料的电阻增加。
综上所述,对本发明对IC制造使用的易因氟而变质的任何金属有利,本发明对保护铝、铝合金、铜和铜合金材料持别有用。
图3示出了本发明有关减除法形成不含氟阻挡层的第二实施例的流程图。本发明在图3中概括的加工顺序按以下一系列步骤进行:
(a)通过顺次履行下列子工序形成金属布线图形:淀积/光刻掩蔽/腐蚀/剥除掩模/退火;
(b)淀积氟化绝缘层;
(c)化学机械抛光绝缘层;
(d)通过光刻掩蔽和腐蚀形成通路;
(e)氟溶蚀工序;
(f)用金属填充通路和形成第二级层配线;
要增设任何所要求的金属化层时重复工序(a)~(e)。
图4A至图4E示出了图3中概括的方案中用减除法形成不含氟阻挡层的形成的过程,其中从含氟层表面区清除氟。图4A至图4B中所示的各工序分别与图2A至图2B所示的相同。从图4C中可以看到,通路40在衬底44(例如单晶半导体)上用SiOzF2-z绝缘体2形成(其中0<Z<2)。另一方面,本发明也适用于受氟成分子污染或可能受氟污染的绝缘层42。通路40底部是可以取金属布线46形式的金属。如图4D中所示,所有的表面都暴露在臭氧等离子体48以便将氟从绝缘层42的表面区43溶蚀掉。
但应该指出的是,氟溶蚀工序也可以用热激法或用等离子体增强的气体与SiOzF2-z表面的反应来进行。至于溶蚀氟使用的热激发条件可以将含氟层的表面区暴露在400℃的环境中,并在大气压下令H2或Ar/H2气通过炉管1至60分钟,最好约30分钟,来溶蚀氟。溶蚀氟使用的等离子体增强气体工序条件则可以将含氟层的表面区在3毫乇至100乇的压力范围和400℃的温度下在反应室O2或O2/O3等离子体的环境下暴露10至300秒,最好约60秒。更具体地说,从含氟层的表面区溶蚀氟的一系列条件例如可以用400SccmO3/3600SccmO2的原料混合气、400℃的反应室温度和30乇压力和600瓦射频以及Applied Materials P500 Universal CVD反应室中0.7厘米反应器空间。作为另一种选择方案,也可以在本发明中采用化学蚀法来溶蚀氟。
这个溶蚀工序将绝缘材料42表面区43所含的全部氟完全清除掉。阻挡层43的厚度部分为臭氧等离子体的持续时间和强度的函数。氟清除之后,阻挡层43就可以防止驻留在绝缘层42其余部分的氟逸出而使金属线46的金属表面41或任何在通路40中形成与金属线46接触的金属互连和其它级次的金属化层暴露出来。
图5示出了用例如金属镶嵌布线(例如金属镶嵌Al线或Cu线)和氟阻挡层若干不同的制备情况。具体地说,在此横截面中,金属镶嵌线52部分埋置在SiOzF2-z层5 4中。就是说,这些金属线52的上半部处在SiOzF2-z层54中,下半部部分埋置在衬底58中。不含氟的SiO2阻挡层51在其上淀积上第二SiOzF2-z层56之前按说明图2A~2F的实施例时所述相同的方式在金属线52上形成。接着,在绝缘层56淀积上第二不含氟SiO2阻挡层。阻挡层53是用掩模开辟的,通过腐蚀使金属线52的表面通过各层53/56和51外露,如图5中所示。在通路50中可淀积形成铝或铜互连体通过SiOzF2-z层56与金属线52的上表面接触。
参看图4D和图5,可以看到在通路表面或沟道侧壁成阻挡层可以保护淀积在其中或在其附近形成的金属化层使其免受存在于绝缘层的氟污染物质的侵蚀。应该理解的是,上述实例中使用的氟阻挡层所示的特定形成方式仅仅是举例而已,形成氟阻挡层的添加法或减除法都可应用于因上阻挡膜28防止通路金属中氟毒以上所举的任何实施例。大家知道,这个特点对有意将绝缘膜掺以氟以减小绝缘膜的介电常数从而减小邻接的各金属线之间的容性耦合的BEOL技术来说特别有利。在本发明的这个实施例中,不含氟阻挡层是(用本发明的添加法或减除法)置于多氟绝缘膜与金属导线之间以降低原本金属线扩大的变质危险性。
上面已就本发明的一些最佳实施例本发明,但本技术领域的行家们都知道,在不脱离所附权利要求书的精神实质和范围的前提下是可以对本发明进行种种修改付诸实施的。

Claims (20)

1.一种提高金属对自身因暴露在氟中而变质的抵抗能力的方法,其特征在于,它包括下列步骤:
配备含氟的绝缘膜;
在所述绝缘膜上形成氟阻挡层;
在所述氟阻挡层上形成金属。
2.根据权利要求1所述的提高金属抗变质能力的方法,其特征在于,在所述氟阻挡层上形成的金属是金属线路图形。
3.如权利要求2所述的方法,其特征在于,所述氟阻挡层是选自由硅、二氧化硅、氮化硅和碳组成的材料群的不含氟材料。
4.如权利要求2所述的方法,其特征在于,所述淀积氟阻挡层的步骤包括选自由等离子体增强化学汽相淀积法、物理汽相淀积法、低压化学汽相淀积法和高压化学汽相淀积法组成的方法群的淀积方法。
5.如权利要求2所述的方法,其特征在于,所述绝缘膜是氧化物绝缘膜。
6.如权利要求2所述的方法,其特征在于,所述绝缘膜是SiOzF2-z,其中0<z<2。
7.如权利要求2所述的方法,其特征在于,所述金属线路是由下列金属组成的金属群的金属材料制成:Al,Al-Si,Al-Cu,Al-Si-Cu,Cu,Cu-Sn,Cu-Si,Au,Au-Zn,Au-Ge,Ni,Cr,CrSi2,Ge,Mo,MoSi2,Pd,PdSi2,Pt,PtSi,Ta,TaSi2,Ti,TiN,Ti-Pt,Ti-W,TiSi2,W、WSi2、WN和ZrSi2
8.如权利要求2所述的方法,其特征在于,所述金属线路是由下列金属组成的金属群的金属材料制成:含铝材料,含钛材料和含铜材料。
9.如权利要求2所述的方法,其特征在于,所述金属线路为含铝材料。
10.如权利要求2所述的方法,其特征在于,所述氟阻挡层的厚度在1纳至300纳米的范围。
11.一种提高金相抗变质能力的方法,其特征在于,它包括下列步骤:
配备一个具有表面区的含氟的绝缘膜;
从所述绝缘膜的所述表面区除去氟,以便在所述绝缘膜上形成氟阻挡层;和
在所述氟阻挡层上形成金属线路图形。
12.如权利要求11所述的方法,其特征在于,从所述表面区除去氟是通过将所述表面区暴露在氢中退火进行的。
13.如权利要求11所述的方法,其特征在于,从所述表面区除去氟是通过将所述表面区暴露在臭氧等离子体中进行的。
14.如权利要求11所述的方法,其特征在于,所述氟阻挡层的厚度在1纳米至300纳米的范围。
15.一种集成电路结构,其特征在于,它包括:
一个衬底;
图形金属化层,在所述衬底上形成;
含氟绝缘层,在所述衬底上形成;和
氟阻挡层,在所述衬底上形成并且在所述含氟绝缘层与所述图形金属化层之间;
16.如权利要求15所述的集成电路结构,其特征在于,所述含氟绝缘层上有一个孔,所述氟阻挡层位于所述含氟绝缘层的所述孔的侧壁上。
17.如权利要求16所述的集成电路结构,其特征在于,所述孔选自通路或沟道组成的通道群。
18.根据权利要求15所述的集成电路结构,其特征在于,在所述含氟绝缘层上形成第二氟阻挡层。
19.如权利要求18所述的集成电路结构,其特征在于,有一个填充有金属的通路孔通过所述第二氟阻挡层材料、所述绝缘层和所述第一氟阻挡层,其中填充金属的通路孔与图形金属化层接触。
20.如权利要求19所述的集成电路结构,其特征在于,所述第二氟阻挡层上形成有第二级金属化层,与所述充金属通路孔接触。
CN97120067A 1996-11-08 1997-10-07 提高金属抗氟能力的方法及集成电路结构 Expired - Lifetime CN1106030C (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US744846 1985-06-13
US744,846 1996-11-08
US08/744,846 US6310300B1 (en) 1996-11-08 1996-11-08 Fluorine-free barrier layer between conductor and insulator for degradation prevention

Publications (2)

Publication Number Publication Date
CN1182956A CN1182956A (zh) 1998-05-27
CN1106030C true CN1106030C (zh) 2003-04-16

Family

ID=24994193

Family Applications (1)

Application Number Title Priority Date Filing Date
CN97120067A Expired - Lifetime CN1106030C (zh) 1996-11-08 1997-10-07 提高金属抗氟能力的方法及集成电路结构

Country Status (7)

Country Link
US (4) US6310300B1 (zh)
JP (1) JP3245104B2 (zh)
KR (1) KR100332272B1 (zh)
CN (1) CN1106030C (zh)
MY (1) MY127478A (zh)
SG (1) SG65024A1 (zh)
TW (1) TW346654B (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7851351B2 (en) 2006-03-31 2010-12-14 Tokyo Electron Limited Manufacturing method for semiconductor devices with enhanced adhesivity and barrier properties

Families Citing this family (112)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5989998A (en) * 1996-08-29 1999-11-23 Matsushita Electric Industrial Co., Ltd. Method of forming interlayer insulating film
US6310300B1 (en) * 1996-11-08 2001-10-30 International Business Machines Corporation Fluorine-free barrier layer between conductor and insulator for degradation prevention
JP3019021B2 (ja) * 1997-03-31 2000-03-13 日本電気株式会社 半導体装置及びその製造方法
US6121159A (en) 1997-06-19 2000-09-19 Lsi Logic Corporation Polymeric dielectric layers having low dielectric constants and improved adhesion to metal lines
EP0911697A3 (en) * 1997-10-22 1999-09-15 Interuniversitair Microelektronica Centrum Vzw A fluorinated hard mask for micropatterning of polymers
US6054380A (en) * 1997-12-09 2000-04-25 Applied Materials, Inc. Method and apparatus for integrating low dielectric constant materials into a multilevel metallization and interconnect structure
US6277730B1 (en) 1998-02-17 2001-08-21 Matsushita Electronics Corporation Method of fabricating interconnects utilizing fluorine doped insulators and barrier layers
JP3189781B2 (ja) * 1998-04-08 2001-07-16 日本電気株式会社 半導体装置の製造方法
JP3177968B2 (ja) * 1998-12-04 2001-06-18 日本電気株式会社 半導体装置及びその製造方法
US6153509A (en) * 1998-07-01 2000-11-28 Kabushiki Kaisha Toshiba Method of manufacturing a semiconductor device
US6373114B1 (en) * 1998-10-23 2002-04-16 Micron Technology, Inc. Barrier in gate stack for improved gate dielectric integrity
US6372301B1 (en) 1998-12-22 2002-04-16 Applied Materials, Inc. Method of improving adhesion of diffusion layers on fluorinated silicon dioxide
KR100381961B1 (ko) 1999-04-26 2003-04-26 삼성전자주식회사 반도체 기판 상에 질화된 계면을 형성하는 방법
US6797601B2 (en) * 1999-06-11 2004-09-28 Micron Technology, Inc. Methods for forming wordlines, transistor gates, and conductive interconnects
US6730584B2 (en) * 1999-06-15 2004-05-04 Micron Technology, Inc. Methods for forming wordlines, transistor gates, and conductive interconnects, and wordline, transistor gate, and conductive interconnect structures
US6559076B1 (en) * 1999-08-19 2003-05-06 Micron Technology, Inc. Method of removing free halogen from a halogenated polymer insulating layer of a semiconductor device
US6727588B1 (en) * 1999-08-19 2004-04-27 Agere Systems Inc. Diffusion preventing barrier layer in integrated circuit inter-metal layer dielectrics
JP4236778B2 (ja) * 1999-11-01 2009-03-11 株式会社ルネサステクノロジ 半導体装置
US20020076917A1 (en) * 1999-12-20 2002-06-20 Edward P Barth Dual damascene interconnect structure using low stress flourosilicate insulator with copper conductors
US6372291B1 (en) * 1999-12-23 2002-04-16 Applied Materials, Inc. In situ deposition and integration of silicon nitride in a high density plasma reactor
US6573030B1 (en) 2000-02-17 2003-06-03 Applied Materials, Inc. Method for depositing an amorphous carbon layer
US6284657B1 (en) * 2000-02-25 2001-09-04 Chartered Semiconductor Manufacturing Ltd. Non-metallic barrier formation for copper damascene type interconnects
AU2001245685A1 (en) * 2000-03-29 2001-10-08 Intel Corporation Method for modifying the surface of a fluorocarbon
JP2002194547A (ja) * 2000-06-08 2002-07-10 Applied Materials Inc アモルファスカーボン層の堆積方法
US6429129B1 (en) * 2000-06-16 2002-08-06 Chartered Semiconductor Manufacturing Ltd. Method of using silicon rich carbide as a barrier material for fluorinated materials
US6492731B1 (en) 2000-06-27 2002-12-10 Lsi Logic Corporation Composite low dielectric constant film for integrated circuit structure
JP3574383B2 (ja) 2000-07-31 2004-10-06 富士通株式会社 半導体装置及びその製造方法
US6489242B1 (en) * 2000-09-13 2002-12-03 Lsi Logic Corporation Process for planarization of integrated circuit structure which inhibits cracking of low dielectric constant dielectric material adjacent underlying raised structures
US6423630B1 (en) 2000-10-31 2002-07-23 Lsi Logic Corporation Process for forming low K dielectric material between metal lines
US6537923B1 (en) 2000-10-31 2003-03-25 Lsi Logic Corporation Process for forming integrated circuit structure with low dielectric constant material between closely spaced apart metal lines
US6607967B1 (en) 2000-11-15 2003-08-19 Lsi Logic Corporation Process for forming planarized isolation trench in integrated circuit structure on semiconductor substrate
DE10059935A1 (de) * 2000-11-28 2002-06-06 Infineon Technologies Ag Dicht gepackte Halbleiterstruktur und Verfahren zum Herstellen einer solchen
DE10063469B4 (de) * 2000-12-19 2004-03-25 Micronas Gmbh Verfahren zur Herstellung eines elektronischen Chips und mit dem Verfahren hergestellter elektronischer Chip
US6858195B2 (en) 2001-02-23 2005-02-22 Lsi Logic Corporation Process for forming a low dielectric constant fluorine and carbon-containing silicon oxide dielectric material
US6649219B2 (en) 2001-02-23 2003-11-18 Lsi Logic Corporation Process for forming a low dielectric constant fluorine and carbon-containing silicon oxide dielectric material characterized by improved resistance to oxidation
US6572925B2 (en) 2001-02-23 2003-06-03 Lsi Logic Corporation Process for forming a low dielectric constant fluorine and carbon containing silicon oxide dielectric material
US6576545B1 (en) * 2001-03-29 2003-06-10 Advanced Micro Devices, Inc. Semiconductor devices with dual nature capping/ARC layers on fluorine doped silica glass inter-layer dielectrics and method of forming capping/ARC layers
US9076843B2 (en) 2001-05-22 2015-07-07 Novellus Systems, Inc. Method for producing ultra-thin tungsten layers with improved step coverage
US6559048B1 (en) 2001-05-30 2003-05-06 Lsi Logic Corporation Method of making a sloped sidewall via for integrated circuit structure to suppress via poisoning
US6583026B1 (en) 2001-05-31 2003-06-24 Lsi Logic Corporation Process for forming a low k carbon-doped silicon oxide dielectric material on an integrated circuit structure
US6562700B1 (en) 2001-05-31 2003-05-13 Lsi Logic Corporation Process for removal of resist mask over low k carbon-doped silicon oxide dielectric material of an integrated circuit structure, and removal of residues from via etch and resist mask removal
US6566171B1 (en) 2001-06-12 2003-05-20 Lsi Logic Corporation Fuse construction for integrated circuit structure having low dielectric constant dielectric material
US6930056B1 (en) 2001-06-19 2005-08-16 Lsi Logic Corporation Plasma treatment of low dielectric constant dielectric material to form structures useful in formation of metal interconnects and/or filled vias for integrated circuit structure
US6559033B1 (en) 2001-06-27 2003-05-06 Lsi Logic Corporation Processing for forming integrated circuit structure with low dielectric constant material between closely spaced apart metal lines
US6627546B2 (en) * 2001-06-29 2003-09-30 Ashland Inc. Process for removing contaminant from a surface and composition useful therefor
US7085616B2 (en) 2001-07-27 2006-08-01 Applied Materials, Inc. Atomic layer deposition apparatus
US6881664B2 (en) * 2001-08-28 2005-04-19 Lsi Logic Corporation Process for planarizing upper surface of damascene wiring structure for integrated circuit structures
US6521520B1 (en) 2001-08-30 2003-02-18 Lsi Logic Corporation Semiconductor wafer arrangement and method of processing a semiconductor wafer
US6620745B2 (en) * 2001-10-19 2003-09-16 Taiwan Semiconductor Manufacturing Co., Ltd Method for forming a blocking layer
US6528423B1 (en) * 2001-10-26 2003-03-04 Lsi Logic Corporation Process for forming composite of barrier layers of dielectric material to inhibit migration of copper from copper metal interconnect of integrated circuit structure into adjacent layer of low k dielectric material
US6613665B1 (en) 2001-10-26 2003-09-02 Lsi Logic Corporation Process for forming integrated circuit structure comprising layer of low k dielectric material having antireflective properties in an upper surface
KR20030039286A (ko) * 2001-11-12 2003-05-17 아남반도체 주식회사 반도체 소자 제조 방법
US7001823B1 (en) 2001-11-14 2006-02-21 Lsi Logic Corporation Method of manufacturing a shallow trench isolation structure with low trench parasitic capacitance
US6537896B1 (en) * 2001-12-04 2003-03-25 Lsi Logic Corporation Process for treating porous low k dielectric material in damascene structure to form a non-porous dielectric diffusion barrier on etched via and trench surfaces in the porous low k dielectric material
US6562735B1 (en) 2001-12-11 2003-05-13 Lsi Logic Corporation Control of reaction rate in formation of low k carbon-containing silicon oxide dielectric material using organosilane, unsubstituted silane, and hydrogen peroxide reactants
US6541397B1 (en) * 2002-03-29 2003-04-01 Applied Materials, Inc. Removable amorphous carbon CMP stop
US6911378B2 (en) * 2003-06-24 2005-06-28 International Business Machines Corporation Stabilization of fluorine-containing dielectric materials in a metal insulator wiring structure
DE10339988B4 (de) * 2003-08-29 2008-06-12 Advanced Micro Devices, Inc., Sunnyvale Verfahren zur Herstellung einer antireflektierenden Schicht
US7129171B2 (en) * 2003-10-14 2006-10-31 Lam Research Corporation Selective oxygen-free etching process for barrier materials
KR100552812B1 (ko) * 2003-12-31 2006-02-22 동부아남반도체 주식회사 반도체 소자의 구리 배선 형성 방법
KR100529676B1 (ko) 2003-12-31 2005-11-17 동부아남반도체 주식회사 듀얼 다마신 패턴을 형성하는 방법
KR100563487B1 (ko) * 2003-12-31 2006-03-27 동부아남반도체 주식회사 반도체 소자의 금속배선 형성방법
US7638440B2 (en) * 2004-03-12 2009-12-29 Applied Materials, Inc. Method of depositing an amorphous carbon film for etch hardmask application
WO2005087974A2 (en) * 2004-03-05 2005-09-22 Applied Materials, Inc. Cvd processes for the deposition of amorphous carbon films
US20050199585A1 (en) * 2004-03-12 2005-09-15 Applied Materials, Inc. Method of depositing an amorphous carbon film for metal etch hardmask application
US7079740B2 (en) * 2004-03-12 2006-07-18 Applied Materials, Inc. Use of amorphous carbon film as a hardmask in the fabrication of optical waveguides
KR20050114784A (ko) * 2004-06-01 2005-12-07 동부아남반도체 주식회사 반도체 소자의 구리배선 형성방법
DE102004031744A1 (de) * 2004-06-30 2006-07-27 Advanced Micro Devices, Inc., Sunnyvale Eine Technik zur Herstellung einer dielektrischen Zwischenschicht über einer Struktur mit eng beabstandeten Leitungen
KR100876532B1 (ko) * 2004-08-27 2008-12-31 동부일렉트로닉스 주식회사 반도체 소자의 제조 방법
US20060046465A1 (en) * 2004-08-27 2006-03-02 Dongbuanam Semiconductor Inc. Method for manufacturing a semiconductor device
KR100641506B1 (ko) * 2004-09-17 2006-11-01 동부일렉트로닉스 주식회사 반도체 소자 세정 방법
KR100552857B1 (ko) * 2004-10-25 2006-02-22 동부아남반도체 주식회사 반도체 소자의 콘택 형성 방법
US7579224B2 (en) * 2005-01-21 2009-08-25 Semiconductor Energy Laboratory Co., Ltd. Method for manufacturing a thin film semiconductor device
KR100731085B1 (ko) * 2005-09-28 2007-06-22 동부일렉트로닉스 주식회사 듀얼 다마신 공정을 이용한 구리 배선 형성 방법
GB0521585D0 (en) * 2005-10-22 2005-11-30 Depuy Int Ltd A spinal support rod
GB0521582D0 (en) * 2005-10-22 2005-11-30 Depuy Int Ltd An implant for supporting a spinal column
US8348952B2 (en) 2006-01-26 2013-01-08 Depuy International Ltd. System and method for cooling a spinal correction device comprising a shape memory material for corrective spinal surgery
JP5119606B2 (ja) * 2006-03-31 2013-01-16 東京エレクトロン株式会社 半導体装置及び半導体装置の製造方法
US20070286954A1 (en) * 2006-06-13 2007-12-13 Applied Materials, Inc. Methods for low temperature deposition of an amorphous carbon layer
US20080254233A1 (en) * 2007-04-10 2008-10-16 Kwangduk Douglas Lee Plasma-induced charge damage control for plasma enhanced chemical vapor deposition processes
US20090093128A1 (en) * 2007-10-08 2009-04-09 Martin Jay Seamons Methods for high temperature deposition of an amorphous carbon layer
GB0720762D0 (en) * 2007-10-24 2007-12-05 Depuy Spine Sorl Assembly for orthopaedic surgery
US7985513B2 (en) 2008-03-18 2011-07-26 Advanced Micro Devices, Inc. Fluorine-passivated reticles for use in lithography and methods for fabricating the same
US20090269923A1 (en) * 2008-04-25 2009-10-29 Lee Sang M Adhesion and electromigration improvement between dielectric and conductive layers
US7830016B2 (en) * 2008-06-30 2010-11-09 Intel Corporation Seed layer for reduced resistance tungsten film
US8293634B2 (en) * 2008-08-07 2012-10-23 International Business Machines Corporation Structures and methods for improving solder bump connections in semiconductor devices
US8551885B2 (en) * 2008-08-29 2013-10-08 Novellus Systems, Inc. Method for reducing tungsten roughness and improving reflectivity
US20100267230A1 (en) 2009-04-16 2010-10-21 Anand Chandrashekar Method for forming tungsten contacts and interconnects with small critical dimensions
US9159571B2 (en) 2009-04-16 2015-10-13 Lam Research Corporation Tungsten deposition process using germanium-containing reducing agent
US10256142B2 (en) 2009-08-04 2019-04-09 Novellus Systems, Inc. Tungsten feature fill with nucleation inhibition
US8709948B2 (en) * 2010-03-12 2014-04-29 Novellus Systems, Inc. Tungsten barrier and seed for copper filled TSV
US9255316B2 (en) 2010-07-19 2016-02-09 Ati Properties, Inc. Processing of α+β titanium alloys
US9653327B2 (en) 2011-05-12 2017-05-16 Applied Materials, Inc. Methods of removing a material layer from a substrate using water vapor treatment
JP6144003B2 (ja) * 2011-08-29 2017-06-07 富士通株式会社 配線構造及びその製造方法並びに電子装置及びその製造方法
WO2013148880A1 (en) 2012-03-27 2013-10-03 Novellus Systems, Inc. Tungsten feature fill
CN102664193A (zh) * 2012-04-01 2012-09-12 京东方科技集团股份有限公司 导电结构及制造方法、薄膜晶体管、阵列基板和显示装置
US9034760B2 (en) 2012-06-29 2015-05-19 Novellus Systems, Inc. Methods of forming tensile tungsten films and compressive tungsten films
US8975184B2 (en) 2012-07-27 2015-03-10 Novellus Systems, Inc. Methods of improving tungsten contact resistance in small critical dimension features
US8853080B2 (en) 2012-09-09 2014-10-07 Novellus Systems, Inc. Method for depositing tungsten film with low roughness and low resistivity
US9034769B2 (en) 2012-12-12 2015-05-19 Micron Technology, Inc. Methods of selectively removing a substrate material
US9153486B2 (en) 2013-04-12 2015-10-06 Lam Research Corporation CVD based metal/semiconductor OHMIC contact for high volume manufacturing applications
US9589808B2 (en) 2013-12-19 2017-03-07 Lam Research Corporation Method for depositing extremely low resistivity tungsten
CN105448801A (zh) * 2014-05-28 2016-03-30 中芯国际集成电路制造(上海)有限公司 一种形成浅沟槽隔离的方法
US9997405B2 (en) 2014-09-30 2018-06-12 Lam Research Corporation Feature fill with nucleation inhibition
US9953984B2 (en) 2015-02-11 2018-04-24 Lam Research Corporation Tungsten for wordline applications
US9613818B2 (en) 2015-05-27 2017-04-04 Lam Research Corporation Deposition of low fluorine tungsten by sequential CVD process
US9978605B2 (en) 2015-05-27 2018-05-22 Lam Research Corporation Method of forming low resistivity fluorine free tungsten film without nucleation
US9754824B2 (en) 2015-05-27 2017-09-05 Lam Research Corporation Tungsten films having low fluorine content
WO2019036292A1 (en) 2017-08-14 2019-02-21 Lam Research Corporation METHOD FOR METAL CASTING FOR THREE-DIMENSIONAL NAND AND VERTICAL WORDS LINE
JP2021523292A (ja) 2018-05-03 2021-09-02 ラム リサーチ コーポレーションLam Research Corporation 3d nand構造内にタングステンおよび他の金属を堆積させる方法
US10847367B2 (en) 2018-12-28 2020-11-24 Micron Technology, Inc. Methods of forming tungsten structures
US11244903B2 (en) 2019-12-30 2022-02-08 Micron Technology, Inc. Tungsten structures and methods of forming the structures

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5138432A (en) * 1990-08-30 1992-08-11 Cornell Research Foundation, Inc. Selective deposition of tungsten on TiSi2
US5306671A (en) * 1990-07-09 1994-04-26 Mitsubishi Denki Kabushiki Kaisha Method of treating semiconductor substrate surface and method of manufacturing semiconductor device including such treating method
JPH08264648A (ja) * 1995-03-23 1996-10-11 Nec Corp 半導体装置

Family Cites Families (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3620833A (en) * 1966-12-23 1971-11-16 Texas Instruments Inc Integrated circuit fabrication
US4300989A (en) 1979-10-03 1981-11-17 Bell Telephone Laboratories, Incorporated Fluorine enhanced plasma growth of native layers on silicon
US5181985A (en) * 1988-06-01 1993-01-26 Wacker-Chemitronic Gesellschaft Fur Elektronik-Grundstoffe Mbh Process for the wet-chemical surface treatment of semiconductor wafers
US5821175A (en) * 1988-07-08 1998-10-13 Cauldron Limited Partnership Removal of surface contaminants by irradiation using various methods to achieve desired inert gas flow over treated surface
JPH06103787B2 (ja) * 1988-07-26 1994-12-14 日本碍子株式会社 導電性膜付ガラスセラミック基板
DE69033663T2 (de) 1989-08-28 2001-06-21 Hitachi Ltd Verfahren zur Behandlung eines Aluminium enthaltenden Musters
JPH0456325A (ja) 1990-06-26 1992-02-24 Mitsubishi Electric Corp 半導体装置およびその製造方法
US5378317A (en) * 1990-10-09 1995-01-03 Chlorine Engineers Corp., Ltd. Method for removing organic film
US5219791A (en) 1991-06-07 1993-06-15 Intel Corporation TEOS intermetal dielectric preclean for VIA formation
US5397748A (en) 1991-12-28 1995-03-14 Nec Corporation Method of producing semiconductor device with insulating film having at least silicon nitride film
US5423945A (en) 1992-09-08 1995-06-13 Applied Materials, Inc. Selectivity for etching an oxide over a nitride
JP2748768B2 (ja) * 1992-03-19 1998-05-13 株式会社日立製作所 薄膜多層配線基板およびその製造方法
KR0131439B1 (ko) 1992-11-24 1998-04-14 나카무라 타메아키 반도체장치 및 그 제조방법
US5753564A (en) 1992-11-24 1998-05-19 Sumitomo Metal Industries, Ltd. Method for forming a thin film of a silicon oxide on a silicon substrate, by BCR plasma
US5384281A (en) 1992-12-29 1995-01-24 International Business Machines Corporation Non-conformal and oxidizable etch stops for submicron features
JPH07202186A (ja) 1993-12-28 1995-08-04 Sony Corp 半導体装置の製造方法
US5451543A (en) 1994-04-25 1995-09-19 Motorola, Inc. Straight sidewall profile contact opening to underlying interconnect and method for making the same
US5644166A (en) * 1995-07-17 1997-07-01 Micron Technology, Inc. Sacrificial CVD germanium layer for formation of high aspect ratio submicron VLSI contacts
US5661334A (en) * 1996-01-16 1997-08-26 Micron Technology, Inc. Inter-metal dielectric structure which combines fluorine-doped glass and barrier layers
JP3323055B2 (ja) * 1996-04-03 2002-09-09 株式会社東芝 半導体装置およびその製造方法
US6310300B1 (en) * 1996-11-08 2001-10-30 International Business Machines Corporation Fluorine-free barrier layer between conductor and insulator for degradation prevention

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5306671A (en) * 1990-07-09 1994-04-26 Mitsubishi Denki Kabushiki Kaisha Method of treating semiconductor substrate surface and method of manufacturing semiconductor device including such treating method
US5138432A (en) * 1990-08-30 1992-08-11 Cornell Research Foundation, Inc. Selective deposition of tungsten on TiSi2
JPH08264648A (ja) * 1995-03-23 1996-10-11 Nec Corp 半導体装置

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7851351B2 (en) 2006-03-31 2010-12-14 Tokyo Electron Limited Manufacturing method for semiconductor devices with enhanced adhesivity and barrier properties

Also Published As

Publication number Publication date
US6310300B1 (en) 2001-10-30
MY127478A (en) 2006-12-29
KR100332272B1 (ko) 2002-06-20
US5930655A (en) 1999-07-27
SG65024A1 (en) 1999-05-25
US6214730B1 (en) 2001-04-10
US6066577A (en) 2000-05-23
TW346654B (en) 1998-12-01
JPH10144793A (ja) 1998-05-29
JP3245104B2 (ja) 2002-01-07
KR19980041870A (ko) 1998-08-17
CN1182956A (zh) 1998-05-27

Similar Documents

Publication Publication Date Title
CN1106030C (zh) 提高金属抗氟能力的方法及集成电路结构
EP1943675B1 (en) Metal interconnect structure for a microelectronic element
US6391777B1 (en) Two-stage Cu anneal to improve Cu damascene process
US10854508B2 (en) Interconnection structure and manufacturing method thereof
CN1672250A (zh) 改进的beol互连结构中的双层hdpcvd/pe cvd帽层及其方法
US7772119B2 (en) Dual liner capping layer interconnect structure
CN101051631A (zh) 集成电路的内联机结构、镶嵌式结构以及半导体结构
KR20070045986A (ko) 낮은 K 금속간 유전체 및 에칭 스톱과의 통합을 위한무전해 Co 합금막 상에서의 산화를 환원시키고 접착력을강화시키는 방법
CN1708846A (zh) 用于在具有帽盖层的半导体互连结构上沉积金属层的方法
US6506677B1 (en) Method of forming capped copper interconnects with reduced hillock formation and improved electromigration resistance
US6566211B2 (en) Surface modified interconnects
JP2004505447A (ja) 界面および接着性が改良された銅配線キャップ層を形成する方法
US6623654B2 (en) Thin interface layer to improve copper etch stop
US6355983B2 (en) Surface modified interconnects
US6333263B1 (en) Method of reducing stress corrosion induced voiding of patterned metal layers
US6544891B1 (en) Method to eliminate post-CMP copper flake defect
JPH05102148A (ja) 半導体装置
KR100453956B1 (ko) 반도체 장치의 금속 배선 제조 방법
KR20100073779A (ko) 반도체 소자의 금속배선 및 그 제조 방법

Legal Events

Date Code Title Description
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C06 Publication
PB01 Publication
C14 Grant of patent or utility model
GR01 Patent grant
CX01 Expiry of patent term
CX01 Expiry of patent term

Granted publication date: 20030416