CN1161824C - 等离子体腐蚀反应器和方法 - Google Patents

等离子体腐蚀反应器和方法 Download PDF

Info

Publication number
CN1161824C
CN1161824C CNB971972745A CN97197274A CN1161824C CN 1161824 C CN1161824 C CN 1161824C CN B971972745 A CNB971972745 A CN B971972745A CN 97197274 A CN97197274 A CN 97197274A CN 1161824 C CN1161824 C CN 1161824C
Authority
CN
China
Prior art keywords
source
electrode
plasma etch
solid source
etch reactor
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
CNB971972745A
Other languages
English (en)
Other versions
CN1228196A (zh
Inventor
˹�ٷҡ�P���°�����˹
斯蒂芬·P·德奥尼拉斯
G
莱斯利·G·杰德
�¡��Ƹ�
艾尔弗德·科弗
��C����
罗伯特·C·韦尔
��A���¶�ɭ
库尔特·A·奥尔森
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
CollabRx Inc
Original Assignee
CollabRx Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by CollabRx Inc filed Critical CollabRx Inc
Publication of CN1228196A publication Critical patent/CN1228196A/zh
Application granted granted Critical
Publication of CN1161824C publication Critical patent/CN1161824C/zh
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/02068Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers
    • H01L21/02071Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers the processing being a delineation, e.g. RIE, of conductive layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32137Radio frequency generated discharge controlling of the discharge by modulation of energy
    • H01J37/32155Frequency modulation
    • H01J37/32165Plural frequencies
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/32587Triode systems
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32623Mechanical discharge control means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L28/00Passive two-terminal components without a potential-jump or surface barrier for integrated circuits; Details thereof; Multistep manufacturing processes therefor
    • H01L28/40Capacitors
    • H01L28/55Capacitors with a dielectric comprising a perovskite structure material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L28/00Passive two-terminal components without a potential-jump or surface barrier for integrated circuits; Details thereof; Multistep manufacturing processes therefor
    • H01L28/40Capacitors
    • H01L28/60Electrodes

Abstract

一种等离子体腐蚀反应器(20)包括上电极(24)、下电极(28)、设置在其间的周边环电极(26)。上电极(24)接地,周边电极(26)由高频AC电源供电,而下电极(28)由低频AC电源和DC电源供电。反应室(22)构成有气体物质的固体源(50)和突出挡板(40)。喷嘴(36)提供处理气体的气流,以便保证处理气体在半导体晶片(48)表面的均匀性。等离子体腐蚀反应器(20)的构型增大了反应室(20)中的等离子体密度范围,通过调节多个电源(30、32)可以选择该范围。

Description

等离子体腐蚀反应器和方法
发明的技术领域
本发明涉及改进的等离子体腐蚀反应器设备和方法。
发明的背景技术
已有技术中有许多用于半导体晶片的等离子体腐蚀的装置和方法。一个成功的这种设备和方法公开于美国专利4464223,对其的再审查证书颁布于1991年4月9日。此等离子体腐蚀反应器记载了由三个电极界定的反应室。上电极接地,而下电极被施加以低频电源以及直流电源。下电极也是保持半导体晶片定位的吸盘。另一电极置于上下电极之间,并且围绕反应室周边定位成基本是圆筒状。此电极被提供有高射频电源。按此设置,使用高低频电源,(1)使处理气体的分解最佳化,(2)使等离子体产生的反应物质的离子能量最佳化。
虽然上述装置已经非常成功地得到应用,但是发现对反应室内的等离子体更精确的控制将有利于等离子体腐蚀反应器的操作。
发明概述
因此,本发明旨在改进已有技术的等离子体腐蚀反应器的操作。
本发明的一个目的在于提供一种等离子体腐蚀反应器,包括:反应室;第一电极;第二电极;其中,在形成于第一电极和第二电极之间的电场中,用反应气体产生等离子体;气体物质的固体源位于所述反应室内。
本发明的另一个目的在于提供一种在等离子体腐蚀反应器中腐蚀晶片的方法,包括以下步骤:提供具有气体物质的固体源的反应室;控制气体物质从固体源的消蚀率,以便控制晶片的等离子体腐蚀。
本发明的再一个目的在于提供一种等离子体腐蚀反应器,包括:反应室;第一电极;第二电极;其中,在第一电极和第二电极之间形成的电场中,用反应气体产生所述等离子体;提供给所述反应室的气体物质源,用于完成(1)线宽控制、(2)分布控制、和(3)选择性中的至少一个。
本发明的再另一个目的在于提供一种在等离子体腐蚀剂反应器中腐蚀晶片的方法,包括以下步骤:提供具有气体物质固体源和气体物质气体源中的至少一种的反应室,可以用于(1)线宽控制、(2)分布控制、和(3)选择性中的至少一种;控制固体源和气体源中的至少一种,以便控制(1)线宽控制、(2)分布控制、和(3)选择性中的所述的至少一种。
本发明的再另一个目的在于提供一种在等离子体腐蚀剂反应器中腐蚀晶片的方法,包括以下步骤:选择具有高度的反应室;在根据反应室高度的压力下操作所述反应室。
本发明的等离子体腐蚀反应器的等离子体强度范围增大,以便影响和控制在反应室进行的腐蚀处理。仅列举实施例而言,这种增大的等离子体强度范围可以有利地影响腐蚀工艺的选择性和分布控制。
从以下的说明和附图将可了解本发明的其它特征、目的和方案。
附图说明
图1是本发明的等离子体腐蚀反应器的一个实施例的侧视剖面图。
图2是与图1类似的图,只是增加了增强处理气体进气喷嘴。
图3a和3b展示了本发明的喷嘴优选实施例的端视图和侧视剖面图。
图4a、4b、4c和4d展示了本发明的喷嘴另一优选实施例的等角图、侧视剖面图、局部放大侧视剖面图和端视图。
图5a、5b和5c展示了本发明的喷嘴又一优选实施例的侧视剖面图、局部放大侧视剖面图和端视图。
图6a、6b和6c本发明的喷嘴再一优选实施例的侧视剖面图、局部放大侧视剖面图和端视图。
图7展示了与本发明的实施例的周边电极相关的磁体设置的透视图。
图8展示了与本发明的实施例的上电极相关的磁体设置的透视图。
优选实施例的详细说明
参见附图尤其是图1,其展示了本发明的等离子体腐蚀反应器20的实施例的侧视剖面图。此反应器20增强和改进了美国专利4464223所公开的反应器,该专利在此引证为参考文献。
反应器20包括由接地上电极24、侧周边电极26和下电极28界定的反应室22。在优选实施例中,侧周边电极26与电源30连接,电源30为侧周边电极26提供频率最好是13.56MHz的电能,电功率最好是1100瓦。应该知道这是高频电源(最好在射频范围),并且频率范围最好在2MHz-950MHz。也可以按100伏-5000伏之间的电压提供200瓦-3000瓦的该电能。
第二电源32与下电极28连接。第二电源32最好工作在450KHz,功率最好是30瓦,电压是200伏。这是低频电源。应该知道此电源(最好是在射频范围)可以工作在10KHz-1MHz,功率范围在2瓦-1000瓦,电压范围在5伏-3000伏。下电极28也与DC电源34连接。施加于侧电极26的高频电能控制离子流量,而施加于下电极28的低频电能独立地控制离子能量。
正是对电源、主要是对高频电源的控制,有利地控制了腐蚀等离子体的强度,以便提供良好的腐蚀特性。而且,正是反应器20的设计提供了增大的等离子体强度范围,通过对电源的控制可以从该强度范围选择最佳的等离子体强度。
与接地的上电极24相关的是中央喷嘴36,其引导处理气体进入反应室22,指向半导体晶片48。正如以下将详细讨论的,来自喷嘴36的处理气体的气流能够有效地到达半导体晶片48的表面,并且在半导体晶片48的整个表面上提供新鲜、均匀的处理气体分布。
紧接着接地的上电极24和喷嘴36的上方是排气管38,用于从反应室22排出废气物质。应该知道,泵(未示出)固定在排气管38,以便从反应室22抽空气体物质。
正如图1中可见,紧接着上电极24和喷嘴36的下方是突出的周边挡板40。挡板40由绝缘材料构成,正如以下讨论的,挡板突入喷嘴36和等离子体腐蚀反应室20外壳44之间的排气通道42。突出挡板40保证来自喷嘴36和固体源50的各种气体物质在反应室22内能够形成良好的混合物。
紧接着突出挡板40之下的、并且在本实施例中装入侧周边电极26的是磁体或者多个磁体46。并且最好在上电极24也装入磁体或者多个磁体47。正如以下将讨论的,这些磁体46和47中之一或两者限定了围绕反应室22的磁性封闭室并与其重合。此磁性封闭室保证反应室内的带电离子物质不从其中泄漏,并且保证带电离子物质聚集在半导体晶片48周围。此磁性封闭室阻止带电离子物质被收集在反应室22的壁上。
覆盖侧周边电极26和磁体46的是侧周边固体源50。此固体源50提供气体物质的更新来源,其可以通过例如射频激励的离子的轰击进行溅射,该离子从固体源50轰击或消蚀气体物质的原子使其进入反应室22。通过施加上述AC电源之一或两者的脉冲,可以影响来自固体源表面的气体物质的消蚀。作为进一步的优点,作为固体源消蚀表面的部位,不会通过气体物质的结合而在消蚀表面上形成颗粒。于是,避免了因形成在固体的消蚀部位上的这种颗粒产生的污染。以下讨论固体源50的变化。
紧接着固体源50之下的是晶片吸盘52,其使半导体晶片48相对于反应室22定位。晶片夹具53把晶片48保持在晶片吸盘52上。在本实施例中,晶片吸盘52以及下电极28可以垂直向下移动,以便插入和取出晶片48。
在本实施例中,如果需要,可以采用冷却水管54冷却侧周边电极26和磁体46。还可以知道如果需要可以采用热水管56加热固体源50。加热固体源50、尤其是其暴露的前表面的其它方法,包括电阻加热和感应加热,和由灯和其它光子源提供的辐射热。
突出挡板40以及磁体的构型、来自喷嘴的处理气流、从固体源消蚀的气体物质,均是为了在半导体晶片表面附近提供高强度的等离子体。这种构型极大地扩大了在反应室22内可以实现的强度范围。通过控制由电源30提供给周边电极26的电能,可以从较大的密度范围中选择需要的精确密度。如果需要减少来自固体源的气体物质消蚀率,以及降低等离子体的密度,则可调低电源。另外,为了增强反应室22中的等离子体密度,则可调高电源。
仅举例而言,如果准备腐蚀多晶硅层,则应调低高频电源30以低密度等离子体形式提供电能并要求较低的来自固体源50的消蚀率。另外,如果准备腐蚀硅化物,则应以较密的等离子形式调高电能并要求较高的来自固体源50的消蚀率。而且,也可以调节低频率电源以便影响在上述本发明中的腐蚀处理的结果。
对于已有的器件来说不可能进行上述范围的操作。应该知道可以采用上述特征中的一个或者多个,扩大等离子体密度范围,由此改善腐蚀处理,并且落入本发明的精神实质和范围。
反应器20的另一实施例如图2所示。相同的部件用上述的相同数字进行标记。图2中,已对喷嘴36进行改进,以便改善反应室22中的气体物质混合物的均匀性。正如从图2可见,喷嘴36包括可以在多个方向为处理气体形成通道的集流腔70。集流腔70设置有水平喷口72、74,平行于上电极24水平地引导处理气体流。喷口76垂直向下地引导气体流直接达到晶片48。喷口78和80在与水平斜交的方向为处理气体流形成通道,主要朝向晶片48的周边,以便保证处理气体的均匀分布和/或喷射的或其它从固体源50消蚀的气体物质与处理气体流的良好混合物的均匀分布。在本实施例中,也正是集流腔70的喷口和突出挡板40的结合保证了(1)喷射的或从固体源50消蚀的气体物质的良好混合物,(2)来自喷嘴36口的处理气体的良好混合物存在于半导体晶片48的表面。
在此变化的实施例中,如果需要,可以用周边电极26连通第二低频电源31。此电源最好具有450KHz的频率。此电源在各方面应与电源32相同。高频电源30应控制等离子体密度,而低频电源31应控制气体物质从固体源的消蚀率。这对于高频电源来说,是控制等离子体密度和固体源中的消蚀率的一种替换方式。
现有技术的器件中的腐蚀通常在300-500毫乇下进行,该范围比本发明的反应器设想的低压高出一阶到两阶幅度。对于已有技术的半导体器件所要求的亚微米特征的腐蚀,需要低压操作。但是,在低压更加难以实现高密度等离子体。
对于图1和2的实施例,本发明提出包含在低压(3-5毫乇)的等离子体的磁场,具有高等离子体密度(在晶片处的1011cm3),并且具有低的离子能量(小于15-30电子伏特)。通常,低压操作应在约150毫乇或者约100毫乇以下,最好在约20毫乇或约10毫乇或以下。对于亚微米(小于0.5微米)器件,等离子体源必须工作在低压,并且具有在晶片处的高密度激活气体和低离子能量,以便产生良好的腐蚀结果。通过使晶片特征的底切最小化以及使微加载(腐蚀聚集特征比弱聚集特征更快)的影响最小化,这两者均对整个合格率有不利影响,由此低压等离子体改善了腐蚀的整体质量。但是,低压等离子体要求在晶片处的高密度等离子体,以增加与待腐蚀的半导体晶片上的薄膜反应的等离子体颗粒数量,以便保持快速腐蚀速率。快速腐蚀速率是实现高的平均生产率的因素之一。而且,低的离子能量可以改善腐蚀选择性并使晶片损坏最小。这两者均改善了整体合格率。设想本实施例可以在约150毫乇以下工作。
本发明的反应器20可以用于腐蚀要求不同腐蚀化学性质或方法的各种不同的衬底或膜。通常,这种化学性质包括两种或更多的以下气体:卤素气体、含卤素的气体、惰性气体和双原子气体。
以下将更具体地说明上述特征的变化。
固体源
已经证实,从固体源50消蚀或溅射的气体物质,或者从其消蚀或溅射的物质的缺乏,对在等离子体腐蚀反应器20中进行的腐蚀处理的成功具有重要的作用。仅举例而言,固体源50可以由电介质材料例如二氧化硅(SiO2)或者石英组成,在由射频激励的离子的轰击下,这些材料从固体源向反应室提供硅和氧的气态离子。另一种电介质固体源可以包括陶瓷例如氧化铝(Al2O3)。当被激励的气态离子撞击时此陶瓷具有低的溅射率或消蚀率,而且在不要求或不需要来自固体源的额外分布的情况下这种陶瓷是有效的。特别是,就氧化铝而言,在约600伏的峰间电源下,未观察到溅射。超过该阈值,则存在来自氧化铝固体源的溅射。
通常,固体源可以由半导体材料、电介质材料或者导体组成。事实上,固体源应包含在包括电极的材料中,这些材料可以被消蚀从而为反应室中的等离子体提供适当的气体物质。除了氧化铝(Al2O3)之外的其它金属氧化物,适当的电介质材料还包括氮化硅(Si3N4)。半导体材料可以包括碳化硅(SiC)。而且,导体可以包括石墨和铝
固体源50的表面温度最好在80℃以上,以便提供足够的溅射。在此温度并且通过适当激励离子消蚀这些固体源的表面,对于来自气体物质的颗粒的形成,正如在此讨论的,固体源不会成为冷穴,这些颗粒会逸出及污染反应室22。如以上所述,通过高频电源30可以控制从固体源50的气体物质的消蚀率或溅射率。通过增强电源30,高能离子可以用于轰击固体源50,以便提高为了腐蚀处理的目的而从固体源消蚀气体物质的比率。举例而言,应使用二氧化硅的固体源,由于来自二氧化硅的气体物质溅射将钝化半导体晶片上的垂直表面,所以增强的轰击将提高各向异性腐蚀,以致这种表面将不被气态腐蚀剂底切。
从固体源50的气体物质的进一步消蚀可以用于在过腐蚀情况下提供选择性。在腐蚀过程中,腐蚀气体用于选择地腐蚀掉例如已经淀积在氧化层上的多晶硅。光刻胶保护不准备腐蚀的多晶硅,而暴露准备腐蚀掉的多晶硅。腐蚀掉多晶硅之后,留下下面的氧化层。在某些情况,在氧化物衬底顶部的不需要的区域仍留有少量淀积的多晶硅。可以采用过腐蚀去除这种不需要的多晶硅。但是,过腐蚀也会不期望地腐蚀进以及去除氧化层。由于使用固体源,来自其的气体物质可以用于保证氧化物衬底不被腐蚀,同时腐蚀掉剩下的残留多晶硅淀积物。在此工艺中,来自二氧化硅源的物质淀积在氧化物衬底和残留的多晶硅上。但是,多晶硅的去除或腐蚀速率高于来自固体源的物质向多晶硅的淀积速率,因此腐蚀剩下的多晶硅不会损坏氧化物衬底。
在上述过腐蚀工艺过程中,调低等离子体电源30,降低DC偏置34。仅举例而言,电源30调低到一瓦,并且关闭DC电源。氧化物与多晶硅的激活能曲线呈现随能量的降低,多晶硅连续被腐蚀但速率较慢,同时氧化物的腐蚀降低接近为零。
在另一个实施例中,半导体晶片包括已经淀积在多晶硅层上的硅化钨(WSi2),多晶硅层已经淀积在氧化物衬底上。在晶片上设置适当的光刻胶层,把晶片暴露在腐蚀室22中的处理气体。第一反应气体腐蚀掉硅化钨,有时遗留硅化钨的淀积物,称之为脉道(stringers),尤其是在硅化钨和多晶硅具有台阶特征的区域。正是在这种台阶的基底会留下硅化钨的这种脉道(stringers)。通过使用上述的固体源50,可以控制腐蚀工艺的选择性,防护多晶硅和氧化物的底层,以使半导体器件的物理尺寸和电气性能不会以任何不期望的方式发生变化。于是,采用这种方法并控制选择性,对下表面不产生破坏。发现采用上述设置,硅化钨与多晶硅的去除选择性约为4比1。换言之,硅化钨的去除率约是多晶硅的腐蚀率的四倍。不采用这种设置,发现选择性约为0.7比1,这意味着硅化钨的腐蚀率约是多晶硅的腐蚀率的0.7倍。对于其它类型的金属硅化物、例如硅化钛、硅化钴和硅化钼获得了类似的结果。正是这些类型的金属硅化物被用于制造MOSFET、LED和平面显示器。
气体源
除了针对从固体源消蚀的气体物质所说明的优点之外,通过在处理气体中引入具有从固体源表面消蚀的气体物质所产生的效果的气体,也可以实现这种优点。仅举例而言,可以与处理气体一起引入气态形式的硅酸乙酯(TEOS)。TEOS是用于腐蚀处理的硅源和氧源。TEOS在处理室中提供与二氧化硅(SiO2)固体源所提供的相同的气体物质,并对腐蚀工艺具有如上所述的优点。应予注意,固体源和这种物质的气体源的结合将落入本发明的精髓和范围之内。
喷嘴
图3a、3b、4a、4b、4c、4d、5a、5b、5c、6a、6b和6c展示了可以用于本发明的喷嘴装置的一些可替换优选实施例。传统的喷嘴通常构成为“喷淋头”构型,具有多达200个喷射处理气体的喷口。这种装置的作用是保证处理气体均匀分布在处理室内,特别是在待处理的半导体晶片表面。已有技术的装置已经发现早已与晶片表面反应的用过的气体产生了滞流层,因而冲淡了引向表面的新的处理气体的均匀性。本发明改善了这种已有的喷嘴。本发明包括的喷嘴产生处理气体的断续准直气流,汇合于晶片表面附近,在晶片表面产生均匀分布。气体速度和气流体积保证新鲜的处理气体到达半导体晶片表面。于是,新鲜的处理气体均匀分布在半导体晶片表面。这些处理气体流搅动晶片表面的气体,形成处理气体和从固体源表面消蚀的气体物质的均匀分布。
图3a和3b展示了具有标记为92的喷口的单喷口喷嘴90。该喷嘴最好由铝制成。按此设置,单气流射向半导体晶片。
图4a、4b、4c和4d展示了本发明喷嘴94的另一个优选实施例,也是由铝制成的。在此设置中,喷嘴94包括限定处理气体流的12个喷口,把气体引向半导体晶片。最好以与垂直斜交的角度引导气流,每个气流的中心线指向晶片的外围边缘。这种设置也有利于保证在晶片表面存在新的处理气体的均匀分布。正如从图4d可见,喷口围绕喷嘴面的周边分布。
图5a、5b和5c展示了本发明的喷嘴98的又一实施例。在此设置中,喷口99呈现星形,某些喷口设置在喷嘴98的面(图5c)的周边上,而其它喷口以喷嘴中心线上的一个喷口为中心地设置。正象来自图4a的喷嘴的气体,图5a的喷嘴气流与垂直成一角度,从而指向半导体晶片主体和半导体晶片边缘,以便提供处理气体的均匀分布。
图6a、6b和6c展示了本发明喷嘴100的再一优选实施例。在此实施例中,喷口102基本上指向与喷嘴和半导体晶片之间的垂直线正交的方向。在此实施例中,喷嘴指向侧壁上的固体源,以便保证来自固体源的气体物质和处理气体的充分混合。
磁性封闭
上述磁体46、47提供围绕反应室22的磁性封闭,保证在低压下可以形成高密度等离子体。应记得通过气体原子和电子的碰撞产生等离子体,产生离子用于在低压下产生高密度等离子体。本发明对此的实现是通过在使损失于反应器壁的离子减至最小的同时,增加电子渡越等离子体的总通道长度。移向等离子体的电子被磁场反射进等离子体,从而增加了电子的通道长度。
根据本发明,磁体可以是电磁铁或者永磁体,均在本发明的精髓和范围内。围绕腐蚀室的这些磁体产生磁场包容器。磁场效应仅存在于反应器壁附近,事实上不存在于晶片上,并产生固有均匀的等离子体。这些磁体可以利用较强的磁性封闭为电极提供保护,电极上的消蚀较小。封闭较弱则提供对电极和固体源的较多消蚀。
于是由磁体46、47产生的磁性封闭被设计成聚集等离子体,并且可以具有保护处理室部分包括电极免受等离子体侵蚀的效果。结果,由于降低了置换电极的成本,所以可以显著节约成本。
图7和8展示了磁体46、47的布置,分别与侧电极26和上电极24相关。正如从图7可见,存在相对于电极26的多个槽60。在优选实施例中,全部槽均被磁体46填充。对此特定实施例,确定在电极26中有36个陶瓷磁体。这些磁体在磁极面均具有约300高斯-约600高斯的强度。这些磁体位于固体源50之后,影响来自固体源的气体物质的消蚀率。如上所示,没有这些磁体会有过多的气体物质从固体表面消蚀,因而影响腐蚀处理。
应予注意这些磁体是极面磁体。北极和南极在磁体的面62和相反面64上。这些磁体交替布置,以使首先一个磁体46的北极面、然后第二个磁体46的南极面指向处理室的中央。围绕电极26的外周边重复这种布置。
图8展示了与上电极24相关的磁体47布置。图8中,全部槽66均填充与磁体46相同的磁体。在此特定实施例中,从电极24的中心向外辐射有36个磁体,以使36个磁体端位于电极24的外围边缘。这些磁体也是极面磁体,北极和南极从磁体的侧面突出。对于图8的构型,磁体交替以北极然后南极面向处理室。
应该知道,可以用更强的磁体例如稀土磁体替换上述磁体。在这种情况下,为了获得类似的磁性封闭,需要的磁体数量将减少。稀土磁体在磁体极面表面将具有约1500高斯-约2500高斯的强度。磁体在磁体极面表面的强度最好是约2000高斯-约2200高斯。
反应室
为了提高等离子体的均匀性,如上述和影响所述,对本发明的反应室做了特殊设计。如上所述,相对于反应室22的物理特性,挡板40和喷嘴36、70的设置有利于反应室22中的处理气体的均匀性。挡板40确保从固体源50表面消蚀的气体物质不被泵通过排气井38立即上抽,而是与反应室中的半导体晶片48附近的气体混合。此外,具有垂直、水平和斜角引导气体流的喷口的喷嘴36确保来自固体源的任何气体物质与来自喷嘴的处理气体完全混合,并确保此均匀混合物提供给半导体晶片48。
反应室从喷嘴到半导体晶片表面的高度可以最佳化。已有技术的装置具有51/4”的高度。已经发现按上述高度,也可以使喷嘴布置最佳化,以便具有来自喷嘴的气流,在半导体晶片表面提供处理气体的均匀分布。于是,对于改变反应室高度,也可以针对腐蚀处理包括使用固体源的腐蚀处理,使与反应室压力相比的喷嘴图形最佳化。此高度与反应室直径无关,虽然在一个优选实施例中反应室直径约是14″。仅举例而言,对于在2-3毫乇压力下在反应室22中进行优选操作来说,反应室的高度最好是约4”。对于小于4”的高度,气流仍旧是准直的,不能在晶片表面均匀散开。对于大于4”的高度,气流将在半导体晶片表面上汇合在一起,从而不能在晶片表面提供均匀分布的处理气体。
对于给定的喷嘴构型,已经发现反应室22的高度与室内压力的乘积应当是常数,以便提供最佳的性能。因此,如上所述,采用4”的高度和2-3毫乇的压力可以实现最佳性能。压力和高度值的范围包括对应于100毫乇的1/10英寸的高度范围到对应于1毫乇的10”的高度,由此可得最佳性能。这就是说,随着反应室内的压力增加,反应室高度可以减小,随着压力降低,高度将增加,以便提供(1)从固体源消蚀的气体、(2)注入的处理气体、和(3)来自晶片的反应产物的最佳混合。
本发明的效果在于,(1)提高选择性(亦即例如保护氧化物衬底),(2)增强腐蚀工艺的分布控制,和(3)增强线宽控制(亦即保护多晶硅不受腐蚀处理的影响,从而将正确的线宽从光刻胶转移到晶片)。
工业实用性
本发明提供了一种腐蚀系统,该系统提供(1)可控的各向异性分布(亦即直线、垂直侧壁),同时提供(2)腐蚀选择性,使对下层衬底例如氧化物或其它晶片材料的损坏减至最小,同时提供(3)在非均匀区域上的腐蚀均匀性,以便消除脉道(stringers)和其它残留淀积物。本发明的系统提供在小于0.5微米、最好小于0.25微米的亚微米范围内的腐蚀。
因此,通过提供遍布于反应室内的等离子体密度的较大范围,本发明实现了上述目的。利用上述气体物质的固体源、包括突出挡板和反应室高度在内的反应室构型、喷嘴构型、和磁场构型,影响等离子体密度范围。通过调节施加于一个或多个电极的电源,则可控制该密度。
从附图和权利要求书中,可以获得本发明的其它特征、方案和目的。
应该知道可以开发本发明的其它实施例,并且处于本发明和权利要求书的精髓和范围之中。

Claims (22)

1.一种等离子体腐蚀反应器,用于腐蚀晶片,特别用于各向异性地腐蚀晶片上的特征,包括:
反应室;
第一电极;
第二电极;
其中,用第一电极和第二电极之间的处理气体产生等离子体;
气体物质的固体源,用于钝化晶片上的垂直表面,以便提高各向异性腐蚀;
其中所述固体源覆盖所述第一电极;
高频电源,该高频电源与所述第一电极连接;以及
控制器,用于控制由高频电源提供给所述第一电极的电能,以便控制该气体物质从固体源的生成率。
2.根据权利要求1的反应器,其中:
所述反应室具有针对所述反应室的工作压力最佳化的高度。
3.根据权利要求1的反应器,包括:
与所述第一和第二电极之一相关的气体喷嘴;
适用于把晶片保持在所述气体喷嘴之下的晶片吸盘;
其中,根据反应室中的工作压力,气体喷嘴在保持于所述晶片吸盘的晶片之上与其相距10英寸或更小。
4.根据权利要求1的反应器,其中:
第一和第二电极产生电场,以便选择性地从固体源产生气体物质。
5.根据权利要求1的等离子体腐蚀反应器,其中:
设置AC电源,以便为所述第一电极和所述第二电极中之一提供电能;以及
从固体源消蚀气体物质,由AC电源控制反应气体物质的生成率。
6.根据权利要求1的等离子体腐蚀反应器,包括:
可以控制固体源温度的温度控制器,以便控制气体物质从固体源的生成率。
7.根据权利要求1的等离子体腐蚀反应器,包括:
光子源;
其中,气体物质从固体源的消蚀与撞击在固体源上的来自光子源的光子通量相关。
8.根据权利要求1的等离子体腐蚀反应器,
其中,所述高频电源脉冲供电,以便控制气体物质从固体源的生成率。
9.根据权利要求1的等离子体腐蚀反应器,包括:
以第二频率工作的第二电源;并且
所述第二电源与所述第二电极连接。
10.根据权利要求1的等离子体腐蚀反应器,其中:
所述固体源选用气体物质从其的生成率低的材料。
11.根据权利要求1的等离子体腐蚀反应器,其中:
所述固体源是用于钝化位于反应室内的工件的气体物质源。
12.根据权利要求1的等离子体腐蚀反应器,其中:
选择所述固体源,以便提高在反应室内的腐蚀处理的选择性。
13.根据权利要求1的等离子体腐蚀反应器,其中:
所述固体源包含在所述第一电极和所述第二电极中之一上。
14.根据权利要求1的等离子体腐蚀反应器,包括:
所述第一电源为13.56MHz,而与所述第二电极相连的第二电源为450MHz。
15.根据权利要求1的等离子体腐蚀反应器,包括:
热源,用于加热所述固体源。
16.根据权利要求1的等离子体腐蚀反应器,其中:
所述反应室在工作过程中保持在小于150毫乇。
17.根据权利要求1的等离子体腐蚀反应器,其中:
选择所述固体源,以便控制气体物质从其的生成率。
18.根据权利要求1的等离子体腐蚀反应器,包括;
与所述第一电极连接的第一电源,与所述第二电极连接的第二电源;
第一电源和第二电源中至少一个是脉冲电源,以便影响气体物质从固体源的溅射率。
19.根据权利要求1的等离子体腐蚀反应器,其中:
选择第一电源的电压电平,以便确定从所述固体源的生成率。
20.根据权利要求1的等离子体腐蚀反应器,其中:
所述固体源由可以从其产生气体物质的材料构成,以便影响选择性和分布控制中的至少一个。
21.根据权利要求1的等离子体腐蚀反应器,包括:
低频电源与所述第二电极连接。
22.根据权利要求1的等离子体腐蚀反应器,包括:
用于围绕所述反应室形成磁性封闭的装置,以便影响气体物质从固体源的生成率。
CNB971972745A 1996-07-03 1997-01-23 等离子体腐蚀反应器和方法 Expired - Fee Related CN1161824C (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US08/675,559 US6500314B1 (en) 1996-07-03 1996-07-03 Plasma etch reactor and method
US08/675,559 1996-07-03

Publications (2)

Publication Number Publication Date
CN1228196A CN1228196A (zh) 1999-09-08
CN1161824C true CN1161824C (zh) 2004-08-11

Family

ID=24711017

Family Applications (1)

Application Number Title Priority Date Filing Date
CNB971972745A Expired - Fee Related CN1161824C (zh) 1996-07-03 1997-01-23 等离子体腐蚀反应器和方法

Country Status (8)

Country Link
US (5) US6500314B1 (zh)
EP (1) EP0939972B1 (zh)
JP (1) JP2000514599A (zh)
CN (1) CN1161824C (zh)
AT (1) ATE506690T1 (zh)
CA (1) CA2259976A1 (zh)
DE (1) DE69740178D1 (zh)
WO (1) WO1998000858A1 (zh)

Families Citing this family (75)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6500314B1 (en) * 1996-07-03 2002-12-31 Tegal Corporation Plasma etch reactor and method
US7838850B2 (en) 1999-12-13 2010-11-23 Semequip, Inc. External cathode ion source
US20070107841A1 (en) * 2000-12-13 2007-05-17 Semequip, Inc. Ion implantation ion source, system and method
EP2426693A3 (en) * 1999-12-13 2013-01-16 Semequip, Inc. Ion source
US6756520B1 (en) 2000-10-20 2004-06-29 Kimberly-Clark Worldwide, Inc. Hydrophilic compositions for use on absorbent articles to enhance skin barrier
US6749860B2 (en) 2000-12-22 2004-06-15 Kimberly-Clark Worldwide, Inc. Absorbent articles with non-aqueous compositions containing botanicals
JP2002359236A (ja) * 2001-03-27 2002-12-13 Hitachi Kokusai Electric Inc 半導体製造装置
US6984288B2 (en) * 2001-08-08 2006-01-10 Lam Research Corporation Plasma processor in plasma confinement region within a vacuum chamber
US20040025791A1 (en) * 2002-08-09 2004-02-12 Applied Materials, Inc. Etch chamber with dual frequency biasing sources and a single frequency plasma generating source
US20040163765A1 (en) * 2003-02-25 2004-08-26 Ans Co., Ltd. Plasma reactor for manufacturing electronic components
WO2004093824A2 (en) * 2003-04-08 2004-11-04 Fairfield Clinical Trials, Llc Peri-operative and peri-procedure nutritional supplementation
US7625460B2 (en) * 2003-08-01 2009-12-01 Micron Technology, Inc. Multifrequency plasma reactor
US7510665B2 (en) * 2003-08-15 2009-03-31 Applied Materials, Inc. Plasma generation and control using dual frequency RF signals
US20050106873A1 (en) * 2003-08-15 2005-05-19 Hoffman Daniel J. Plasma chamber having multiple RF source frequencies
US7431857B2 (en) * 2003-08-15 2008-10-07 Applied Materials, Inc. Plasma generation and control using a dual frequency RF source
US7405521B2 (en) * 2003-08-22 2008-07-29 Lam Research Corporation Multiple frequency plasma processor method and apparatus
US7838430B2 (en) * 2003-10-28 2010-11-23 Applied Materials, Inc. Plasma control using dual cathode frequency mixing
US7879185B2 (en) * 2003-12-18 2011-02-01 Applied Materials, Inc. Dual frequency RF match
US7951262B2 (en) * 2004-06-21 2011-05-31 Tokyo Electron Limited Plasma processing apparatus and method
KR101247857B1 (ko) * 2004-06-21 2013-03-26 도쿄엘렉트론가부시키가이샤 플라즈마 처리 장치
US7740737B2 (en) 2004-06-21 2010-06-22 Tokyo Electron Limited Plasma processing apparatus and method
US7988816B2 (en) 2004-06-21 2011-08-02 Tokyo Electron Limited Plasma processing apparatus and method
US20060027329A1 (en) * 2004-08-09 2006-02-09 Sinha Ashok K Multi-frequency plasma enhanced process chamber having a torroidal plasma source
JP2006066744A (ja) * 2004-08-27 2006-03-09 Tokyo Institute Of Technology 固体ソースエッチング装置及び固体ソースエッチング方法
KR100596327B1 (ko) * 2004-09-08 2006-07-06 주식회사 에이디피엔지니어링 플라즈마 처리장치
US7196014B2 (en) * 2004-11-08 2007-03-27 International Business Machines Corporation System and method for plasma induced modification and improvement of critical dimension uniformity
US7993489B2 (en) 2005-03-31 2011-08-09 Tokyo Electron Limited Capacitive coupling plasma processing apparatus and method for using the same
JP4642528B2 (ja) * 2005-03-31 2011-03-02 東京エレクトロン株式会社 プラズマ処理装置およびプラズマ処理方法
US20070031609A1 (en) * 2005-07-29 2007-02-08 Ajay Kumar Chemical vapor deposition chamber with dual frequency bias and method for manufacturing a photomask using the same
US7829471B2 (en) * 2005-07-29 2010-11-09 Applied Materials, Inc. Cluster tool and method for process integration in manufacturing of a photomask
KR101218114B1 (ko) * 2005-08-04 2013-01-18 주성엔지니어링(주) 플라즈마 식각 장치
US7375038B2 (en) * 2005-09-28 2008-05-20 Applied Materials, Inc. Method for plasma etching a chromium layer through a carbon hard mask suitable for photomask fabrication
US7695633B2 (en) * 2005-10-18 2010-04-13 Applied Materials, Inc. Independent control of ion density, ion energy distribution and ion dissociation in a plasma reactor
US7985688B2 (en) * 2005-12-16 2011-07-26 Lam Research Corporation Notch stop pulsing process for plasma processing system
US7645357B2 (en) * 2006-04-24 2010-01-12 Applied Materials, Inc. Plasma reactor apparatus with a VHF capacitively coupled plasma source of variable frequency
US20070245958A1 (en) * 2006-04-24 2007-10-25 Applied Materials, Inc. Dual plasma source process using a variable frequency capacitively coupled source for controlling ion radial distribution
US20070246163A1 (en) * 2006-04-24 2007-10-25 Applied Materials, Inc. Plasma reactor apparatus with independent capacitive and inductive plasma sources
US7780864B2 (en) * 2006-04-24 2010-08-24 Applied Materials, Inc. Process using combined capacitively and inductively coupled plasma sources for controlling plasma ion radial distribution
US20070246161A1 (en) * 2006-04-24 2007-10-25 Applied Materials, Inc. Plasma reactor apparatus with a toroidal plasma source and a VHF capacitively coupled plasma source with variable frequency
US20070246443A1 (en) * 2006-04-24 2007-10-25 Applied Materials, Inc. Process using combined capacitively and inductively coupled plasma process for controlling plasma ion dissociation
US20070245961A1 (en) * 2006-04-24 2007-10-25 Applied Materials, Inc. Dual plasma source process using a variable frequency capacitively coupled source for controlling plasma ion dissociation
US7264688B1 (en) 2006-04-24 2007-09-04 Applied Materials, Inc. Plasma reactor apparatus with independent capacitive and toroidal plasma sources
US20070246162A1 (en) * 2006-04-24 2007-10-25 Applied Materials, Inc. Plasma reactor apparatus with an inductive plasma source and a VHF capacitively coupled plasma source with variable frequency
US20070245960A1 (en) * 2006-04-24 2007-10-25 Applied Materials, Inc. Process using combined capacitively and inductively coupled plasma sources for controlling plasma ion density
US7727413B2 (en) * 2006-04-24 2010-06-01 Applied Materials, Inc. Dual plasma source process using a variable frequency capacitively coupled source to control plasma ion density
US7351664B2 (en) * 2006-05-30 2008-04-01 Lam Research Corporation Methods for minimizing mask undercuts and notches for plasma processing system
TWI471961B (zh) * 2007-10-26 2015-02-01 Sosul Co Ltd 擋板、基底支撐裝置、電漿處理裝置以及電漿處理方法
US20090134012A1 (en) * 2007-11-22 2009-05-28 Canon Anelva Corporation Sputtering apparatus and sputtering method
US7736914B2 (en) * 2007-11-29 2010-06-15 Applied Materials, Inc. Plasma control using dual cathode frequency mixing and controlling the level of polymer formation
US8137463B2 (en) * 2007-12-19 2012-03-20 Applied Materials, Inc. Dual zone gas injection nozzle
JP2009231385A (ja) * 2008-03-19 2009-10-08 Sanyo Electric Co Ltd 半導体装置の製造方法
US8382941B2 (en) * 2008-09-15 2013-02-26 Micron Technology, Inc. Plasma reactor with adjustable plasma electrodes and associated methods
JP2010278166A (ja) * 2009-05-27 2010-12-09 Tokyo Electron Ltd プラズマ処理用円環状部品、及びプラズマ処理装置
US8591709B1 (en) * 2010-05-18 2013-11-26 WD Media, LLC Sputter deposition shield assembly to reduce cathode shorting
JP5702968B2 (ja) * 2010-08-11 2015-04-15 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ制御方法
US10658161B2 (en) * 2010-10-15 2020-05-19 Applied Materials, Inc. Method and apparatus for reducing particle defects in plasma etch chambers
US8617411B2 (en) * 2011-07-20 2013-12-31 Lam Research Corporation Methods and apparatus for atomic layer etching
US9034199B2 (en) 2012-02-21 2015-05-19 Applied Materials, Inc. Ceramic article with reduced surface defect density and process for producing a ceramic article
US9212099B2 (en) 2012-02-22 2015-12-15 Applied Materials, Inc. Heat treated ceramic substrate having ceramic coating and heat treatment for coated ceramics
US9536710B2 (en) * 2013-02-25 2017-01-03 Applied Materials, Inc. Tunable gas delivery assembly with internal diffuser and angular injection
US9633823B2 (en) * 2013-03-14 2017-04-25 Cardinal Cg Company Plasma emission monitor and process gas delivery system
US9850568B2 (en) 2013-06-20 2017-12-26 Applied Materials, Inc. Plasma erosion resistant rare-earth oxide based thin film coatings
US9711334B2 (en) 2013-07-19 2017-07-18 Applied Materials, Inc. Ion assisted deposition for rare-earth oxide based thin film coatings on process rings
US9583369B2 (en) 2013-07-20 2017-02-28 Applied Materials, Inc. Ion assisted deposition for rare-earth oxide based coatings on lids and nozzles
US10460968B2 (en) 2013-12-02 2019-10-29 Applied Materials, Inc. Electrostatic chuck with variable pixelated magnetic field
US9725799B2 (en) 2013-12-06 2017-08-08 Applied Materials, Inc. Ion beam sputtering with ion assisted deposition for coatings on chamber components
US20160076142A1 (en) * 2014-03-07 2016-03-17 Advanced Ion Beam Technology, Inc. Deposition Apparatus and Deposition Method Using the Same
US9653253B2 (en) * 2014-03-07 2017-05-16 Advanced Ion Beam Technology, Inc. Plasma-based material modification using a plasma source with magnetic confinement
US9976211B2 (en) 2014-04-25 2018-05-22 Applied Materials, Inc. Plasma erosion resistant thin film coating for high temperature application
US9869013B2 (en) 2014-04-25 2018-01-16 Applied Materials, Inc. Ion assisted deposition top coat of rare-earth oxide
US10410889B2 (en) 2014-07-25 2019-09-10 Applied Materials, Inc. Systems and methods for electrical and magnetic uniformity and skew tuning in plasma processing reactors
KR101912886B1 (ko) * 2017-03-07 2018-10-29 에이피시스템 주식회사 가스 분사 장치, 이를 포함하는 기판 처리 설비 및 이를 이용한 기판 처리 방법
US11047035B2 (en) 2018-02-23 2021-06-29 Applied Materials, Inc. Protective yttria coating for semiconductor equipment parts
KR102391045B1 (ko) * 2020-08-25 2022-04-27 한국과학기술원 전자빔 방출 소스를 이용한 플라즈마 장치
US20230282449A1 (en) * 2022-03-03 2023-09-07 Applied Materials, Inc. Plasma shaper to control ion flux distribution of plasma source

Family Cites Families (71)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE295196C (zh)
US2468174A (en) 1943-05-06 1949-04-26 Koppers Co Inc Apparatus for electriclaly transforming materials
DE1089112B (de) * 1958-02-13 1960-09-15 Thomson Houston Comp Francaise Vakuumpumpe
US3458817A (en) 1967-02-13 1969-07-29 Westinghouse Electric Corp Microwave high power short pulse shaper
US4233109A (en) 1976-01-16 1980-11-11 Zaidan Hojin Handotai Kenkyu Shinkokai Dry etching method
JPS52127168A (en) 1976-04-19 1977-10-25 Fujitsu Ltd Etching unit
US4167370A (en) 1976-11-01 1979-09-11 Massachusetts Institute Of Technology Method of an apparatus for self-sustaining high vacuum in a high voltage environment
US4230515A (en) * 1978-07-27 1980-10-28 Davis & Wilder, Inc. Plasma etching apparatus
US4263088A (en) * 1979-06-25 1981-04-21 Motorola, Inc. Method for process control of a plasma reaction
US4243476A (en) 1979-06-29 1981-01-06 International Business Machines Corporation Modification of etch rates by solid masking materials
JPS5930130B2 (ja) 1979-09-20 1984-07-25 富士通株式会社 気相成長方法
JPS5687672A (en) 1979-12-15 1981-07-16 Anelva Corp Dry etching apparatus
DE3165961D1 (en) 1980-05-12 1984-10-18 Fujitsu Ltd Method and apparatus for plasma etching
US4340461A (en) 1980-09-10 1982-07-20 International Business Machines Corp. Modified RIE chamber for uniform silicon etching
US4401539A (en) * 1981-01-30 1983-08-30 Hitachi, Ltd. Sputtering cathode structure for sputtering apparatuses, method of controlling magnetic flux generated by said sputtering cathode structure, and method of forming films by use of said sputtering cathode structure
JPS57149734A (en) 1981-03-12 1982-09-16 Anelva Corp Plasma applying working device
JPS58122731A (ja) 1982-01-14 1983-07-21 Toshiba Corp ドライエツチング方法
JPS5916334A (ja) 1982-07-19 1984-01-27 Matsushita Electronics Corp ドライエツチング方法
US4465953A (en) * 1982-09-16 1984-08-14 The United States Of America As Represented By The Secretary Of The Air Force Rippled-field magnetron apparatus
US4483737A (en) * 1983-01-31 1984-11-20 University Of Cincinnati Method and apparatus for plasma etching a substrate
US4464223A (en) * 1983-10-03 1984-08-07 Tegal Corp. Plasma reactor apparatus and method
US4579618A (en) 1984-01-06 1986-04-01 Tegal Corporation Plasma reactor apparatus
JPS6139520A (ja) 1984-07-31 1986-02-25 Hitachi Ltd プラズマ処理装置
JPS61116841A (ja) 1984-11-12 1986-06-04 Fujitsu Ltd ドライエツチング装置
JPS61136229A (ja) * 1984-12-06 1986-06-24 Toshiba Corp ドライエツチング装置
US4585516A (en) 1985-03-04 1986-04-29 Tegal Corporation Variable duty cycle, multiple frequency, plasma reactor
US4617079A (en) * 1985-04-12 1986-10-14 The Perkin Elmer Corporation Plasma etching system
US4612077A (en) 1985-07-29 1986-09-16 The Perkin-Elmer Corporation Electrode for plasma etching system
US4632719A (en) * 1985-09-18 1986-12-30 Varian Associates, Inc. Semiconductor etching apparatus with magnetic array and vertical shield
US5158644A (en) 1986-12-19 1992-10-27 Applied Materials, Inc. Reactor chamber self-cleaning process
CA1336180C (en) 1987-03-27 1995-07-04 Kazuaki Ohmi Substrate-treating apparatus and method
US4790258A (en) 1987-04-03 1988-12-13 Tegal Corporation Magnetically coupled wafer lift pins
US4780169A (en) 1987-05-11 1988-10-25 Tegal Corporation Non-uniform gas inlet for dry etching apparatus
DE3854561T2 (de) * 1987-07-02 1996-05-02 Toshiba Kawasaki Kk Verfahren zum Trockenätzen.
DE68912400T2 (de) 1988-05-23 1994-08-18 Nippon Telegraph & Telephone Plasmaätzvorrichtung.
JP2947818B2 (ja) 1988-07-27 1999-09-13 株式会社日立製作所 微細孔への金属穴埋め方法
US4996077A (en) 1988-10-07 1991-02-26 Texas Instruments Incorporated Distributed ECR remote plasma processing and apparatus
US4889588A (en) 1989-05-01 1989-12-26 Tegal Corporation Plasma etch isotropy control
US5032205A (en) * 1989-05-05 1991-07-16 Wisconsin Alumni Research Foundation Plasma etching apparatus with surface magnetic fields
US4902377A (en) 1989-05-23 1990-02-20 Motorola, Inc. Sloped contact etch process
JP2981749B2 (ja) 1989-05-30 1999-11-22 日本真空技術株式会社 プラズマ処理装置
US5556501A (en) * 1989-10-03 1996-09-17 Applied Materials, Inc. Silicon scavenger in an inductively coupled RF plasma reactor
US4987102A (en) * 1989-12-04 1991-01-22 Motorola, Inc. Process for forming high purity thin films
US5127988A (en) 1989-12-27 1992-07-07 Yoshida Kogyo K.K. Process for the surface treatment of conductive material
JPH03241740A (ja) 1990-02-19 1991-10-28 Fujitsu Ltd 半導体装置の製造方法
JPH03254047A (ja) * 1990-03-02 1991-11-13 Nippon Telegr & Teleph Corp <Ntt> マイクロ波イオン銃
EP0457049A3 (en) 1990-04-19 1992-01-22 Kabushiki Kaisha Toshiba Dry etching method
US5707486A (en) * 1990-07-31 1998-01-13 Applied Materials, Inc. Plasma reactor using UHF/VHF and RF triode source, and process
US5079481A (en) * 1990-08-02 1992-01-07 Texas Instruments Incorporated Plasma-assisted processing magneton with magnetic field adjustment
US5192849A (en) 1990-08-10 1993-03-09 Texas Instruments Incorporated Multipurpose low-thermal-mass chuck for semiconductor processing equipment
JPH0817171B2 (ja) 1990-12-31 1996-02-21 株式会社半導体エネルギー研究所 プラズマ発生装置およびそれを用いたエッチング方法
US6036877A (en) * 1991-06-27 2000-03-14 Applied Materials, Inc. Plasma reactor with heated source of a polymer-hardening precursor material
US5477975A (en) * 1993-10-15 1995-12-26 Applied Materials Inc Plasma etch apparatus with heated scavenging surfaces
US6077384A (en) * 1994-08-11 2000-06-20 Applied Materials, Inc. Plasma reactor having an inductive antenna coupling power through a parallel plate electrode
US20010054601A1 (en) * 1996-05-13 2001-12-27 Jian Ding Low ceiling temperature process for a plasma reactor with heated source of a polymer-hardening precursor material
US5308417A (en) 1991-09-12 1994-05-03 Applied Materials, Inc. Uniformity for magnetically enhanced plasma chambers
US5279669A (en) * 1991-12-13 1994-01-18 International Business Machines Corporation Plasma reactor for processing substrates comprising means for inducing electron cyclotron resonance (ECR) and ion cyclotron resonance (ICR) conditions
US5232571A (en) * 1991-12-23 1993-08-03 Iowa State University Research Foundation, Inc. Aluminum nitride deposition using an AlN/Al sputter cycle technique
DE69226253T2 (de) 1992-01-24 1998-12-17 Applied Materials Inc Plasmaätzverfahren und Reaktor zur Plasmabearbeitung
US5252178A (en) * 1992-06-24 1993-10-12 Texas Instruments Incorporated Multi-zone plasma processing method and apparatus
JP3079818B2 (ja) 1992-12-25 2000-08-21 富士電機株式会社 プラズマ処理装置
JP2972477B2 (ja) 1993-01-27 1999-11-08 日本電気株式会社 Rf・ecrプラズマエッチング装置
US5372674A (en) 1993-05-14 1994-12-13 Hughes Aircraft Company Electrode for use in a plasma assisted chemical etching process
KR0171060B1 (ko) 1993-12-28 1999-03-30 스기야마 카즈히코 반도체장치의 제조방법
US5565036A (en) 1994-01-19 1996-10-15 Tel America, Inc. Apparatus and method for igniting plasma in a process module
JPH07245296A (ja) 1994-03-07 1995-09-19 Tokyo Electron Ltd プラズマ処理装置
US5900103A (en) * 1994-04-20 1999-05-04 Tokyo Electron Limited Plasma treatment method and apparatus
JPH07320671A (ja) * 1994-05-25 1995-12-08 Nec Kansai Ltd イオン打込み装置のイオン源および固体ソースの加熱方法
US5925212A (en) * 1995-09-05 1999-07-20 Applied Materials, Inc. Apparatus and method for attaining repeatable temperature versus time profiles for plasma heated interactive parts used in mass production plasma processing
US6048798A (en) * 1996-06-05 2000-04-11 Lam Research Corporation Apparatus for reducing process drift in inductive coupled plasma etching such as oxide layer
US6500314B1 (en) * 1996-07-03 2002-12-31 Tegal Corporation Plasma etch reactor and method

Also Published As

Publication number Publication date
US6354240B1 (en) 2002-03-12
EP0939972B1 (en) 2011-04-20
WO1998000858A1 (en) 1998-01-08
DE69740178D1 (de) 2011-06-01
US6620335B1 (en) 2003-09-16
US20020139665A1 (en) 2002-10-03
ATE506690T1 (de) 2011-05-15
CN1228196A (zh) 1999-09-08
CA2259976A1 (en) 1998-01-08
US6500314B1 (en) 2002-12-31
US7223699B2 (en) 2007-05-29
EP0939972A1 (en) 1999-09-08
US20050164513A1 (en) 2005-07-28
JP2000514599A (ja) 2000-10-31
EP0939972A4 (en) 2003-11-05
US6905969B2 (en) 2005-06-14

Similar Documents

Publication Publication Date Title
CN1161824C (zh) 等离子体腐蚀反应器和方法
CN1210999C (zh) 等离子腐蚀反应器
CN1255851C (zh) 用于等离子体形成内磁桶以控制等离子体体积的设备
CN1258805C (zh) 半导体处理室电极及其制作方法
CN1257527C (zh) 改变磁场以控制等离子体体积的设备
US5798029A (en) Target for sputtering equipment
CN1675738A (zh) 具双频偏压源及单频等离子体产生源的蚀刻腔室
CN1319247A (zh) 低污染、高密度等离子蚀刻腔体及其加工方法
CN1373899A (zh) 改善蚀刻率均匀性的技术
CN101076456A (zh) 用于调整一组等离子体处理步骤的方法和装置
CN1471727A (zh) 在衬底中的大高宽比部件的蚀刻
CN1732558A (zh) 用于对有机类材料膜进行等离子体蚀刻的方法和装置
CN1235695A (zh) 阴极电弧源和石墨靶
CN1436361A (zh) 磁控管溅射
KR100453538B1 (ko) 플라즈마에칭리액터장치및방법
WO1999013489A2 (en) Apparatus for improving etch uniformity and methods therefor

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
C17 Cessation of patent right
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20040811

Termination date: 20140123