CN1171290C - 多孔性绝缘材料及其制备方法 - Google Patents

多孔性绝缘材料及其制备方法 Download PDF

Info

Publication number
CN1171290C
CN1171290C CNB998070637A CN99807063A CN1171290C CN 1171290 C CN1171290 C CN 1171290C CN B998070637 A CNB998070637 A CN B998070637A CN 99807063 A CN99807063 A CN 99807063A CN 1171290 C CN1171290 C CN 1171290C
Authority
CN
China
Prior art keywords
straight
branched
formula
alkyl
expendable material
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
CNB998070637A
Other languages
English (en)
Other versions
CN1304550A (zh
Inventor
P��A���ƶ�
P·A·科尔
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Georgia Tech Research Institute
Georgia Tech Research Corp
Original Assignee
Georgia Tech Research Institute
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Georgia Tech Research Institute filed Critical Georgia Tech Research Institute
Publication of CN1304550A publication Critical patent/CN1304550A/zh
Application granted granted Critical
Publication of CN1171290C publication Critical patent/CN1171290C/zh
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02118Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer carbon based polymeric organic or inorganic material, e.g. polyimides, poly cyclobutene or PVC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02203Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being porous
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen
    • H01L21/02216Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen the compound being a molecule comprising at least one silicon-oxygen bond and the compound having hydrogen or an organic group attached to the silicon or oxygen, e.g. a siloxane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02282Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process liquid deposition, e.g. spin-coating, sol-gel techniques, spray coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/312Organic layers, e.g. photoresist
    • H01L21/3121Layers comprising organo-silicon compounds
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31695Deposition of porous oxides or porous glassy oxides or oxide based porous glass
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/318Inorganic layers composed of nitrides
    • H01L21/3185Inorganic layers composed of nitrides of siliconnitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/488Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of soldered or bonded constructions
    • H01L23/498Leads, i.e. metallisations or lead-frames on insulating substrates, e.g. chip carriers
    • H01L23/49866Leads, i.e. metallisations or lead-frames on insulating substrates, e.g. chip carriers characterised by the materials
    • H01L23/49894Materials of the insulating layers or coatings
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5222Capacitive arrangements or effects of, or between wiring layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/5329Insulating materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Compositions Of Macromolecular Compounds (AREA)
  • Addition Polymer Or Copolymer, Post-Treatments, Or Chemical Modifications (AREA)
  • Formation Of Insulating Films (AREA)
  • Paints Or Removers (AREA)
  • Polyoxymethylene Polymers And Polymers With Carbon-To-Carbon Bonds (AREA)

Abstract

本发明涉及一种形成多孔性绝缘组合物的方法,包括步骤(A)提供至少一种包括至少一种有机牺牲材料和至少一种介电材料的有机牺牲材料/介电材料组合物;和(B)将至少一种有机牺牲材料/介电材料组合物中的至少一种有机牺牲材料移出,以使至少一种介电材料内产生微孔。还公开一种用于制造多孔性绝缘体的组合物,包括至少一种热活性的成孔有机牺牲材料和至少一种介电材料。或者,制造多孔性绝缘体所用的组合物包括至少一种成孔有机牺牲材料和至少一种介电材料,其中至少一种成孔材料是降冰片烯型聚合物。

Description

多孔性绝缘材料及其制备方法
技术领域
广义地说,本文所述发明涉及形成多孔性绝缘制品(或者组合物或化合物)的方法,更具体地说,本发明涉及制备此制品过程中所用的化合物。
背景技术
由于集成电路技术所取得的进步,使得在任何得到的集成电路中金属线间的空隙越来越小,现已发展到亚微米级范围。由于集成电路中导电元件间的空隙减小,出现电容偶合增加的现象。电容偶合的增加使得干扰增大、电容损失提高且阻容(RC)时间常数加大。
微电子工业中,传统上使用无机材料如二氧化硅和氮化硅作为制造集成电路的绝缘材料和钝化材料。但是,随着对更小型化、更快和高功率器件的需求,将需要一些新材料来增强这些器件的性能和更有效地制造这些器件。为满足性能和制造标准提高的要求,使得人们对具有低介电常数、低吸湿性、良好基材粘附性、耐化学药品性、高玻璃化转变温度(例如Tg>250℃)、韧性、高热稳定性和热氧化稳定性以及良好光学性能特征的高性能聚合物的兴趣越来越大。这类聚合物适合在构建和制造多芯片组件(MCM)和集成电路(IC)、电子电路组装、软质薄膜基材及光学用途如平板显示等中用作介电涂层或介电膜。
为降低电容偶合,已做出许多努力来开发低介电常数(低K)材料,用于替代介于指定层面的金属线间和介于各层间的常规介电材料。许多常规电绝缘体的介电常数(ε)在3.5到4.2范围。例如二氧化硅的介电常数为4.2,聚酰亚胺的介电常数一般从2.9到3.5。或者,可通过加入氟来代替氧的方法降低二氧化硅的介电常数,得到介电常数约为3.5的物质。某些先进聚合物的介电常数在2.5到3.0范围。介电常数在1.8到2.5范围的材料也是已知的,但这类材料常伴有严格处理过程、高成本和材料问题。
最低可能的或称理想的介电常数是真空介电常数的1.0,空气的介电常数也很好,为1.001。意识到空气具有低介电常数后,人们就试图使用多孔性材料作为绝缘体来制造半导体器件。这样就能通过引入空气使物体的介电常数降低。
因此,可在二氧化硅中增加多孔性来降低其有效介电常数。例如,若介电材料体积的50%为空气时,多孔性二氧化硅的有效介电常数可通过将多孔性介电材料中的空气总体积百分数(即50%)与空气的介电常数(1.001或简化为1计算)乘积加上多孔性介电材料中例如二氧化硅的总体积百分数与二氧化硅介电常数(ε=4)乘积计算而得。这样对于二氧化硅与空气50/50混合物的多孔性材料来说,其介电常数为:ε=0.5*4+0.5*1=2.5。如上所述的多孔性材料的组成中空气量可高达90%。但是,这类多孔性材料存在一些缺点,例如没有机械强度和可靠性。
降低二氧化硅介电常数的另一解决方法是使用一般为低分子量硅氧烷基材料的旋涂玻璃(SOG)来降低二氧化硅的有效介电常数。SOG在沉积后进行热处理,从而完成化学键网状化。这样就生成一种“笼式结构”的SOG,使SOG的密度小于二氧化硅。因此,SOG的介电常数要低于二氧化硅。但是,这样方法使物体介电常数的降低值可能不能满足某些更新的电子应用如更高速集成电路的需要
发明内容
本发明提供一种形成多孔性绝缘组合物的方法,包括如下步骤:(A)提供至少一种包括至少一种有机牺牲材料和至少一种介电材料的有机牺牲材料/介电材料组合物;和(B)将至少一种有机牺牲材料/介电材料组合物中的至少一种有机牺牲材料移出,以使至少一种介电材料内产生微孔。另外,步骤(B)可包括将至少一种有机牺牲材料加热到温度等于或大于至少一种有机牺牲材料的分解温度。
在另一具体实施方案中,本发明提供一种形成多孔性绝缘组合物的方法,包括如下步骤:(A)提供一种包括至少一种牺牲材料和至少一种介电材料的牺牲材料/介电材料组合物;和(B)将牺牲材料/介电材料组合物固化;和(C)将牺牲材料/介电材料组合物中的至少一种牺牲材料热分解,以使至少一种介电材料内产生微孔。
按照本发明的另一方面,公开一种用于制造多孔性绝缘体的组合物,包括一种热活性的成孔牺牲材料和一种介电材料。
按照本发明的另一方面,公开一种用于制造多孔性绝缘体的组合物,包括至少一种成孔有机牺牲材料和至少一种介电材料,其中至少一种成孔材料是降冰片烯型聚合物。
优选有机牺牲材料或牺牲材料是降冰片烯型聚合物。另外,降冰片烯型聚合物优选为本文所述类型,包括通式如下的重复单元:
Figure C9980706300181
式中R1和R4独立代表氢或直链或支链(C1到C20)烷基;R2和R3独立代表氢、直链或支链(C1到C20)烷基或是如下基团:
Figure C9980706300182
R9独立代表氢、甲基或乙基;R10、R11和R12独立代表直链或支链(C1到C20)烷基、直链或支链(C1到C20)烷氧基、直链或支链(C1到C20)烷基羰氧基、取代或未取代的直链或支链(C6到C20)芳氧基;m是从0到4的数;n是从0到5的数;取代基R2和R3的至少一个选自式Ia所代表的甲硅烷基团。
更一般地说,用于本发明实际操作的有机牺牲材料或牺牲材料优选包括含由式I所示的一种单体单元或几种单体单元衍生的重复单元构成的均聚物和无规共聚物,或含由下面式II所示的一种单体单元或几种单体单元衍生的无规重复单元构成的均聚物或共聚物,或含由下面式III所示单体单元衍生的重复单元的均聚物或共聚物,和包括式I和II、式I和III及式II和III或者式I、II和III所示重复单元组合的共聚物。
本发明的优异之处在于提供一种能够在绝缘材料内产生均匀分散的孔径基本相同的微孔,从而降低绝缘材料介电常数的方法。
本发明的上述及其它特色将在下文做更全面的描述,并在权利要求书、下面详细阐述本发明的一或多个例示性具体方案时的说明和附图中更明确地指出,这些只是用于说明用途,仅仅是能应用本发明原理的众多方法中的一种或极少的几种。
附图说明
图1A所示的是不存在微孔的常规旋涂电介质绝缘组合物的显微照片。
图1B所示的是按本发明一个具体实施方案存在微孔的绝缘组合物和所形成微孔尺寸的显微照片。
图1C所示的是按本发明另一个具体实施方案存在微孔的绝缘组合物和所形成微孔尺寸的显微照片。
图2曲线A所示的是按本发明另一个具体实施方案旋涂并轻度烘焙后多孔性绝缘组合物的红外光谱图。
图2曲线B所示的是图2曲线A所述的多孔性绝缘组合物样品经400℃的分解温度处理后的红外光谱图。
图2曲线C所示的是图2曲线A所述的多孔性绝缘组合物样品经425℃的分解温度处理后的红外光谱图。
图3示出图2曲线A、B和C的红外谱图细节。
具体实施方式
本文所说的牺牲材料是指任何与介电材料混合在一起,经适当条件处理后能够分解,在介电材料内形成微孔的材料。微孔是指介电材料内的空隙,空隙中含有气体或是合并含有气体、空气或真空。优选微孔的形状基本为球形或椭圆形。另外,本文所说的介电材料是用作绝缘体的材料(如二氧化硅)。本文所用术语热活性牺牲材料用来表示置于热分解条件下能离去而留下微孔的化合物。
如上所述,本发明涉及具有低介电常数的多孔性绝缘化合物(例如介电常数低于4的化合物)。一般来说,多孔性绝缘化合物是由介电材料如旋涂电介质与牺牲材料组合而成。优选旋涂电介质为旋涂聚合物或旋涂玻璃(SOG)(例如甲基倍半氧甲硅烷(MSQ)、氢-倍半氧甲硅烷(HSQ)或倍半氧甲硅烷混合物),且牺牲材料为有机牺牲材料。有关形成上述多孔性绝缘化合物的方法,优选通过将牺牲材料与介电材料混合的方法来形成牺牲材料/介电材料组合物。然后集注适当技术(例如将组合物加热到牺牲材料分解温度或更高温度的方法)将牺牲材料从组合物中移出,从而产生微孔。
尽管可采用其它手段,但牺牲材料的分解反应更优选只通过高温引发。分解温度应适合结构中的各个组分,以使除移出牺牲材料形成微孔的反应外不会破坏其完整性。对电气互联设备来说,此温度一般应低于约500℃,更优选低于450℃。尽管分解温度低至150℃的材料可能比较有利,但预计此分解温度将在约380℃到450℃范围内。但是牺牲材料应具有足够的热稳定性,以使永久材料可被处理成电或机械稳定的状态。
实施上述方法所优选的牺牲材料优选为烯烃或聚合物。更优选这类烯烃或聚合物选自环烯烃化合物,甚至更优选为双环烯烃,最优选为降冰片烯型聚合物。并且牺牲材料可以是均聚物或共聚物。
牺牲材料:
降冰片烯型聚合物的意思是包括下面式I、II和III所示重复单元的多环加成均聚物和共聚物。适用于本发明的共聚物可包括选自含和/或由式I、II和III基团或其组合基团组成的重复单元。特别适合用作本发明牺牲材料的降冰片烯型聚合物可从俄亥俄州Akron的BFgoodrich公司在Avatrel的商标名下购得。聚合物包括下式1所示结构的甲硅烷基取代的重复单元:
式中R1和R4独立代表氢,直链或支链(C1到C20)烷基;R2和R3独立代表氢、直链或支链(C1到C20)烷基或是如下基团:
R9独立为氢、甲基或乙基;R10、R11和R12独立代表直链或支链(C1到C20)烷基、直链或支链(C1到C20)烷氧基、直链或支链(C1到C20)烷基羰氧基(如乙酰氧基)、取代或未取代的直链或支链(C6到C20)芳氧基;m是从0到4的数;n是从0到5的数。式I中,R2和R3的至少一个取代基必须选自式Ia所代表的甲硅烷基团。
优选R10、R11或R12的至少一个选自直链或支链(C1到C10)烷氧基且R9为氢。更优选每个R10、R11或R12相同且选自甲氧基、乙氧基、丙氧基、丁氧基和戊氧基。最优选n为0且R10、R11或R12每个都为乙氧基,例如R2和/或R3最优选为三乙氧基甲硅烷基取代基。当n为0时,显然甲硅烷基官能团是通过一个硅-碳键直接连接到多环基团的环上,其中硅-碳键的碳原子由多环基团环上的碳原子(即环碳原子)提供。
上面的式I中,m优选是0或1,分别用下面的结构式Ib和Ic表示:
Figure C9980706300213
式中R1到R4的定义同上,R2和R3的至少一个必须是式Ia所示的甲硅烷基取代基。尤其优选m为0的重复单元,即结构Ib的重复单元。
式中I、Ib和Ic中,R1和R4可与它们所连接的两个环碳原子一起代表一个4到8个碳原子的饱和环状基团。当R1和R4连在一起形成一个饱和环状基团时,环状基团用至少一个必须是式Ia所代表的甲硅烷基团的R2和R3取代。这类单体一般用下面的结构式表示:
式中B是亚甲基(即-CH2-)且q为从2到6的数。显而易见,当B所代表的亚甲基包含R2或R3取代基时,-CH2-基团的氢原子之一被R2或R3取代基所取代。代表性的重复单元结构如下:
式中R2、R3和m的定义同上。
式I单体的例示性实例包括5-三乙氧基甲硅烷基降冰片烯、5-三甲基甲硅烷基降冰片烯、5-三甲氧基甲硅烷基降冰片烯、5-甲基二乙氧基甲硅烷基降冰片烯和5-二甲基甲氧基甲硅烷基降冰片烯。
在本发明的另一具体实施方案中,牺牲聚合物包括选自下面式II所示单元的烃基取代的多环重复单元:
Figure C9980706300231
式中R5、R6、R7和R8独立代表氢、直链或支链(C1到C20)烷基、烃基取代或未取代的(C5到C12)环烷基、烃基取代或未取代的(C6到C40)芳基、烃基取代或未取代的(C7到C15)芳烷基、(C3到C20)链炔基、直链或支链(C3到C20)链烯基或乙烯基;R5与R6或R7与R8的任何一对都可连在一起形成一个(C1到C10)亚烷基,R5和R8与它们所连接的两个环碳原子连在一起时可代表一个含4到12个碳原子的饱和或不饱和环状基团或含6到17个碳原子的芳环;且p为0、1、2、3或4。上述取代基上的烃基取代基仅由碳和氢原子组成,例如像支化或非支化的(C1到C10)烷基、支化或非支化的(C2到C10)链烯基和(C6到C20)芳基。
烃基取代单体的例示性实例包括2-降冰片烯、5-甲基-2-降冰片烯、5-己基-2-降冰片烯、5-环己基-2-降冰片烯、5-环己烯基-2-降冰片烯、5-丁基-2-降冰片烯、5-乙基-2-降冰片烯、5-癸基-2-降冰片烯、5-苯基-2-降冰片烯、5-萘基-2-降冰片烯、5-亚乙基-2-降冰片烯、乙烯基降冰片烯、双环戊二烯、二氢双环戊二烯、四环十二烯、甲基四环十二烯、四环十二双烯、二甲基四环十二烯、乙基四环十二烯、亚乙基四环十二烯、苯基四环十二烯、环戊二烯三聚体(如对称或不对称三聚体)。特别优选的烃基重复单元衍生自2-降冰片烯。
在本发明的另一个具体实施方案中,适合用于实施本发明的牺牲聚合物包括下式III所代表的重复单元:
Figure C9980706300241
式中R9到R12独立选自如下极性取代基:
-(A)n-C(O)OR″,-(A)n-OR″,-(A)n-OC(O)R″,-(A)n-OC(O)OR″,-(A)n-C(O)R″,-(A)n-OC(O)C(O)OR″,-(A)n-O-A′-C(O)OR″,-(A)n-OC(O)-A′-C(O)OR″,-(A)n-C(O)O-A′-C(O)OR″,-(A)n-C(O)-A′-OR″,-(A)n-C(O)O-A′-OC(O)OR″,-(A)n-C(O)O-A′-O-A′-C(O)OR″,-(A)n-C(O)O-A′-OC(O)C(O)OR″,-(A)n-C(R″)2CH(R″)(C(O)OR″),和-(A)n-C(R″)2CH(C(O)OR″)2;A和A’部分独立代表一个选自二价烃基、二价环烃基、二价含氧基和二价环醚及二价环二醚的二价桥基或间隔基,且n为0或1的整数。当n为0时,显然A和A’代表一个共价键。二价的意思是指此基团两端的自由价键与两个不同基团连接。二价烃基可用式-(CdH2d)-表示,式中d代表亚烷基链上的碳原子数,为从1到10的整数。优选二价烃基选自直链或支链(C1到C10)亚烷基,如亚甲基、亚乙基、亚丙基、亚丁基、亚戊基、亚己基、亚庚基、亚辛基、亚壬基和亚癸基。当考虑支链亚烷基时必须明白,是用直链或支链(C1到C5)烷基取代线型亚烷基链中的氢原子。二价环烃基包括下式所示的取代或未取代的(C3到C8)环脂族部分:
Figure C9980706300242
式中a是从2到7的整数,所存在的Rq代表直链或支链(C1到C10)烷基基团。优选的二价环亚烷基包括下示结构的环亚戊基和环亚己基部分:
Figure C9980706300251
式中Rq的定义同上。当然,此处及说明书全文的图示中,从环状结构和/或结构式中伸出的键连线表示此部分的二价性质,表明环碳原子与相应结构式中所定义的相邻分子部分的键连点。按本领域的常规,从环结构中心伸出的斜键连线表示此键任选与环中任何一个环碳原子连接。另外很清楚的一点是键连线所连接的环碳原子将会减少一个氢原子,以满足碳的价数要求。
优选的二价环醚和二醚用下面的结构式表示:
二价含氧基团包括(C2到C10)亚烷基醚和聚醚。(C2到C10)亚烷基醚的意思是指二价醚部分中的总碳原子数至少必须是2且不超过10。用式-亚烷基-O-亚烷基-表示二价亚烷基醚,其中每个与氧原子键连的亚烷基可相同或不同,选自亚甲基、亚乙基、亚丙基、亚丁基、亚戊基、亚己基、亚庚基、亚辛基和亚壬基。这一系列中最简单的二价亚烷基醚是-CH2-O-CH2-基。优选的聚醚部分包括下式的二价基团:
-(CH2(CH2)x)O)y-
式中x是从0到5的整数,y是从2到50的整数,条件是聚醚间隔基部分上的末端氧原子不能直接与相邻基团上的氧原子连接而形成过氧键。换句话说,当聚醚间隔基与任何一个上面R9和R12所示的含末端氧的取代基连接时不考虑过氧键(即-O-O-)。
R9到R12也可独立代表氢、直链或支链(C1到C10)烷基,只要其余的R9到R12取代基中至少一个选自上面所示的极性基团之一即可。在上式中,p是从0到5的整数(优选0或1。更优选为0)。R″独立代表氢、直链或支链(C1到C10)烷基(例如-C(CH3))、-Si(CH3)、-CH(Rp)OCH2CH3、CH(Rp)OC(CH3)3、直链或支链(C1到C10)烷氧基亚烷基、聚醚、单环和多环(C4到C20)环脂族部分、环醚、环酮和环酯(内酯)。(C1到C10)烷氧基亚烷基是指末端烷基通过一个醚氧原子连接亚烷基部分,通常表示为-亚烷基-O-烷基,其中烷基基团独立含1到10个碳原子,各自可为直链或支链结构。聚醚基可用下式表示:
-(CH2(CH2)xO)y)-Ra式中,x是从0到5的整数,y是从2到50的整数,Ra代表氢或直链或支链(C1到C10)烷基。优选的聚醚基包括聚(环氧乙烷)和聚(环氧丙烷)。单环的环酯族单环部分的实例包括环丙基、环丁基、环戊基、环己基、二环丙基甲基(Dcmp)和二甲基环丙基甲基(Dmcp)等。环酯族多环部分的实例包括降冰片基、金刚烷基、四氢双环戊二烯基(三环[5.2.1.02,6]癸基)等。环醚的实例包括四氢呋喃基和四氢吡喃基部分。环酮的实例是3-氧代环己酮基部分。环酯或称内酯的实例是甲羟戊酸内酯基部分。代表性的上述环状基团的结构包括:
Figure C9980706300261
上式和环状基团中的Rp代表氢或直链或支链(C1到C5)烷基。Dcpm和Dmcp取代基分别如下所示:
Figure C9980706300271
适合用于实施本发明的牺牲聚合物优选包括由式I所示的一种单体单元或几种单体单元衍生的重复单元构成的均聚物和无规共聚物,或含由式II所示的一种单体单元或几种单体单元衍生的重复单元构成的均聚物或无规共聚物,或含由式III所示单体单元衍生的重复单元的均聚物或共聚物,和包括式I和II、式I和III及式II和III或者式I、II和III所示重复单元组合的共聚物。
按本发明优选的牺牲聚合物可含从约0.1到100%(摩尔),优选从约1到50%(摩尔),更优选从约3到25%(摩尔)且最优选从约5到20%(摩尔)的甲硅烷基官能化多环重复单元,聚合物的其余部分优选包括式II和/或式III所述的重复单元。特别优选的聚合物包括由降冰片烯和三乙氧基甲硅烷基降冰片烯按降冰片烯/三乙氧基甲硅烷基降冰烯摩尔比为80/20的比例聚合的重复单元。
按本发明优选的牺牲聚合物是包括多环重复单元的加成聚合物,这些多环重复单元通过横跨预聚多环单体降冰片烯型部分所含双键形成的2,3-键彼此相互连接。
可以在BFGoodrich公司的国际专利申请WO97/20871(1997年6月12日公开)中述及的单或多组分VIII族过渡金属催化体系存在下,从适宜的官能化降冰片烯型单体聚合制得此类聚合物,上述专利全文引为参考。
聚降冰片烯型聚合物特别有利,因为它具有很高(>350℃)和足够的热稳定性,能适应制造半导体过程中常用的和其它一些若干操作步骤,如SiO2等离子体加强化学蒸汽沉积法(PECVD)和铜低温退火过程,且分解温度接近其Tg,从而限制了可能会损害半导体器件的运动。
相信实施本发明所用的多环烯烃聚合物非常适合用作半导体制造过程中的牺牲材料,因为该材料的热分解温度接近Tg。换句话说,聚合物在到达其分解温度之前都维持其机械稳定性,使得聚合物能够耐受半导体制造过程中相当苛刻的处理步骤(如反复热循环、操作的机械应力等)。先有工艺方法的聚合物的缺点在于它的Tg要比分解温度低很多,导致到达分解温度前就发生机械损坏或结构变化。已发现,通过将包含烃基(式II)侧链和/或极性(式III)取代基侧链的多环烯烃重复单元引入牺牲聚合物骨架中的方法,可以显著降低甲硅烷基取代的多环烯烃聚合物的分解温度。通过在共聚物中用含直链或支链(C1到C20)烷基取代基侧链的重复单元替换降冰片烯重复单元的方法可使含降冰片烯/三乙氧基甲硅烷基降冰片烯摩尔比为80/20的聚合物(分解温度近430℃)的分解温度降低约30℃。例如,含丁基降冰片烯/三乙氧基甲硅烷基降冰片烯摩尔比为95/5的共聚物的分解温度低至405℃。我们预计通过用含式III所述极性取代基的重复单元替换共聚物中降冰片烯重复单元的方法可使共聚物的分解温度进一步降低(近100℃)。乙酸降冰片酯和碳酸降冰片乙酯均聚物的热分解温度分别为356℃和329℃。极性基团包括酯、碳酸酯和乙酸酯取代基等。为达到甲硅烷基取代聚合物分解温度较低的目的,聚合物应含约50%(摩尔),优选大于50%(摩尔),更优选51到99%(摩尔),进一步优选55到95%(摩尔),甚至更优选65到85%(摩尔)带有烃基或极性官能团侧链的多环重复单元。
上述牺牲聚合物可加热到其分解温度,一般在约380℃到约450℃范围,使聚合物分解成可从各种与牺牲聚合物混合的材料中扩散出去的分解产物。这类材料包括如聚合物电介质如二氧化硅、氮化硅、甲基倍半氧甲硅烷(MSQ)CH3SiO1.5或氢倍半氧甲硅烷(HSQ)HSiO1.5。从下面例示本发明其它方面所描述的其它示范性方法中可以很明显看出,特别适用的是聚合物如MSQ、HSQ和倍半氧甲硅烷混合物。
另外要指出的一点是,当牺牲材料的分解温度降低时,可使用固化温度较低的旋涂聚合物作为介电材料。
介电材料:
制造甲基倍半氧硅烷的方法是本领域所熟知的。例如已知可通过将甲基三乙氧基硅烷水解的方法生成甲基倍半氧硅烷。或者,是Rahn等人在Mat.Res.Soc.Symo.Proc.,(1990)第171卷31-37页中提出的一种已知方法,通过催化再分布反应后再与醇反应的方法可制备倍半氧甲硅烷。Laine等人在Chem.Mat.,(1990)第2卷464-472页中述及采用钛催化环聚体或直链低聚物再分布反应来合成甲基倍半氧甲硅烷的方法。Sugiyama等人在美国专利4,745,169中述及可从三氯硅烷或带被保护羟基的三烷氧基硅烷合成多有机基倍半氧甲硅烷。因涉及到如何合成多有机基倍半氧甲硅烷。引入美国专利4,745,169作为参考。
制造氢倍半氧甲硅烷的方法也是本领域所熟知的。例如已知可将烷氧基或酰氧基硅烷在酸性、醇类水解介质中用水进行水解。与此类似,Collin等人在美国专利3,615,272(引为参考)中述及通过包括将三氯硅烷在苯磺酸水合物水解介质中进行水解,然后将得到树脂用硫酸水溶液洗涤的过程来生产几乎完全缩合的H-树脂(可含100到300ppm以内的硅醇)的方法。另外,Bank等人在美国专利5,010,159(引为参考)中述及另一种方法,包括将氢化硅烷在芳基磺酸水合物水解介质存在下进行水解,形成树脂,然后与中性试剂接触。
特别适用的是AccuspinT-18(一种甲基倍半氧甲硅烷,又称Allied-Signal 418,来自加拿大Santa Clara的Allied-Signal公司)或Accuglass512(一种混合型倍半氧甲硅烷,也来自加拿大Santa Clara的Allied-Signal公司)。由称作可流动氧化物FOx的DowCoring获得的氢倍半氧甲硅烷产物也令人感兴趣。
一般来说,本发明的多孔性绝缘化合物,优选多孔性倍半氧甲硅烷绝缘化合物是通过将牺牲材料,优先选自上面所讨论的环烯烃类化合物,且更优先选自上面所讨论的双环烯烃与电介质材料如旋涂电介质混合的方法形成。优选旋涂电介质为旋涂聚合物或旋涂玻璃(SOG)(如甲基倍半氧甲硅烷(MSQ)、氢倍半氧甲硅烷(HSQ)或倍半氧甲硅烷混合物)。进一步优选牺牲材料选自上面所讨论的降冰片烯型聚合物之一且旋涂电介质为AccuspinT-18或Accuglass512。
优选先将牺牲材料在能够溶解至少一种牺牲材料和/或电介质材料的溶剂(例如一种有机溶剂如有机醇)中溶解。然后,将溶解的牺牲材料与介电材料混合,由此而形成溶液。得到的溶液在室温下搅拌适当长的时间,以确保牺牲材料均匀分散于介电材料中。优选上述溶液在室温下搅拌约0.1到4小时,更优选约0.75到3小时,且进一步优选约1到2小时。进一步优选的方法是将溶解的牺牲材料在与介电材料混合之前用孔径约0.2μm的过滤器过滤。一旦搅拌牺牲聚合物/介电材料的过程完成后优选将混合物过滤。在此阶段所用过滤器的孔径优选小于约0.2μm。
但是应指出的一点是,也可采用能将牺牲材料与介电材料混合的其它技术,例如可采用喷雾技术将二者混合。
接下来,若需要的话,可将牺牲材料/介电材料组合物通过适当技术沉积到所需制品的表面。优选将组合物旋涂到所需表面。然后将组合物在高至足以使介电材料固化但不足以使牺牲材料分解的温度下进行处理。因此,很重要的一点是本发明所用组合物中的介电材料部分的固化温度要等于或低于本发明所用牺牲材料的分解温度,以便能在分解反应发生时形成保留牺牲材料多孔性的稳定聚合物膜。在固化温度低于牺牲材料分解温度的情况下,将固化的混合物在高至足以使牺牲材料分解的温度下进行处理。据信牺牲材料的分解产物渗透过整个介电材料的笼形结构,从而在离去后留下微孔或空隙。
或者,牺牲材料/介电材料组合物可在足以使介电材料固化并足以使牺牲材料分解的温度下进行处理。即可通过将涂覆的目标物逐渐加热到等于或高于牺牲材料分解温度的方法同时进行这两个步骤。
实施例:
以下说明适用于下面所讨论的所有实施例,除非另有说明。
在实施例中,除非另有说明,温度单位为摄氏度,压力单位为大气压,用量和配方量为重量比。
介电常数的测定用(100)硅片上制造的平板电容器进行。电容器的底板是阴极真空喷镀Ti/Au/Ti(300/4000/300)的全表面膜。将三乙氧基甲硅烷基降冰片烯(TESNB)溶于4-甲基-2-戊酮(Aldrich化学公司)(60%(重)以内,尽管可使用更高浓度),然后用0.2μm过滤器(Gelman Sciences)过滤,室温下与也是由MSQ或HSQ溶于适当溶剂(例如一种有机醇像4-甲基-2-戊酮)形成的MSQ或HSQ溶液混合一定时间。混合完毕后,将混合溶液用0.2μm过滤器(GelmanSciences)过滤。接下来,将滤液混合物以3000rpm的转速旋涂到金属化的硅基材上。
然后将旋涂材料于180℃下空气中烘焙2分钟,再在石英管烘箱中,氮气吹扫下进一步进行固化反应和聚合物分解反应。烘箱温度以约1到10℃/分钟之间的速度升高,直到烘箱温度达到425℃。保持此温度约30分钟。然后将涂覆的基材通过自然对流慢慢冷却到低于150℃。
上述过程完成后,通过光刻和湿浸法刻出由Ti/Au/Ti(300/4000/300)组成的电极头,测量电容器的电导率。电容器的表面积范围从约0.025cm2到约1.1cm2。在10kHZ下测量电容和电导。仅将低电导的,一般约低于1μS且通常低于0.01μS的无缺陷电容器进一步进行下述试验。
鉴于上述情况,对于一般的三位有效数字来说,发现电容率和介电常数是一样的。由于电容器的面积/厚度比很大(>1000),因而无须对电容器周围的弥散场做校正。
还应指出的是,对于下面讨论的实施例来说,FT-IR谱图是使用Nicolet 550红外光谱仪获得的,介电层缺陷是使用Hitachi-2000电镜测量的。
实施例1:
制备20%三乙氧基甲硅烷基降冰片烯聚合物(Mw=158000,采用GPC方法在一氯代苯中测定)和80%MSQ(AccuspinT-18)(重量比)混合物的方法是将上述两组分分别溶于适当量的4-甲基-戊酮中,然后搅拌下将两溶液混合。所得溶液室温下搅拌1小时,得到牺牲材料/介电材料组合物。然后将所得组合物旋涂于金属化硅基材上,得到厚度约620nm的介电层。此后,将涂覆后的金属化硅基材经光学显微镜检查,确认牺牲材料/介电材料组合物层中无缺陷且没有任何针孔和通孔存在。
然后,将牺牲材料/介电材料组合物涂覆的金属化硅基材置于烘箱中,以约5℃/分钟的速度升温,直到烘箱温度达到约425℃,烘箱维持此温度约30分钟。开始时,牺牲材料/介电材料组合物的介电材料部分受热固化,烘箱内温度达到接近牺牲材料/介电材料组合物中牺牲材料分解温度后,牺牲材料进行分解。
上述过程完成后,测量按本实施例方法形成的介电层的平均介电常数,测得为2.31,标准偏差0.09。
按上述步骤再制备40%三乙氧基甲硅烷基降冰片烯聚合物和60%MSQ的介电膜及60%三乙氧基甲硅烷基降冰片烯聚合物和40%MSQ的介电膜。这些膜旋涂时也无缺陷,且基本无针孔和通孔或通道管(即连接形成从介电层的一面到另一面通道的空隙)。或者,通孔可以定义为一条横穿多孔性绝缘体截面的通道或空隙。
应指出的是,为生产出适用的绝缘层,制造多孔性绝缘材料所采用的方法应能生成一种基本无针孔或通孔的绝缘体。优选绝缘体完全无此类针孔和通孔。这是因为这类针孔或通孔可导致绝缘体电导率的增加,从而减少其绝缘容量。
比较实施例1:
采用上文实施例1所述的同样方法制成比较实施例1的金属化的硅基材,不同处如下,仅由MSQ(AccuspinT-18)制成介电层。在此实施例中测得介电层的厚度约为650nm。测量此实施例的介电层的平均介电常数,测得为2.71,标准偏差0.05。
实施例2:
采用上文实施例1所述的同样方法制成实施例2的金属化的硅基材,但介电层是由20%三乙氧基甲硅烷基降冰片烯聚合物和80%Accuglass512制成。实施例2的最后固化温度约为400℃。测量此实施例的介电层的平均介电常数,测得为2.71,标准偏差0.05。
比较实施例2:
采用上文实施例2所述的同样方法制成比较实施例2的金属化的硅基材,但仅由Accuglass512制成介电层。在此实施例中测得介电层的厚度约为650nm。测量此实施例的介电层的平均介电常数,测得为3.1,标准偏差0.11。
上述实施例的结果列于下表1。应指出的是,介电常数越低越好,因为介电常数较低的化合物是较好的绝缘体。
    材料     介电常数     标准偏差
  实施例1     2.31     0.09
  比较实施例1     2.71     0.05
  实施例2     2.77     0.11
  比较实施例2     3.1     0.1
从上面表1所示的详细结果可以看出,通过将牺牲材料与介电材料混合物在足以使牺牲材料分解的条件下进行处理的方法可显著降低介电材料(如旋涂介电材料)的介电常数。比较实施例与本发明实施例间介电常数的降低可归因于介电层在能使牺牲材料分解的条件下处理时介电层内形成了微孔。优选降冰片烯聚合物作为牺牲材料是因为它们会热分解成能扩散通过介电材料(或外封膜)的挥发性产物。还发现,当牺牲材料与介电材料按上述方式混合时,可以看出是均匀分散于整个介电材料内,从而避免在介电层形成针孔或通孔。
现在看一下附图。图1A示出固化的MSQ层的显微照片。显微照片表明仅含MSQ的介电层未观察到有微孔形成。从图1B(20%TESNB/80%MSQ,重量比)和1C(40%TESNB/60%MSQ,重量比)的显微照片可以看出另一种情况,图1B的介电层中观察到的微孔大小越70nm且均匀分布于整个介电层中。从图1C看出,随TESNB量的增加介电层内存在的微孔数量增加,但微孔大小仍保持大致相同。
有关上述情况讨论如下,在优选的实施方案中,相信在优选的降冰片烯聚合物牺牲材料上的烷氧基甲硅烷基基团能与那些未固化的优选介电材料(例如MSQ、HSQ或倍半氧甲硅烷混合物)反应或能帮助降冰片烯型聚合物牺牲材料均匀分散于整个优选介电材料中,从而避免牺牲材料在介电材料中团聚。具体地说,相信降冰片烯型聚合物牺牲材料通过降冰片烯型聚合物的-Si-O-CH2基团和介电材料的-Si-OCH3或-Si-O-H基团的水解反应而键连,以分布于整个聚合物膜中的离散聚合物单元形式,使其保持在整个介电材料中均匀分散。相信其后在固化反应中进行缩合反应。
如上所述,牺牲材料的分解温度优选至少为100℃,牺牲材料的分解温度更优选在约380℃到450℃之间。
分解之前,本发明的多孔性绝缘组合物优选包括至少约40%(重)介电材料,其余成分为牺牲材料。更优选本发明的多孔性绝缘组合物优选包括至少约60%(重)介电材料,且更优选本发明的多孔性绝缘组合物优选包括至少约80%(重)介电材料,其余成分为牺牲材料。因此,本发明中的牺牲材料的量可在从0.1%到约60%(重)范围。更优选分解前本发明的多孔性绝缘组合物包括20%(重)牺牲材料和80%(重)介电材料。
应指出的是,由上述有关实施例的讨论可以确定,由本发明多孔性绝缘组合物中的牺牲材料分解形成的微孔大小主要是所用牺牲材料的分子尺寸所起的作用,而不是牺牲材料的含量起作用。也就是说,分子量较大的牺牲材料分解时所生成的平均孔径或孔尺寸较大,反之亦然。
鉴于以上情况,本发明所用的牺牲材料优选为降冰片烯型二聚体、三聚体、四聚体或聚合物。在使用降冰片烯型二聚体或三聚体的情况下,分解时可以生成约10埃的微孔。另一方面,当希望较大微孔或空隙时,可使用分子量范围约1000到1000000的降冰片烯型聚合物。
如上所述,优选牺牲材料分解时形成的微孔的平均孔径至少为约10埃,甚至更优选约10nm。
再看一下图2。图2的曲线A示出本发明实施例1的多孔性绝缘材料在分解之前180℃下固化(或烘焙)之后的红外谱图。将溶液旋涂于低掺杂的双面抛光硅片上。将硅片一分为四,并加热到不同温度。未涂覆的硅片用于减去本底。图2中,曲线B和C分别示出实施例1的组合物于400℃和425℃下进行固化并分解后的红外谱图。
从图2的红外谱图中可以看出,通过将膜在约400℃(曲线B)或约425℃(曲线C)下加热的方法使膜的含湿量显著降低。这可以从3400cm-1附近和870到950cm-1附近的Si-OH峰降低看出。MSQ中硅醇基团的缩合交联反应引起在1126cm-1和1026cm-1处观察到的倍半氧甲硅烷峰的改变。在固化样品(曲线A)与经固化和分解反应的样品(曲线B和C)之间观察到这些峰的变化。在1273cm-1处观察到Si-C伸缩振动峰,在MSQ于约400℃(曲线B)和425℃(曲线C)的分解温度下进行固化后没有变化。
继续看图2,TESNB聚合物的分解降低了2800cm-1和2980cm-1间C-Hx伸缩振动峰的吸收强度。对于20%TESNB聚合物/80%MSQ的混合物来说,在此区域总共有5个峰,可以看到,C-H2和C-H3各两个峰(对称和不对称伸缩),一个C-H伸缩振动峰。MSQ仅含-CH3基团(两个峰),而TESNB则含-CH3、-CH2和CH基团。MSQ的主要甲基峰在2873cm-1处,但因为固体样品中的未知环境因素,尚不能证实其它C-Hx的归属。因此,TESNB聚合物的分解和扩散应导致三个小峰消失。这一点可参考图3所示的红外谱图来观察。图3的曲线A、B和C与图2曲线所标的字母相对应。
虽然已通过某些优选实施方案或具体实施方案示出并描述了本发明,但从事本领域工作的其它人员阅读并理解本说明书和附图后,对存在的一些等效替换方案和改进方案是显而易见的。

Claims (57)

1.一种形成多孔性绝缘组合物的方法,包括如下步骤:
(A)提供至少一种包括至少一种有机牺牲材料和至少一种介电材料的有机牺牲材料/介电材料组合物;和
(B)将至少一种有机牺牲材料/介电材料组合物中的至少一种有机牺牲材料加热移出,以使至少一种介电材料内产生微孔,
其中至少一种介电材料是一种旋涂电介质聚合物,选自甲基倍半氧甲硅烷、氢倍半氧甲硅烷和倍半氧甲硅烷混合物。
2.按权利要求1所述的方法,其中步骤(B)包括将至少一种有机牺牲材料加热到温度等于或大于至少一种有机牺牲材料的分解温度。
3.按权利要求2所述的方法,其中步骤(B)中至少一种介电材料被固化。
4.按权利要求1所述的方法,其中步骤(A)之前将至少一种有机牺牲材料过滤。
5.按权利要求1所述的方法,其中进一步包括如下步骤:
(C)在步骤(B)之前将至少一种有机牺牲材料/介电材料组合物沉积于一种表面。
6.按权利要求1所述的方法,其中至少一种有机牺牲材料是至少一种环烯烃或其聚合物。
7.按权利要求6所述的方法,其中至少一种环烯烃或其聚合物是至少一种双环烯烃或其聚合物。
8.按权利要求1所述的方法,其中至少一种有机牺牲材料是至少一种降冰片烯型聚合物。
9.按权利要求8所述的方法,其中降冰片烯型聚合物包括通式如下的重复单元:
式中R1和R4独立代表氢或直链或支链(C1到C20)烷基;R2和R3独立代表氢、直链或支链(C1到C20)烷基或是如下基团:
Figure C998070630003C2
R9独立代表氢、甲基或乙基;R10、R11和R12独立代表直链或支链(C1到C20)烷基、直链或支链(C1到C20)烷氧基、直链或支链(C1到C20)烷基羰氧基和取代或未取代的直链或支链(C6到C20)芳氧基;m是从0到4的数;n是从0到5的数;取代基R2和R3的至少一个选自式Ia所代表的甲硅烷基团。
10.按权利要求9所述的方法,其中R10、R11或R12的至少一个选自直链或支链(C1到C10)烷氧基且R9为氢。
11.按权利要求10所述的方法,其中每个R10、R11或R12相同且选自甲氧基、乙氧基、丙氧基、丁氧基和戊氧基。
12.按权利要求11所述的方法,其中n为0且R10、R11或R12每个都为乙氧基。
13.按权利要求12所述的方法,其中R2或R3为三乙氧基甲硅烷基取代基。
14.按权利要求9所述的方法,其中上式I中,m优选是0或1,分别用下面的结构式Ib和Ic表示:
Figure C998070630004C1
式中R2和R3的至少一个必须是式Ia所示的甲硅烷基取代基。
15.按权利要求9所述的方法,其中R1和R4可与它们所连接的两个环碳原子连在一起,形成包括如下结构的重复单元:
式中B是亚甲基且q为从2到6的整数。
16.按权利要求9所述的方法,其中降冰片烯型聚合物进一步包括选自下面式II所示单元的烃基取代的多环重复单元:
式中R5、R6、R7和R8独立代表氢、直链或支链(C1到C20)烷基、烃基取代或未取代的(C5到C12)环烷基、烃基取代或未取代的(C6到C40)芳基、烃基取代或未取代的(C7到C15)芳烷基、(C3到C20)链炔基、直链和支链(C3到C20)链烯基或乙烯基;R5与R6或R7与R8的任何一对都可连在一起形成一个(C1到C10)亚烷基,R5和R8与它们所连接的两个环碳原子连在一起时可代表一个含4到12个碳原子的饱和或不饱和环状基团或含6到17个碳原子的芳环;且p为0、1、2、3或4。
17.按权利要求8所述的方法,其中降冰片烯型聚合物进一步包括下式III所代表的重复单元:
式中R9到R12独立选自如下极性取代基:
-(A)n-C(O)OR″,-(A)n-OR″,-(A)n-OC(O)R″,-(A)n-OC(O)OR″,
-(A)n-C(O)R″,-(A)n-OC(O)C(O)OR″,-(A)n-O-A′-C(O)OR″,
-(A)n-OC(O)-A′-C(O)OR″,-(A)n-C(O)O-A′-C(O)OR″,-(A)n-C(O)-A′-OR″,
-(A)n-C(O)O-A′-OC(O)OR″,-(A)n-C(O)O-A′-O-A′-C(O)OR″,
-(A)n-C(O)O-A′-OC(O)C(O)OR″,-(A)n-C(R″)2CH(R″)(C(O)OR″),
和-(A)n-C(R″)2CH(C(O)OR″)2;A和A′部分独立代表一个选自二价烃基、二价环烃基、二价含氧基和二价环醚及二价环二醚的二价桥基或间隔基,p为0-5的整数,且n为0或1的整数,R″独立代表氢、直链或支链(C1到C10)烷基、-Si(CH3)、-CH(Rp)OCH2CH3、CH(Rp)OC(CH3)3、直链或支链(C1到C10)烷氧基亚烷基、聚醚、单环和多环(C4到C20)环脂族部分、环醚、环酮和环酯,其中Rp代表氢或直链或支链(C1到C5)烷基。
18.按权利要求8所述的方法,其中至少一种降冰片烯型聚合物包括含式I和II、式I和III及式II和III或者式I、II和III所示重复单元组合的共聚物,其中式I是:
Figure C998070630006C1
式中R1和R4独立代表氢或直链或支链(C1到C20)烷基;R2和R3独立代表氢、直链或支链(C1到C20)烷基或是如下基团:
Figure C998070630006C2
R9独立代表氢、甲基或乙基;R10、R11和R12独立代表直链或支链(C1到C20)烷基、直链或支链(C1到C20)烷氧基、直链或支链(C1到C20)烷基羰氧基和取代或未取代的直链或支链(C6到C20)芳氧基;m是从0到4的数;n是从0到5的数;取代基R2和R3的至少一个选自式Ia所代表的甲硅烷基团;
式II是:
式中R5、R6、R7和R8独立代表氢、直链或支链(C1到C20)烷基、烃基取代和未取代的(C5到C12)环烷基、烃基取代和未取代的(C6到C40)芳基、烃基取代和未取代的(C7到C15)芳烷基、(C3到C20)链炔基、直链和支链(C3到C20)链烯基或乙烯基;R5与R6或R7与R8的任何一对都可连在一起形成一个(C1到C10)亚烷基,R5和R8与它们所连接的两个环碳原子连在一起时可代表一个含4到12个碳原子的饱和或不饱和环状基团或含6到1 7个碳原子的芳环;且p为0、1、2、3或4;和
式III是:
Figure C998070630007C1
式中R9到R12独立选自如下极性取代基:
-(A)n-C(O)OR″,-(A)n-OR″,-(A)n-OC(O)R″,-(A)n-OC(O)OR″,
-(A)n-C(O)R″,-(A)n-OC(O)C(O)OR″,-(A)n-O-A′-C(O)OR″,
-(A)n-OC(O)-A′-C(O)OR″,-(A)n-C(O)O-A′-C(O)OR″,-(A)n-C(O)-A′-OR″,
-(A)n-C(O)O-A′-OC(O)OR″,-(A)n-C(O)O-A′-O-A′-C(O)OR″,
-(A)n-C(O)O-A′-OC(O)C(O)OR″,-(A)n-C(R″)2CH(R″)(C(O)OR″),
和-(A)n-C(R″)2CH(C(O)OR″)2;A和A′部分独立代表一个选自二价烃基、二价环烃基、二价含氧基和二价环醚及二价环二醚的二价桥基或间隔基,p为0-5的整数,且n为0或1的整数,R″独立代表氢、直链或支链(C1到C10)烷基、-Si(CH3)、-CH(Rp)OCH2CH3、CH(Rp)OC(CH3)3、直链或支链(C1到C10)烷氧基亚烷基、聚醚、单环和多环(C4到C20)环脂族部分、环醚、环酮和环酯,其中Rp代表氢或直链或支链(C1到C5)烷基。
19.按权利要求9所述的方法,其中含甲硅烷基官能团的重复单元至少占聚合物的1摩尔%。
20.按权利要求19所述的方法,其中含甲硅烷基官能团的重复单元至少占聚合物的5摩尔%。
21.按权利要求1所述的方法,其中步骤(A)包括将至少一种有机牺牲材料与至少一种介电材料混合。
22.按权利要求21所述的方法,其中至少一种牺牲材料与至少一种介电材料混合步骤之前,先将至少一种牺牲材料在溶剂中溶解。
23.按权利要求22的所述方法,其中的溶剂为有机溶剂。
24.按权利要求23所述的方法,其中溶剂为有机醇。
25.按权利要求24所述的方法,其中有机醇是4-甲基-2-戊酮。
26.按权利要求2所述的方法,其中至少一种有机牺牲材料的分解温度至少为100℃。
27.按权利要求26所述的方法,其中至少一种有机牺牲材料的分解温度在约380℃到450℃范围内
28.按权利要求1所述的方法,其中微孔的平均孔径至少约10埃。
29.按权利要求1所述的方法,其中微孔的平均孔径至少约10nm
30.一种形成多孔性绝缘组合物的方法,包括如下步骤:
(A)提供一种包括至少一种牺牲材料和至少一种介电材料的牺牲材料/介电材料组合物;
(B)将牺牲材料/介电材料组合物固化;和
(C)将牺牲材料/介电材料组合物中的至少一种牺牲材料热分解,以使至少一种介电材料内产生微孔,
其中至少一种牺牲材料是至少一种降冰片烯型聚合物。
31.按权利要求30所述的方法,其中步骤(C)包括将至少一种有机牺牲材料加热到温度等于或大于至少一种有机牺牲材料的分解温度。
32.按权利要求30所述的方法,其中通过将至少一种牺牲材料/介电材料组合物加热到等于或高于至少一种牺牲材料分解温度的方法同时进行步骤(B)和(C)。
33.按权利要求30所述的方法,其中至少一种降冰片烯型聚合物包括通式如下的重复单元:
式中R1和R4独立代表氢或直链或支链(C1到C20)烷基;R2和R3独立代表氢、直链或支链(C1到C20)烷基或是如下基团:
R9独立代表氢、甲基或乙基;R10、R11和R12独立代表直链或支链(C1到C20)烷基、直链或支链(C1到C20)烷氧基、直链或支链(C1到C20)烷基羰氧基和取代或未取代的直链或支链(C6到C20)芳氧基;m是从0到4的数;n是从0到5的数;取代基R2和R3的至少一个选自式Ia所代表的甲硅烷基团。
34.一种形成多孔性绝缘组合物的方法,包括如下步骤:
(A)提供至少一种包括至少一种有机牺牲材料和至少一种介电材料的有机牺牲材料/介电材料组合物;和
(B)将至少一种有机牺牲材料/介电材料组合物中的至少一种有机牺牲材料加热移出,以使至少一种介电材料内产生微孔,
其中至少一种介电材料是至少一种非有机聚氧化硅。
35.一种形成多孔性绝缘组合物的方法,包括如下步骤:
(A)提供至少一种包括至少一种有机牺牲材料和至少一种介电材料的有机牺牲材料/介电材料组合物;和
(B)将至少一种有机牺牲材料/介电材料组合物中的至少一种有机牺牲材料移出,以使至少一种介电材料内产生微孔,
其中至少一种牺牲材料是至少一种降冰片烯型聚合物。
36.一种适合制造多孔性绝缘体的组合物,包括:一种热活性的成孔牺牲材料和介电材料,
其中至少一种介电材料是一种旋涂电介质聚合物,选自甲基倍半氧甲硅烷、氢倍半氧甲硅烷和倍半氧甲硅烷混合物。
37.按权利要求36所述的组合物,其中热活性的成孔牺牲材料是环烯烃或其聚合物。
38.按权利要求37所述的组合物,其中环烯烃或其聚合物是双环烯烃或其聚合物。
39.按权利要求36所述的组合物,其中热活性的成孔牺牲材料是一种降冰片烯型聚合物。
40.按权利要求39所述的组合物,其中降冰片烯型聚合物包括通式如下的重复单元:
式中R1和R4独立代表氢或直链或支链(C1到C20)烷基;R2和R3独立代表氢、直链或支链(C1到C20)烷基或是如下基团:
Figure C998070630010C2
R9独立代表氢、甲基或乙基;R10、R11和R12独立代表直链或支链(C1到C20)烷基、直链或支链(C1到C20)烷氧基、直链或支链(C1到C20)烷基羰氧基和取代或未取代的直链或支链(C6到C20)芳氧基;m是从0到4的数;n是从0到5的数;取代基R2和R3的至少一个选自式Ia所代表的甲硅烷基团。
41.按权利要求40所述的方法,其中R10、R11或R12的至少一个选自直链或支链(C1到C10)烷氧基且R9为氢。
42.按权利要求41所述的组合物,其中每个R10、R11或R12相同且选自甲氧基、乙氧基、丙氧基、丁氧基和戊氧基。
43.按权利要求42所述的组合物,其中n为0且R10、R11或R12每个都为乙氧基。
44.按权利要求43所述的组合物,其中R2或R3为三乙氧基甲硅烷基取代基。
45.按权利要求40所述的组合物,其中上式I中,m优选是0或1,分别用下面的结构式Ib和Ic表示:
式中R2和R3的至少一个必须是式Ia所示的甲硅烷基取代基。
46.按权利要求40所述的组合物,其中R1和R4可与它们所连接的两个环碳原子连在一起,形成包括如下结构的重复单元:
Figure C998070630011C2
式中B是亚甲基且q为从2到6的整数。
47.按权利要求40所述的组合物,其中降冰片烯型聚合物进一步包括选自下面式II所示单元的烃基取代的多环重复单元:
Figure C998070630012C1
式中R5、R6、R7和R8独立代表氢、直链或支链(C1到C20)烷基、烃基取代和未取代的(C5到C12)环烷基、烃基取代或未取代的(C6到C40)芳基、烃基取代或未取代的(C7到C15)芳烷基、(C3到C20)链炔基、直链或支链(C3到C20)链烯基或乙烯基;R5与R6或R7与R8的任何一对都可连在一起形成一个(C1到C10)亚烷基,R5和R6与它们所连接的两个环碳原子连在一起时可代表一个含4到12个碳原子的饱和或不饱和环状基团或含6到17个碳原子的芳环;且p为0、1、2、3或4。
48.按权利要求39所述的组合物,其中降冰片烯型聚合物进一步包括下式III所代表的重复单元:
式中R9到R12独立选自如下极性取代基:
-(A)n-C(O)OR″,-(A)n-OR″,-(A)n-OC(O)R″,-(A)n-OC(O)OR″,
-(A)n-C(O)R″,-(A)n-OC(O)C(O)OR″,-(A)n-O-A′-C(O)OR″,
-(A)n-OC(O)-A′-C(O)OR″,-(A)n-C(O)O-A′-C(O)OR″,-(A)n-C(O)-A′-OR″,
-(A)n-C(O)O-A′-OC(O)OR″,-(A)n-C(O)O-A′-O-A′-C(O)OR″,
-(A)n-C(O)O-A′-OC(O)C(O)OR″,-(A)n-C(R″)2CH(R″)(C(O)OR″),
和-(A)n-C(R″)2CH(C(O)OR″)2;A和A′部分独立代表一个选自二价烃基、二价环烃基、二价含氧基和二价环醚及二价环二醚的二价桥基或间隔基,p为0-5的整数,且n为0或1的整数,R″独立代表氢、直链或支链(C1到C10)烷基、-Si(CH3)、-CH(Rp)OCH2CH3、CH(Rp)OC(CH3)3、直链或支链(C1到C10)烷氧基亚烷基、聚醚、单环和多环(C4到C20)环脂族部分、环醚、环酮和环酯,其中Rp代表氢或直链或支链(C1到C5)烷基。
49.按权利要求39所述的组合物,其中降冰片烯型聚合物包括含式I和II、式I和III及式II和III或者式I、II和III所示重复单元组合的共聚物,其中式I是:
式中R1和R4独立代表氢或直链或支链(C1到C20)烷基;R2和R3独立代表氢、直链或支链(C1到C20)烷基或是如下基团:
Figure C998070630013C2
R9独立代表氢、甲基或乙基;R10、R11和R12独立代表直链或支链(C1到C20)烷基、直链或支链(C1到C20)烷氧基、直链或支链(C1到C20)烷基羰氧基和取代或未取代的直链或支链(C6到C20)芳氧基;m是从0到4的数;n是从0到5的数;取代基R2和R3的至少一个选自式Ia所代表的甲硅烷基团;
式II是:
Figure C998070630013C3
式中R5、R6、R7和R8独立代表氢、直链和支链(C1到C20)烷基、烃基取代和未取代的(C5到C12)环烷基、烃基取代和未取代的(C6到C40)芳基、烃基取代和未取代的(C7到C15)芳烷基、(C3到C20)链炔基、直链和支链(C3到C20)链烯基或乙烯基;R5与R6或R7与R8的任何一对都可连在一起形成一个(C1到C10)亚烷基,R5和R8与它们所连接的两个环碳原子连在一起时可代表一个含4到12个碳原子的饱和或不饱和环状基团或含6到17个碳原子的芳环;且p为0、1、2、3或4;和
式III是:
Figure C998070630014C1
式中R9到R12独立选自如下极性取代基:
-(A)n-C(O)OR″,-(A)n-OR″,-(A)n-OC(O)R″,-(A)n-OC(O)OR″,
-(A)n-C(O)R″,-(A)n-OC(O)C(O)OR″,-(A)n-O-A′-C(O)OR″,
-(A)n-OC(O)-A′-C(O)OR″,-(A)n-C(O)O-A′-C(O)OR″,-(A)n-C(O)-A′-OR″,
-(A)n-C(O)O-A′-OC(O)OR″,-(A)n-C(O)O-A′-O-A′-C(O)OR″,
-(A)n-C(O)O-A′-OC(O)C(O)OR″,-(A)n-C(R″)2CH(R″)(C(O)OR″),
和-(A)n-C(R″)2CH(C(O)OR″)2;A和A′部分独立代表一个选自二价烃基、二价环烃基、二价含氧基和二价环醚及二价环二醚的二价桥基或间隔基,p为0-5的整数,且n为0或1的整数,R″独立代表氢、直链或支链(C1到C10)烷基、-Si(CH3)、-CH(Rp)OCH2CH3、CH(Rp)OC(CH3)3、直链或支链(C1到C10)烷氧基亚烷基、聚醚、单环和多环(C4到C20)环脂族部分、环醚、环酮和环酯,其中Rp代表氢或直链或支链(C1到C5)烷基。
50.按权利要求40所述的组合物,其中含甲硅烷基官能团的重复单元至少占聚合物的1摩尔%。
51.按权利要求50所述的组合物,其中含甲硅烷基官能团的重复单元至少占聚合物的5摩尔%。
52.按权利要求36所述的组合物,其中多孔性绝缘材料包括至少10重量%热活性的成孔牺牲材料,其余为介电材料。
53.按权利要求52所述的组合物,其中组合物包括至少20重量%热活性的成孔牺牲材料,其余为介电材料。
54.按权利要求53所述的组合物,其中组合物包括至少40重量%热活性的成孔牺牲材料,其余为介电材料。
55.按权利要求53所述的组合物,其中组合物包括60重量%热活性的成孔牺牲材料,其余为介电材料。
56.一种含权利要求34组合物的半导体器件。
57.一种用于制造多孔性绝缘体的组合物,包括:
至少一种成孔的有机牺牲材料;和
至少一种介电材料,
其中至少一种成孔的有机牺牲材料是降冰片烯型聚合物。
CNB998070637A 1998-06-05 1999-06-04 多孔性绝缘材料及其制备方法 Expired - Fee Related CN1171290C (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US8823398P 1998-06-05 1998-06-05
US60/088,233 1998-06-05
US10167298P 1998-09-24 1998-09-24
US60/101,672 1998-09-24

Publications (2)

Publication Number Publication Date
CN1304550A CN1304550A (zh) 2001-07-18
CN1171290C true CN1171290C (zh) 2004-10-13

Family

ID=26778436

Family Applications (1)

Application Number Title Priority Date Filing Date
CNB998070637A Expired - Fee Related CN1171290C (zh) 1998-06-05 1999-06-04 多孔性绝缘材料及其制备方法

Country Status (11)

Country Link
US (2) US6162838A (zh)
EP (1) EP1092234A1 (zh)
JP (1) JP2003517713A (zh)
KR (1) KR100433938B1 (zh)
CN (1) CN1171290C (zh)
AU (1) AU756688B2 (zh)
CA (1) CA2334026A1 (zh)
HK (1) HK1036876A1 (zh)
RU (1) RU2195050C2 (zh)
SG (1) SG114579A1 (zh)
WO (1) WO1999063587A1 (zh)

Families Citing this family (37)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2000031183A1 (en) 1998-11-24 2000-06-02 The Dow Chemical Company A composition containing a cross-linkable matrix precursor and a poragen, and a porous matrix prepared therefrom
KR100331554B1 (ko) * 1999-09-27 2002-04-06 윤종용 인접된 커패시터 사이의 크로스토크가 억제된 반도체 소자의 커패시터 어레이 및 그 제조방법
US6420441B1 (en) 1999-10-01 2002-07-16 Shipley Company, L.L.C. Porous materials
US6875687B1 (en) 1999-10-18 2005-04-05 Applied Materials, Inc. Capping layer for extreme low dielectric constant films
EP1094506A3 (en) 1999-10-18 2004-03-03 Applied Materials, Inc. Capping layer for extreme low dielectric constant films
US6541367B1 (en) * 2000-01-18 2003-04-01 Applied Materials, Inc. Very low dielectric constant plasma-enhanced CVD films
US6903006B2 (en) * 2000-03-17 2005-06-07 Matsushita Electric Industrial Co., Ltd. Interlayer dielectric film, and method for forming the same and interconnection
US7265062B2 (en) * 2000-04-04 2007-09-04 Applied Materials, Inc. Ionic additives for extreme low dielectric constant chemical formulations
US6576568B2 (en) 2000-04-04 2003-06-10 Applied Materials, Inc. Ionic additives for extreme low dielectric constant chemical formulations
US6495479B1 (en) * 2000-05-05 2002-12-17 Honeywell International, Inc. Simplified method to produce nanoporous silicon-based films
MY128644A (en) * 2000-08-31 2007-02-28 Georgia Tech Res Inst Fabrication of semiconductor devices with air gaps for ultra low capacitance interconnections and methods of making same
US6596467B2 (en) * 2000-09-13 2003-07-22 Shipley Company, L.L.C. Electronic device manufacture
TW588072B (en) * 2000-10-10 2004-05-21 Shipley Co Llc Antireflective porogens
US6380106B1 (en) * 2000-11-27 2002-04-30 Chartered Semiconductor Manufacturing Inc. Method for fabricating an air gap metallization scheme that reduces inter-metal capacitance of interconnect structures
US6703324B2 (en) 2000-12-21 2004-03-09 Intel Corporation Mechanically reinforced highly porous low dielectric constant films
DE10104267B4 (de) * 2001-01-30 2006-04-06 Infineon Technologies Ag Elektronisches Bauteil mit mindestens einer Isolationslage
US20020132496A1 (en) * 2001-02-12 2002-09-19 Ball Ian J. Ultra low-k dielectric materials
US6685983B2 (en) * 2001-03-14 2004-02-03 International Business Machines Corporation Defect-free dielectric coatings and preparation thereof using polymeric nitrogenous porogens
US6998148B1 (en) * 2001-03-28 2006-02-14 Shipley Company, L.L.C. Porous materials
KR100432152B1 (ko) * 2001-04-12 2004-05-17 한국화학연구원 다분지형 폴리알킬렌 옥시드 포로젠과 이를 이용한저유전성 절연막
US20030012539A1 (en) * 2001-04-30 2003-01-16 Tony Mule' Backplane, printed wiring board, and/or multi-chip module-level optical interconnect layer having embedded air-gap technologies and methods of fabrication
JP2003131001A (ja) * 2001-05-25 2003-05-08 Shipley Co Llc 多孔性光学物質
US6767930B1 (en) * 2001-09-07 2004-07-27 Steven A. Svejda Polyhedral oligomeric silsesquioxane polyimide composites
US6521547B1 (en) * 2001-09-07 2003-02-18 United Microelectronics Corp. Method of repairing a low dielectric constant material layer
US6890703B2 (en) * 2002-03-06 2005-05-10 International Business Machines Corporation Preparation of crosslinked particles from polymers having activatible crosslinking groups
JP4574145B2 (ja) * 2002-09-13 2010-11-04 ローム・アンド・ハース・エレクトロニック・マテリアルズ,エル.エル.シー. エアギャップ形成
US6869878B1 (en) * 2003-02-14 2005-03-22 Advanced Micro Devices, Inc. Method of forming a selective barrier layer using a sacrificial layer
KR100599319B1 (ko) * 2003-05-06 2006-07-14 차국헌 나노다공성 저유전성 폴리노보넨계 공중합체 박막 및 그 제조방법
DE102005010272A1 (de) * 2005-03-03 2006-09-14 Infineon Technologies Ag Halbleiterbauelement sowie Verfahren zum Herstellen eines Halbleiterbauelements
KR100685734B1 (ko) * 2005-06-07 2007-02-26 삼성전자주식회사 다공성 스핀 온 글래스 조성물, 이의 제조 방법 및 이를이용한 다공성 실리콘 산화막 제조 방법
KR100664746B1 (ko) * 2006-05-03 2007-01-03 주식회사동일기술공사 쓰레기 매립지용 차수매트구조
US7871570B2 (en) 2007-02-23 2011-01-18 Joseph Zhili Huang Fluidic array devices and systems, and related methods of use and manufacturing
US20090026924A1 (en) * 2007-07-23 2009-01-29 Leung Roger Y Methods of making low-refractive index and/or low-k organosilicate coatings
US20100323918A1 (en) * 2008-02-10 2010-12-23 Microdysis, Inc Polymer surface functionalization and related applications
CN101609809B (zh) * 2008-06-16 2010-12-15 台湾信越矽利光股份有限公司 形成孔洞性材料的方法
DE102015122259B4 (de) * 2015-12-18 2020-12-24 Infineon Technologies Austria Ag Halbleitervorrichtungen mit einer porösen Isolationsschicht
CN113218543B (zh) * 2021-05-07 2023-04-28 中国科学院苏州纳米技术与纳米仿生研究所 柔性压力传感器及其介电层、介电层的制备方法

Family Cites Families (40)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS5112058B2 (zh) * 1973-07-03 1976-04-15
US4460712A (en) * 1983-12-23 1984-07-17 Dow Corning Corporation Compositions producing aminofunctional silicone foams and coatings
JPH0739473B2 (ja) * 1986-10-16 1995-05-01 帝人株式会社 架橋重合体成型物の製造方法
US5011730A (en) * 1987-08-14 1991-04-30 The B. F. Goodrich Company Bulk polymerized cycloolefin circuit boards
JPH02148789A (ja) * 1988-03-11 1990-06-07 Internatl Business Mach Corp <Ibm> 電子回路基板
US5139852A (en) * 1988-03-11 1992-08-18 International Business Machines Corporation Low dielectric composite substrate
US5139851A (en) * 1988-03-11 1992-08-18 International Business Machines Corporation Low dielectric composite substrate
JPH01235254A (ja) * 1988-03-15 1989-09-20 Nec Corp 半導体装置及びその製造方法
US5274026A (en) * 1988-09-23 1993-12-28 The B. F. Goodrich Company Curable polycycloolefin resin solutions, their use in making printed circuit boards and the boards so made
US4987101A (en) * 1988-12-16 1991-01-22 International Business Machines Corporation Method for providing improved insulation in VLSI and ULSI circuits
US4923678A (en) * 1989-02-14 1990-05-08 The B. F. Goodrich Company Low dielectric constant prepreg based on blends of polynorbornene and polyolefins derived form C2 -C4 monomers
JPH0463807A (ja) * 1990-03-06 1992-02-28 Idemitsu Kosan Co Ltd ノルボルネン系重合体およびその製造方法ならびに該重合体からなるフィルムおよびその製造方法
US5180754A (en) * 1990-06-14 1993-01-19 Mitsubishi Cable Industries, Ltd. Polymer composition for foam molding
US5049632A (en) * 1990-12-19 1991-09-17 Monsanto Company N-cycloalkyl norbornene dicarboximide polymers
US5117327A (en) * 1990-12-19 1992-05-26 Monsanto Company Norbornene dicarboximide polymer dielectric devices
KR960009295B1 (ko) * 1991-09-12 1996-07-18 미쓰이세끼유 가가꾸고오교오 가부시끼가이샤 환상올레핀 수지 조성물
JP2531906B2 (ja) * 1991-09-13 1996-09-04 インターナショナル・ビジネス・マシーンズ・コーポレイション 発泡重合体
JPH05145094A (ja) * 1991-11-22 1993-06-11 Mitsubishi Electric Corp 半導体装置およびその製造方法
GB9217151D0 (en) * 1992-08-13 1992-09-23 Dow Corning Organosiloxane elastomeric foams
US5585433A (en) * 1992-09-03 1996-12-17 Mitsui Petrochemical Industries, Ltd. Cycloolefin resin composition
US5324683A (en) * 1993-06-02 1994-06-28 Motorola, Inc. Method of forming a semiconductor structure having an air region
JP3291857B2 (ja) * 1993-07-30 2002-06-17 日本ゼオン株式会社 ノルボルネン系開環(共)重合体水素添加物、その製造方法、及びその用途
US5468819A (en) * 1993-11-16 1995-11-21 The B.F. Goodrich Company Process for making polymers containing a norbornene repeating unit by addition polymerization using an organo (nickel or palladium) complex
US5461003A (en) * 1994-05-27 1995-10-24 Texas Instruments Incorporated Multilevel interconnect structure with air gaps formed between metal leads
US5508542A (en) * 1994-10-28 1996-04-16 International Business Machines Corporation Porous silicon trench and capacitor structures
MY112945A (en) * 1994-12-20 2001-10-31 Ibm Electronic devices comprising dielectric foamed polymers
US5744399A (en) * 1995-11-13 1998-04-28 Lsi Logic Corporation Process for forming low dielectric constant layers using fullerenes
US5912313A (en) * 1995-11-22 1999-06-15 The B. F. Goodrich Company Addition polymers of polycycloolefins containing silyl functional groups
US5869880A (en) * 1995-12-29 1999-02-09 International Business Machines Corporation Structure and fabrication method for stackable, air-gap-containing low epsilon dielectric layers
US5700844A (en) * 1996-04-09 1997-12-23 International Business Machines Corporation Process for making a foamed polymer
US5679444A (en) * 1996-07-15 1997-10-21 International Business Machines Corporation Method for producing multi-layer circuit board and resulting article of manufacture
US5767014A (en) * 1996-10-28 1998-06-16 International Business Machines Corporation Integrated circuit and process for its manufacture
US5773197A (en) * 1996-10-28 1998-06-30 International Business Machines Corporation Integrated circuit device and process for its manufacture
US5962113A (en) * 1996-10-28 1999-10-05 International Business Machines Corporation Integrated circuit device and process for its manufacture
WO1998018837A1 (fr) 1996-10-29 1998-05-07 Nippon Zeon Co., Ltd. Polymere norbornene thermoplastique modifie et procede de production
US5895263A (en) * 1996-12-19 1999-04-20 International Business Machines Corporation Process for manufacture of integrated circuit device
EP0881668A3 (en) * 1997-05-28 2000-11-15 Dow Corning Toray Silicone Company, Ltd. Deposition of an electrically insulating thin film with a low dielectric constant
US5883219A (en) * 1997-05-29 1999-03-16 International Business Machines Corporation Integrated circuit device and process for its manufacture
US5953627A (en) * 1997-11-06 1999-09-14 International Business Machines Corporation Process for manufacture of integrated circuit device
US6093636A (en) * 1998-07-08 2000-07-25 International Business Machines Corporation Process for manufacture of integrated circuit device using a matrix comprising porous high temperature thermosets

Also Published As

Publication number Publication date
US6509386B1 (en) 2003-01-21
SG114579A1 (en) 2005-09-28
HK1036876A1 (en) 2002-01-18
EP1092234A1 (en) 2001-04-18
AU756688B2 (en) 2003-01-23
JP2003517713A (ja) 2003-05-27
CA2334026A1 (en) 1999-12-09
KR100433938B1 (ko) 2004-06-04
WO1999063587A1 (en) 1999-12-09
AU4417899A (en) 1999-12-20
CN1304550A (zh) 2001-07-18
RU2195050C2 (ru) 2002-12-20
US6162838A (en) 2000-12-19
KR20010071413A (ko) 2001-07-28
RU2001101436A (ru) 2004-03-10

Similar Documents

Publication Publication Date Title
CN1171290C (zh) 多孔性绝缘材料及其制备方法
CN1211842C (zh) 从聚碳硅烷形成的低介电常数聚有机硅涂料
CN1252810C (zh) 用于超低电容互连的有空气隙的半导体装置的制造
CN1279588C (zh) 恢复电介质膜及电介质材料中疏水性的方法
KR100361043B1 (ko) 반도체장치의절연막및절연막형성용도포액및절연막의제조방법
US6841256B2 (en) Low dielectric constant polyorganosilicon materials generated from polycarbosilanes
CN1246362C (zh) 一种制备有机硅酸盐聚合物的方法
CN101689412A (zh) 绝缘膜材料、多层布线基板及其制造方法和半导体装置及其制造方法
CN101044604A (zh) 新聚有机硅氧烷介电材料
CN1355858A (zh) 由聚合物的分解获得的低介电纳米孔材料
CN101045820A (zh) 形成绝缘膜的组合物以及制造半导体器件的方法
CN1261985C (zh) 半导体器件及其制造方法
CN1360559A (zh) 具有低介电常数的多孔硅石涂层、半导体设备和涂料组合物
CN1680466A (zh) 用多面体分子倍半硅氧烷,形成半导体器件用层间电介质膜的方法
CN1511881A (zh) 用于制备含有新型成孔材料之多孔电介质薄膜的组合物
CN1836017A (zh) 涂料组合物和通过使用该涂料组合物制得的低介电硅质材料
CN1969379A (zh) 有机硅氧烷膜、使用它的半导体器件及平面显示器件以及原料液
CN100336183C (zh) 用多官能碳硅烷制造介电层的方法
CN1463279A (zh) 有机硅酸酯聚合物和从其得到的绝缘膜
CN1759135A (zh) 有机硅氧烷树脂以及使用该有机硅氧烷树脂的绝缘膜
CN1532896A (zh) 半导体器件的制造方法
JP2002201415A (ja) シリカ系被膜形成用塗布液、シリカ系被膜の製造方法及び半導体装置
US20070100109A1 (en) Nanoporous materials and methods of formation thereof
JPH10150036A (ja) 低誘電率絶縁膜の形成方法及びこの膜を用いた半導体装置
CN1269905C (zh) 有机无机混成薄膜材料及其制备方法

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
C19 Lapse of patent right due to non-payment of the annual fee
CF01 Termination of patent right due to non-payment of annual fee