CN1189794C - 改进的图形发生器 - Google Patents

改进的图形发生器 Download PDF

Info

Publication number
CN1189794C
CN1189794C CNB998034770A CN99803477A CN1189794C CN 1189794 C CN1189794 C CN 1189794C CN B998034770 A CNB998034770 A CN B998034770A CN 99803477 A CN99803477 A CN 99803477A CN 1189794 C CN1189794 C CN 1189794C
Authority
CN
China
Prior art keywords
modulator
slm
workpiece
pixel
exposure
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
CNB998034770A
Other languages
English (en)
Other versions
CN1292103A (zh
Inventor
托布约姆·桑德斯特罗姆
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Micronic Laser Systems AB
Original Assignee
Micronic Laser Systems AB
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Micronic Laser Systems AB filed Critical Micronic Laser Systems AB
Publication of CN1292103A publication Critical patent/CN1292103A/zh
Application granted granted Critical
Publication of CN1189794C publication Critical patent/CN1189794C/zh
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70691Handling of masks or workpieces
    • G03F7/70716Stages
    • G03F7/70725Stages control
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B23MACHINE TOOLS; METAL-WORKING NOT OTHERWISE PROVIDED FOR
    • B23KSOLDERING OR UNSOLDERING; WELDING; CLADDING OR PLATING BY SOLDERING OR WELDING; CUTTING BY APPLYING HEAT LOCALLY, e.g. FLAME CUTTING; WORKING BY LASER BEAM
    • B23K26/00Working by laser beam, e.g. welding, cutting or boring
    • B23K26/02Positioning or observing the workpiece, e.g. with respect to the point of impact; Aligning, aiming or focusing the laser beam
    • B23K26/03Observing, e.g. monitoring, the workpiece
    • B23K26/032Observing, e.g. monitoring, the workpiece using optical means
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B23MACHINE TOOLS; METAL-WORKING NOT OTHERWISE PROVIDED FOR
    • B23KSOLDERING OR UNSOLDERING; WELDING; CLADDING OR PLATING BY SOLDERING OR WELDING; CUTTING BY APPLYING HEAT LOCALLY, e.g. FLAME CUTTING; WORKING BY LASER BEAM
    • B23K26/00Working by laser beam, e.g. welding, cutting or boring
    • B23K26/02Positioning or observing the workpiece, e.g. with respect to the point of impact; Aligning, aiming or focusing the laser beam
    • B23K26/06Shaping the laser beam, e.g. by masks or multi-focusing
    • B23K26/064Shaping the laser beam, e.g. by masks or multi-focusing by means of optical elements, e.g. lenses, mirrors or prisms
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B23MACHINE TOOLS; METAL-WORKING NOT OTHERWISE PROVIDED FOR
    • B23KSOLDERING OR UNSOLDERING; WELDING; CLADDING OR PLATING BY SOLDERING OR WELDING; CUTTING BY APPLYING HEAT LOCALLY, e.g. FLAME CUTTING; WORKING BY LASER BEAM
    • B23K26/00Working by laser beam, e.g. welding, cutting or boring
    • B23K26/02Positioning or observing the workpiece, e.g. with respect to the point of impact; Aligning, aiming or focusing the laser beam
    • B23K26/06Shaping the laser beam, e.g. by masks or multi-focusing
    • B23K26/064Shaping the laser beam, e.g. by masks or multi-focusing by means of optical elements, e.g. lenses, mirrors or prisms
    • B23K26/0648Shaping the laser beam, e.g. by masks or multi-focusing by means of optical elements, e.g. lenses, mirrors or prisms comprising lenses
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B41PRINTING; LINING MACHINES; TYPEWRITERS; STAMPS
    • B41JTYPEWRITERS; SELECTIVE PRINTING MECHANISMS, i.e. MECHANISMS PRINTING OTHERWISE THAN FROM A FORME; CORRECTION OF TYPOGRAPHICAL ERRORS
    • B41J2/00Typewriters or selective printing mechanisms characterised by the printing or marking process for which they are designed
    • B41J2/435Typewriters or selective printing mechanisms characterised by the printing or marking process for which they are designed characterised by selective application of radiation to a printing material or impression-transfer material
    • B41J2/465Typewriters or selective printing mechanisms characterised by the printing or marking process for which they are designed characterised by selective application of radiation to a printing material or impression-transfer material using masks, e.g. light-switching masks
    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B26/00Optical devices or arrangements for the control of light using movable or deformable optical elements
    • G02B26/08Optical devices or arrangements for the control of light using movable or deformable optical elements for controlling the direction of light
    • G02B26/0816Optical devices or arrangements for the control of light using movable or deformable optical elements for controlling the direction of light by means of one or more reflecting elements
    • G02B26/0833Optical devices or arrangements for the control of light using movable or deformable optical elements for controlling the direction of light by means of one or more reflecting elements the reflecting element being a micromechanical device, e.g. a MEMS mirror, DMD
    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B26/00Optical devices or arrangements for the control of light using movable or deformable optical elements
    • G02B26/08Optical devices or arrangements for the control of light using movable or deformable optical elements for controlling the direction of light
    • G02B26/0816Optical devices or arrangements for the control of light using movable or deformable optical elements for controlling the direction of light by means of one or more reflecting elements
    • G02B26/0833Optical devices or arrangements for the control of light using movable or deformable optical elements for controlling the direction of light by means of one or more reflecting elements the reflecting element being a micromechanical device, e.g. a MEMS mirror, DMD
    • G02B26/0841Optical devices or arrangements for the control of light using movable or deformable optical elements for controlling the direction of light by means of one or more reflecting elements the reflecting element being a micromechanical device, e.g. a MEMS mirror, DMD the reflecting element being moved or deformed by electrostatic means
    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B27/00Optical systems or apparatus not provided for by any of the groups G02B1/00 - G02B26/00, G02B30/00
    • G02B27/0025Optical systems or apparatus not provided for by any of the groups G02B1/00 - G02B26/00, G02B30/00 for optical correction, e.g. distorsion, aberration
    • G02B27/0037Optical systems or apparatus not provided for by any of the groups G02B1/00 - G02B26/00, G02B30/00 for optical correction, e.g. distorsion, aberration with diffracting elements
    • G02B27/0043Optical systems or apparatus not provided for by any of the groups G02B1/00 - G02B26/00, G02B30/00 for optical correction, e.g. distorsion, aberration with diffracting elements in projection exposure systems, e.g. microlithographic systems
    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B27/00Optical systems or apparatus not provided for by any of the groups G02B1/00 - G02B26/00, G02B30/00
    • G02B27/42Diffraction optics, i.e. systems including a diffractive element being designed for providing a diffractive effect
    • G02B27/4205Diffraction optics, i.e. systems including a diffractive element being designed for providing a diffractive effect having a diffractive optical element [DOE] contributing to image formation, e.g. whereby modulation transfer function MTF or optical aberrations are relevant
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/2022Multi-step exposure, e.g. hybrid; backside exposure; blanket exposure, e.g. for image reversal; edge exposure, e.g. for edge bead removal; corrective exposure
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70008Production of exposure light, i.e. light sources
    • G03F7/70041Production of exposure light, i.e. light sources by pulsed sources, e.g. multiplexing, pulse duration, interval control or intensity control
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70216Mask projection systems
    • G03F7/70283Mask effects on the imaging process
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70216Mask projection systems
    • G03F7/70283Mask effects on the imaging process
    • G03F7/70291Addressable masks, e.g. spatial light modulators [SLMs], digital micro-mirror devices [DMDs] or liquid crystal display [LCD] patterning devices
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70383Direct write, i.e. pattern is written directly without the use of a mask by one or multiple beams
    • G03F7/704Scanned exposure beam, e.g. raster-, rotary- and vector scanning
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70425Imaging strategies, e.g. for increasing throughput or resolution, printing product fields larger than the image field or compensating lithography- or non-lithography errors, e.g. proximity correction, mix-and-match, stitching or double patterning
    • G03F7/70475Stitching, i.e. connecting image fields to produce a device field, the field occupied by a device such as a memory chip, processor chip, CCD, flat panel display
    • GPHYSICS
    • G09EDUCATION; CRYPTOGRAPHY; DISPLAY; ADVERTISING; SEALS
    • G09GARRANGEMENTS OR CIRCUITS FOR CONTROL OF INDICATING DEVICES USING STATIC MEANS TO PRESENT VARIABLE INFORMATION
    • G09G3/00Control arrangements or circuits, of interest only in connection with visual indicators other than cathode-ray tubes
    • G09G3/20Control arrangements or circuits, of interest only in connection with visual indicators other than cathode-ray tubes for presentation of an assembly of a number of characters, e.g. a page, by composing the assembly by combination of individual elements arranged in a matrix no fixed position being assigned to or needed to be assigned to the individual characters or partial characters
    • G09G3/34Control arrangements or circuits, of interest only in connection with visual indicators other than cathode-ray tubes for presentation of an assembly of a number of characters, e.g. a page, by composing the assembly by combination of individual elements arranged in a matrix no fixed position being assigned to or needed to be assigned to the individual characters or partial characters by control of light from an independent source
    • G09G3/3433Control arrangements or circuits, of interest only in connection with visual indicators other than cathode-ray tubes for presentation of an assembly of a number of characters, e.g. a page, by composing the assembly by combination of individual elements arranged in a matrix no fixed position being assigned to or needed to be assigned to the individual characters or partial characters by control of light from an independent source using light modulating elements actuated by an electric field and being other than liquid crystal devices and electrochromic devices
    • G09G3/346Control arrangements or circuits, of interest only in connection with visual indicators other than cathode-ray tubes for presentation of an assembly of a number of characters, e.g. a page, by composing the assembly by combination of individual elements arranged in a matrix no fixed position being assigned to or needed to be assigned to the individual characters or partial characters by control of light from an independent source using light modulating elements actuated by an electric field and being other than liquid crystal devices and electrochromic devices based on modulation of the reflection angle, e.g. micromirrors
    • HELECTRICITY
    • H04ELECTRIC COMMUNICATION TECHNIQUE
    • H04NPICTORIAL COMMUNICATION, e.g. TELEVISION
    • H04N1/00Scanning, transmission or reproduction of documents or the like, e.g. facsimile transmission; Details thereof
    • H04N1/04Scanning arrangements, i.e. arrangements for the displacement of active reading or reproducing elements relative to the original or reproducing medium, or vice versa
    • H04N1/19Scanning arrangements, i.e. arrangements for the displacement of active reading or reproducing elements relative to the original or reproducing medium, or vice versa using multi-element arrays
    • H04N1/195Scanning arrangements, i.e. arrangements for the displacement of active reading or reproducing elements relative to the original or reproducing medium, or vice versa using multi-element arrays the array comprising a two-dimensional array or a combination of two-dimensional arrays
    • HELECTRICITY
    • H04ELECTRIC COMMUNICATION TECHNIQUE
    • H04NPICTORIAL COMMUNICATION, e.g. TELEVISION
    • H04N5/00Details of television systems
    • H04N5/74Projection arrangements for image reproduction, e.g. using eidophor
    • H04N5/7416Projection arrangements for image reproduction, e.g. using eidophor involving the use of a spatial light modulator, e.g. a light valve, controlled by a video signal
    • H04N5/7458Projection arrangements for image reproduction, e.g. using eidophor involving the use of a spatial light modulator, e.g. a light valve, controlled by a video signal the modulator being an array of deformable mirrors, e.g. digital micromirror device [DMD]
    • GPHYSICS
    • G09EDUCATION; CRYPTOGRAPHY; DISPLAY; ADVERTISING; SEALS
    • G09GARRANGEMENTS OR CIRCUITS FOR CONTROL OF INDICATING DEVICES USING STATIC MEANS TO PRESENT VARIABLE INFORMATION
    • G09G3/00Control arrangements or circuits, of interest only in connection with visual indicators other than cathode-ray tubes
    • G09G3/20Control arrangements or circuits, of interest only in connection with visual indicators other than cathode-ray tubes for presentation of an assembly of a number of characters, e.g. a page, by composing the assembly by combination of individual elements arranged in a matrix no fixed position being assigned to or needed to be assigned to the individual characters or partial characters
    • G09G3/2007Display of intermediate tones
    • HELECTRICITY
    • H04ELECTRIC COMMUNICATION TECHNIQUE
    • H04NPICTORIAL COMMUNICATION, e.g. TELEVISION
    • H04N2201/00Indexing scheme relating to scanning, transmission or reproduction of documents or the like, and to details thereof
    • H04N2201/04Scanning arrangements
    • H04N2201/0402Arrangements not specific to a particular one of the scanning methods covered by groups H04N1/04 - H04N1/207
    • H04N2201/0414Scanning an image in a series of overlapping zones

Abstract

本发明涉及到一种用来在对辐射敏感的工件上产生诸如光掩模、显示屏或微光学器件之类的图形的装置。此装置包含辐射源和具有多个调制元件(象素)的空间调制器(SLM)。它还包含将驱动信号馈送到调制器的电子数据处理和发送系统、用来移动所述工件的精密机械系统、以及对工件的运动、到调制器的信号馈送和辐射的强度进行协调以便将分图形顺序产生的分图象缝合成所述图形的电子控制系统。根据本发明,驱动信号能够将调制元件设定到多于2的多个状态。

Description

改进的图形发生器
技术领域
本发明涉及到在诸如半导体器件和显示器的光掩模之类的光敏表面上印制精度极高的图形。还涉及到半导体器件图形、显示屏、集成光学器件以及电子互连结构的直接写入。而且能够应用于诸如保密印刷之类的其它类型的精密印刷。应该广义地理解印刷这个术语,它意味着光刻胶和照相乳胶的曝光以及借助于光或热激发的烧蚀或化学过程而在诸如干法印相纸之类的其它光敏媒质上的光作用。光不局限于可见光,而是包括从红外(IR)到远紫外UV的广阔范围的波长。特别重要的是从370nm(UV),通过远紫外(DUV)、真空紫外(VUV)和超紫外(EUV)直至几毫微米波长的紫外范围。在本申请中,EUV被定义为从100nm以下直至有可能以光的形式来处理的辐射的范围。EUV的典型波长为13nm。IR被定义为780nm直至大约20微米。
另一方面,本发明涉及到空间光调制器以及采用这种调制器的投影显示器和印相机的技术。确切地说,借助于模拟调制技术,改进了这种调制器的灰度性质、通过焦点的图象稳定性和图象均匀性以及数据处理。模拟调制的最重要的应用是用来在诸如具有地址网格亦即比空间光调制器象素产生的网格精细得多的用以规定图形中的边沿位置增量的光刻胶之类的高对比度材料中产生图象。
背景技术
在本技术领域中已知用微镜类型的微镜空间光调制器(SLM)的投影来建立精密图形发生器(Nelson 1988:美国专利US 5148157,Kück1990:欧洲专利EP 0610183)。比之使用扫描激光斑点的更广为使用的方法来说,在图形发生器中使用SLM具有大量优点:SLM是整体并行器件且每秒钟能够写入的象素的数目非常大。在SLM的照明不严格,而激光扫描仪中整个光束路径必须具有高精度的意义上,光学系统也更简单。比之某些类型的扫描仪,特别是电光和声光扫描仪,微镜SLM由于是纯反射器件而能够在更短的波长下使用。
在上述二个参考文献中,空间调制器仅仅使用各个象素的开通-关断调制。输入数据被转换到具有一位深度,亦即各个象素中具有数值0和1的象素图。利用图形处理器或具有区域填充指令的用户逻辑,能够有效地实现这一转换。
在同一个发明人Sandstrom的早先的一个申请中(Sandstrom等人,1990:欧洲专利EP 0467076),描述了使用图形元件边界处的中等曝光数值精细调节激光扫描仪产生的图象中元件边界位置的能力。
在本技术领域中,还已知利用SLM,借助于改变象素被开通的时间或借助于用开通不同次数的象素几次印刷同一个象素,来更好地产生视频图象的投影显示和印刷的灰度图象。本发明制作了具有空间光调制器的用于直接产生灰度特别是产生超精密图形的系统。各个最佳实施例中的重要情况是各个象素之间的图象均匀性和部件相对于SLM象素的准确位置的独立性以及当焦点有意或无意被改变时的稳定性。
发明内容
因此,本发明的目的是提供一种用来印刷精密图形的改进了的图形发生器。
利用根据所附权利要求的装置,提供SLM中的象素的模拟调制,达到了此目的。
根据本发明,提供一种用来在对光辐射敏感的工件上产生图形的装置,它包含用来发射波长范围从EUV到IR的光的光源,适合于被所述辐射照射的具有多个调制元件/象素的空间光调制器,在工件上产生调制器图象的投影系统,电子数据处理和发送系统,它接收待要写入的图形的数字表述、将所述图形转换成调制器信号、以及将所述信号馈送到调制器,用来彼此相关地定位所述工件和/或投影系统的精密机械系统,控制工件位置、到调制器的信号馈送、以及辐射的强度,使所述图形印制在工件上的电子控制系统,其中驱动信号和调制元件被用来产生多于二个的多个调制状态。
精密机械系统和/或投影系统被用来产生特征小于30微米的微光刻图形。
附图说明
图1示出了现有技术的印相机。SLM由使来自透镜光孔的光发生偏移的微镜组成。
图2示出了多个象素设计,上面4个象素处于关断状态,而其余5个象素开通。
图3示出了像活塞那样上下运动从而产生相位差的象素阵列。这就是用相位型SLM能够精细定位边界的原因。
图4示出了具有偏转反射镜的SLM与具有变形反射镜的SLM之间的示意比较。
图5示出了将数据转换和馈送到SLM的方法的流程图。
图6示出了根据本发明的图形发生器的最佳实施例。
图7示意地示出了不同类型SLM的可能复振幅。
图8示意地示出了SLM反射镜的不同类型的控制。
具体实施方式
图1中的通常配置是理解本发明的基础,它示出了具有SLM的一般投影印相机。基于反射的空间光调制器有二种,偏转型(Nelson)和相位型(Kück)。它们之间的差别可能在于看起来很小的微镜的具体情况,但相位SLM借助于相消干涉来消除反射方向的光束,而偏转SLM中的象素在几何学上将反射光束偏转到一侧,致使如图1所示不命中成像透镜的光圈。对于如本发明执行的超精密印相,Kück 1990所述的相位调制系统优越于偏转类型。首先,由于表面的所有部件以及连接和支持部分都参与相消干扰而能够达到完全消光,故具有更好的对比度。第二,借助于将光偏转到一侧而工作的系统难以在中等偏转角度下绕光轴形成对称,在焦点改变时,产生图形不稳定的危险。在最佳实施例中使用了相位型,但若接受和设计在偏转型的不对称周围,则也能够被使用。图4示意地示出了这一点。在图4a中,对不偏转的微镜401进行照明,反射光不被引导到光圈402,因此,光不到达基底403。另一方面,在图4b中,反射镜被完全偏转,且所有的反射光被引导到光圈。如图4c所示,在中间位置,只有部分反射光将到达基底。但此时光不绕透镜404的光轴对称,从而在基底上有倾斜的入射。因此,透镜与基底区域之间的距离变得很关键,且诸如虚线区域位置所示的小的面积变化就引起图形在基底上的明显的位移。解决此问题的方法示于图4d-f。此处,用微镜的第一偏转角度进行第一曝光,然后最好用同一个光剂量进行与第一角度互补的第二偏转角度的第二曝光。从而第一和第二曝光的组合绕透镜的光轴对称。解决此问题的另一方法是使用如图4g所示的变形反射镜401′,从而反射光均匀地分布在光圈上。此最后一个图能够示意地表示相位型SLM(下面描述)或光从反射镜的不同部分被反射的偏转SLM二种情况。
用所谓微镜的微机械加工的反射镜,或用能够用电子信号变形的支持基底上的连续反射镜表面,能够建立相位SLM。在文献Kück 1990中,采用了一种受静电场控制的粘弹性层,但特别是对于大约几毫微米的形变就足够了的非常短的波长来说,同样有可能采用被电场变形的压电固体盘或另一种电学、磁学或热学控制的反射表面。虽然诸如依赖于作为其调制机制的LCD晶体或电光材料的透射或反射SLM或采用压电或电致驱动的微机械SLM之类的上述的其它安排是可能的,但对于其余的这种应用,设想了一种静电控制的微镜矩阵(一维或二维)。
为了获得到达投影透镜光孔的可变化的光量,本发明最好使用相位调制可变化的微镜。图2示出了一些多元反射镜。反射镜各个部分的倾斜是不重要的。实际上,一个元件本身将光引导到透镜,而另一个将光引导到光孔外面。理解此功能的正确方法是注意从反射镜的各个无限小区域元件到达光孔中心的复振幅并在反射镜上累计此幅度。利用适当形状的反射镜,有可能找到复振幅加起来几乎为0的变形,对应于没有光到达光孔。这是微镜的关断状态,而反射镜表面平坦且复振幅同相位相加的弛豫状态是开通状态。在开通与关断状态之间,沿反射方向的光量是形变的连续非线性函数。
待要写入的图形通常是诸如玻璃基底上铬的光掩模图形之类的二元图形。在这种情况下,二元意味着不存在中间区域:光掩模表面上的某个点要么是暗(被铬覆盖),要么是亮(无铬)。此图形被来自SLM的投影图象曝光在光刻胶中,并对光刻胶进行显影。现代光刻胶具有高的对比度,意味着曝光的小的改变就形成光刻胶在显影液中完全清除与完全不清除之间的差别。因此,即使空间图象具有亮暗之间的逐渐过渡,光刻胶也具有通常几乎垂直于基底表面的边沿。铬腐蚀进一步增加了对比度,致使得到的图象是完美的二元:要么暗要么亮,没有中间区域。
输入数据是数字格式,表示待要写入在表面上的图形的几何形状。此输入数据常常被给定在例如1毫微米的非常小的地址单元中,而将SLM中象素设定为开通或关断则给出大得多的图形。若SLM上的象素被投影成图象中的0.1微米象素,则线能够仅仅具有象素整数倍的宽度(n*0.1微米,其中n为整数)。迄今,0.1微米的地址网格就足够了,但所谓光学邻近效应校正OPC的出现,使得1-5毫微米的网格成为可取的。在OPC中,掩模中图形的尺寸被稍许修正,以便补偿使用掩模时的预计光学图象误差。作为一个例子,当具有4个0.8微米宽的平行线的掩模在现代4X缩小步进机(用于半导体晶片的一种投影印相机)中被印制时,虽然希望它们具有相同的宽度,但典型情况下将被印制成宽度为0.187、0.200、0.200和0.187微米。借助于图象模拟能够预计这一点,且掩模用户可以使用OPC来补偿掩模。因此需要掩模中的第一和第四线为4*0.213=0.852微米,而不是0.800微米。利用0.1微米的地址网格无法进行修正,但利用5毫微米或更精细的地址网格,有可能进行这样的修正。
在图5中,在流程图中示出了提供SLM的数据的方法。第一步骤S1是将待要写入的图形的图形数据分成分隔的图形场。此图形数据最好以数字形式接收。然后,在步骤S2中,对各个场进行扫描,从而规定不同的曝光数值。然后在步骤S3中,对这些数值进行非线性响应修正,并在步骤S4中逐个改变象素。最后,象素数值被转换成驱动信号并馈送到SLM。
为了产生例如1/15、1/25、1/50象素尺寸的精细的地址网格,本发明最好地使用了关断状态与开通状态之间的中间数值。被印制的图形由处于开通状态的象素组成,但沿着边沿具有设定在中间数值的象素。借助于用开通和关断电压之外的其它电压驱动象素,做到了这一点。由于有几个级连的非线性效应(边沿位置对边界处象素的曝光、曝光对形变、以及形变对电场),故需要从输入数据到电场的非线性转换。而且,此转换在定期的时间间隔内被实验校正。
图3示出了像活塞那样上下运动从而产生相位差的象素组成的阵列。此图示出了如何控制象素来产生插图中的反射率。亮区具有0相位的象素,而暗区由具有改变+90和-90度相位的象素产生。亮区与暗区之间倾斜的边界由相位的中间数值产生。这就是能够用相位型SLM来精细定位边沿的原因。但也能够以相同的方式使用具有中间数值的其它类型的SLM。具有驱动成中间数值的相位SLM的成像性质是复杂的,且远不能看出图3中边沿会移动。但本发明人进行的深入的理论计算和实验已经表明,上述的效应是真实的。
如图3所示,为了产生精细的地址网格,采用电子处理系统来产生图形内部的一种象素图、图形外面的另一种象素图以及边界处的中间象素图,其中边界处的中间象素图依赖于网格中边界的位置而产生在比投影在工件上的SLM的象素图更精细的网格中。SLM和投影系统产生图形内部的一种曝光等级、图形之间的另一种曝光等级以及边界处的中间曝光等级。利用SLM对多个状态的调制能力来产生中间曝光等级。驱动信号对边界实际位置的响应被测试并修正。对其进行实验测量并计算校正函数,再存储在数据处理和发送系统中。
为了进一步改善地址分辨率,平台和SLM被用来沿不平行于SLM坐标系统的通常为45度的方向将各个曝光场缝合到一起。确切地说,平台或光学系统沿不平行于SLM但通常与SLM坐标系统成45度角的方向发生连续运动。SLM也可能具有不正交的轴,此时没有平行于运动方向的轴是有利的。而且,为了抑制矩阵本身线误差的SLM的列和行驱动器中的缺陷引起的线误差,使行和列线与缝合方向亦即被缝合的场之间的向量成一角度是有效的。
借助于用被修正的数据覆盖至少二次曝光,使组合的曝光具有在单一曝光中不可能得到的中间数值,产生了地址网格的额外改进。
相位型SLM的设计
图2c所示现有技术使用的苜蓿叶形反射镜设计,有可能驱动开通与关断状态之间的中间状态。但当合计的复振幅被绘制成偏离量的函数时,可以看到决不完全为0,而是在围绕着0的圆圈内,因此具有变化的相位角的非0最小反射率。在图7中用线701示意地示出了这一点,其中703表示某些形变数值的位置,而φ是相关的相位角。对具有某些设定在中间状态的象素的图象仔细分析表明,若边沿象素合计的相位角不为0,则最终图象中的各个边沿的位置不稳定通过焦点。这是相似于图4所示反射效应的衍射效应。在本发明的最佳实施例中,使用了一种新的具有旋转中心元件的象素。图2e-h示出了这种元件的例子。当元件旋转中心一端向光源运动而另一端离开光源时,它保持接近0的平均相位。图7中的虚线702示意地示出了这一点。而且,苜蓿叶形设计有制造过程中产生的内建应力的问题。此应力倾向于在无外加电场的情况下给出空间形变。内建形变由于依赖于制造过程中的不完整性而在各个象素中不完全相同。在苜蓿叶形设计中,这一逐个象素之间的差别产生反射率的一阶变化。由旋转中心元件建立的象素单元也出现相同的效应,但给出二阶效应。因此,投影图象的均匀性更好。
对于图形中不同定位的和/或不同取向的边沿,调制元件和曝光方法的设计被用来产生投影系统光圈挡板的对称性。借助于用象素网格相对于图形的不同位置来覆盖至少二个图象,能够降低相对于象素网格位于不同位置处的边沿之间的固有不对称性。
对于偏转型SLM,对称性与光圈挡板中的强度分布有关。最好是具有将光相对于光圈挡板的中心对称地偏转或能够用产生对称性的互补偏转覆盖曝光的调制元件。利用具有可控制偏转的调制元件,有可能产生边沿象素处的偏转与边沿之间的恒定的几何关系,亦即沿垂直于边沿和向着图形内部的方向引导。
利用衍射型SLM,有可能借助于用相反的相位图覆盖曝光而产生对称性。若在SLM上复振幅各处都是实数,则能够保持对称性,且能够设计象素,使合计复振幅基本上为-1到1范围中的实数。多数情况下幅度为-0.5到1就足够了。这正是图2e、f、g、h中的正方形旋转中心微镜元件的情况。
利用存取一个小的负幅度以便印制背景区域,有可能提高分辨率。在更复杂的方案中,有可能驱动相邻象素组组合在图象中,并在用成像系统过滤之后给出所需的实数幅度。
为了保留对称性,具有至少二重对称和最好是四重对称是有利的。借助于多次覆盖曝光,能够使不具有固有旋转对称的象素产生对称性。而且,能够用给出可控制的实数幅度的象素设计或曝光顺序来提高分辨率。暗线若位于相位相反的区域之间,则能够给出极大的对比度,且借助于将图形内部的相邻象素驱动到更高的正幅度,或将外面的相邻象素驱动到负幅度,能够改善图形的边沿。
图象增强
旋转中心设计具有第三优点:苜蓿叶达不到完全消光,但旋转中心单元能够更容易地给出完全消光的几何形状,或甚至通过0并回到相位相反的小的非0反射。更好的消光具有印制覆盖曝光的更大的自由度,设计小的负数值702提供了接近消光的更好的线性。在暗区以相反的相位的大约5%的弱曝光进行印制,能够提供15-30%的更高的边沿锐度和以给定的透镜印制更小的图形的能力。这相似于半导体工业中所用的所谓衰减相移掩模。提高边沿锐度的有关方法是将图形内部的象素设定为更低的数值而靠近边沿的象素设定为更高的数值。这提供了从掩模或利用Nelson和Kück投影器的现有图形投影不可能得到的一种新的图象增强方法。由于效果是附加的或至少是可计算的,故背景中的非0负振幅与沿边沿提高了的曝光不必与借助于驱动边沿象素到中间数值而产生精细地址网格相冲突。当象素明显地小于待要印制的图形时,存在着同时产生所有效果的象素数值的组合。为了找到它们,需要比单独产生精细地址网格更多的计算,但在本发明的某些应用中,印制更小的图形的能力能够具有为额外努力所付出的高的价值。
在粘弹性层上的连续反射镜的情况下,存在着平均相位与0的固有平衡。模拟已经显示,为图形边沿的精细定位而驱动到中间数值,对连续反射镜也可行。非线性比微镜小。但为了方法好用,最小的图形必须大于微镜,亦即每个被分辨的图形元件具有更多的被寻址象素。结果是SLM器件更大,且对于给定的图形的数据量更大。因此,在第一和第二实施例中已经选择了微镜。
在本发明中,由于二个理由而采用了具有旋转对称形变(至少二重对称,在最佳实施例中为四重对称)的象素:提供投影透镜光孔的对称照明以及使图象对旋转不敏感。对于在半导体晶片上印制随机逻辑图形来说,后者是重要的。若存在x-y不对称,则沿x轴布局的晶体管将具有与沿y轴的晶体管不同的延迟,电路可能工作不正常或仅仅能够用于较低的时钟速度。图象通过焦点不变化以及x与y之间的对称性这二个要求,使在光学系统中产生和保持对称性非常重要。对称性可以是固有的,或借助于诸如利用具有互补不对称性质的多次曝光之类校正不对称性质的平衡而产生。但由于多次曝光导致产率下降,故固有对称布局更有利得多。
最佳实施例
第一最佳实施例是一种使用2048×512微镜的光掩模的远UV图形发生器。光源是KrF准分子激光器,其脉冲输出为248毫微米,脉冲长度约为10ns,而重复速率为500Hz。SLM具有反射90%以上的光的铝表面。用激光器通过束编码照明器对SLM进行照明,反射的光被引导到投影透镜并进一步引导到光敏表面。来自照明器的入射束和到透镜的出射束,被半透明光束分裂器反射镜分隔开。此反射镜最好是偏振选择的,且照明器使用偏振光,其偏转方向由SLM前面的四分之一波长片转换。对于高NA的x和y对称,图象必须对称地偏振,且光束分裂器与投影透镜之间的第二个四分之一波长片产生圆偏振图象。当激光器脉冲能量允许使用非偏振光束分裂器时,装置更简单。第二次通过光束分裂器之后的四分之一波长片由于使光束分裂涂层的设计更不敏感而仍然有优点。其中最简单的安排是使用SLM处的倾斜入射,使来自照明器和到投影透镜的光束如图1所示被几何学上分隔开。
微镜象素为20×20微米,而投影透镜的缩小倍数为200,使SLM上的开通象素相当于图象中的0.1微米。透镜是NA为0.8的单色DUV透镜,提供0.17微米FWHM的点扩展函数。能够被高质量写入的最小的线是0.25微米。
用透镜下方的干涉计控制的平台使工件例如光掩模运动,而到激光器的干涉计逻辑信号产生闪烁。由于此闪烁仅仅为10ns,故平台的运动在曝光过程中被冻结,且SLM的图象被印制,尺寸为204.8×51.2微米。2毫秒之后,平台移动51.2微米,发射一个新的闪烁,且新的SLM图象与第一个逐个边到边被印制。在曝光之间,数据输入系统已经将新的图象载入SLM中,致使更大的图形由缝合各个闪烁组成。当整个行被写入之后,平台沿垂直方向前进,于是开始新的一行。虽然第一最佳实施例通常写入125×125mm的图形,但用此方法能够写入任何尺寸的图形。为了写入这种尺寸的图形,需要50分钟外加在相邻列之间运动的时间。
各个象素能够被控制到25个等级(外加0),从而将0.1微米的象素插入到各为4nm的25个增量中。数据转换对图形进行几何分类,并转换成具有设定为开通、关断、或中间反射的象素的分布图。数据路径必须向SLM馈送每秒2048*512*500字的数据,实际上是每秒524兆位象素数据。在最佳实施例中,可写入的区域最大为230×230mm,在一列中最多提供高达230/0.0512=4500个闪烁,且此列在4500/500=9秒钟内被写入。一列中所需的象素数据量为9×524=4800兆位。为了减少传送和缓冲的数据量,采用了压缩格式,与Sandstrom等人90中的相似,但差别是象素图被压缩而不是具有长度和数值的各个区段。一种可行的变通是即时产生象素图并使用市售的压缩和解压硬件处理器来减少待要传送和缓冲的数据量。
即使利用压缩,整个掩模中的数据量也使得在盘上存储预先分离的数据很不实际,必须在使用时产生象素数据。处理器阵列以并行方式将图象扫描成压缩格式,并将被压缩的数据传送到扩展器电路,将象素数据馈送给SLM。在最佳实施例中,处理器扫描图象的不同部分,并在将它们传输到扩展器电路的输入缓冲器之前对结果进行缓冲。
第二最佳实施例
在第二最佳实施例中,激光器是波长为193nm而脉冲频率为500Hz的ArF准分子激光器。SLM具有3072×1024个20*20微米的象素,而透镜的缩小倍数为333,提供0.06微米的投影象素。有60个中间数值,且地址网格为1nm。点扩展函数为0.13微米,而最小线为0.2微米。数据流为1572兆位/秒,而230mm长的一列中的数据为11.8Gb。
第三最佳实施例除了象素矩阵旋转45度且象素网格为84微米,沿x和y提供0.06微米的投影象素间距外,与第二实施例完全相同。激光器是ArF准分子激光器,而透镜的缩小倍数为240。由于旋转的矩阵,故矩阵中象素的密度更低,且数据量是前述实施例的一半,但具有相同的地址分辨率。
激光器闪烁之间的变化
准分子激光器具有二个不希望有的性质,闪烁之间5%的能量变化以及闪烁之间100ns的时间间隙。在最佳实施例中,二者以相同的方法被补偿。用90%的功率进行整个图形的第一曝光。各个闪烁的实际闪烁能量和时间位置被记录下来。用标称10%的曝光,并用根据第一曝光的实际数值进行5-15%的第二曝光的模拟调制,进行第二曝光。第二曝光中的校正时间偏离也能够补偿第一曝光的时间间隙。第二曝光能够完全补偿第一曝光中的误差,但其本身将给出同样类型的新的误差。由于总的曝光仅仅平均为10%,故二个误差被有效地降低了10倍。实际上,由于光脉冲经过触发器脉冲的延迟,且此延迟随时有几微秒的变化,故激光器具有比100ns大得多的时间不确定性。在短的时间内,此延迟更稳定。因此,连续地测量延迟,并将恰当地过滤了的最后的延迟数值用来预示下一个脉冲延迟并定位触发器脉冲。
有可能以相同的方法来修正平台的不完整性,亦即,若平台误差被记录,则平台被第二曝光中的补偿运动驱动。原则上能够以这一方法来部分或完全地修正能够测量的任何位置误差。在第二曝光过程中,必须具有快速伺服马达来将平台驱动到计算得到的位置。在现有技术中,已知将SLM本身安装在具有小冲程和短响应时间的平台上,并用它来精细定位图象。另一个同样有用的方案是,在SLM与图象表面之间的光学系统中使用具有压电控制的反射镜,二者之间的选择根据实际考虑来决定。也有可能将位置偏离加入到曝光场的数据中,从而横向移动图象。
最好用激光器与SLM之间的衰减滤波器来进行第二曝光,使SLM的整个动态范围能够被用在标称曝光的0-15%的范围内。利用25个中间等级,有可能以15%*1/25=0.6%的步长来调整曝光。
由于制造缺陷和潜在的老化,响应在象素之间稍有变化。其结果是图象的不希望有的不均匀。图象要求非常高时,可能必须借助于用存储在查寻存储器中的象素反转响应度的放大来修正各个象素。对各个象素采用具有2项、3项或更多项的多项式则更好。在驱动SLM的逻辑硬件中能够完成这一点。
在更复杂的最佳实施例中,几个修正被组合成第二修正曝光:逐个闪烁之间的变化、闪烁时间间隙、象素之间的已知响应差别。只要修正小,亦即各为百分之几,则它们将接近线性地加入,因此,各个修正在其被用于SLM之前能够简单地被加入。总和被象素中所需曝光剂量的数值放大。
其它照明源
根据激光器的波长和类型,准分子激光器具有500-1000Hz的受到限制的脉冲重复频率(prf)。这提供了具有沿x和y的缝合边沿的大场。在二个其它的最佳实施例中,用prf高得多的脉冲激光器,例如Q转换升频固体激光器,以及用SLM表面上扫描的连续激光源,来照明SLM,致使SLM的一部分被重新装载新数据,而另一部分被印制。在二种情况下,激光器的相干性质都不同于准分子激光器,并需要更大范围的光束编码和相干控制,例如具有不同路径长度的多个平行光路。在本发明的某些装置中,来自闪烁灯的光输出是足够的,并能够被用作光源。其优点是成本低而相干性质好。
在具有扫描照明的最佳实施例中,解决了二个问题:由于扫描是在最好使用诸如声光或电光之类的电光扫描仪进行完全控制的情况下进行的,且许多连续激光器具有比脉冲激光器更小的功率起伏,故解决了脉冲之间的时间和能量变化问题。而且,连续激光器的采用提供了波长的不同选择,且连续激光器比脉冲激光器对眼睛更不危险。但最重要的是,由于扫描是非临界的并能以100kHz或更高的重复速率进行,故利用仅仅具有几条线的矩阵,就可能达到高得多的数据速率。对照明光束进行扫描也是产生非常均匀的照明的一种方法,其它方法难以做到。
在某些实施例中,使用闪烁灯作为照明源是可能和可行的。
EUV
EUV光源基于来自粒子加速器、磁等离子体压缩机或以大功率激光脉冲将小滴物质加热到极高温度的辐射。在每种情况下,辐射都被脉冲化。EUV辐射仅仅在真空中传播,且仅仅能够被反射透镜聚焦。采用SLM的典型图形发生器具有中等光功率要求的小的曝光场。光学系统的设计因而比EUV步进机更容易,使得有可能比步进机使用更多的反射镜并达到更高的NA。可以预料,高NA透镜将具有环形曝光场,并完全有可能使SLM的形状适应这种场。利用13nm的波长和0.25的NA,有可能对宽度仅仅为25nm的线进行曝光,而使用下面所述的图象增强方法,甚至有可能对小于20nm的线进行曝光。没有其它已知的写入技术能够比得上这一分辨率同时SLM的并行特性使这一写入速度成为可能。
边沿覆盖
由于对于各个闪烁印制二维场且各个场被边到边缝合在一起,故缝合是非常关键的。一个场的仅仅几毫微米的偏离就将沿此边沿产生明显的对掩模产生的电子电路的功能有潜在危害的图形误差。减轻这种不希望有的缝合效应的一种有效的方法是,几次印制同一个图形,但各次之间缝合边界有位移。若图形被印制4次,则缝合误差将出现在4个位置中,但大小仅仅为四分之一。在本发明的最佳实施例中,产生中间曝光的能力与场之间的覆盖带一起被应用。虽然在被压缩的数据的扩展过程中也能够进行,但在扫描过程中对数据进行计算。边沿覆盖降低了缝合误差,其产率代价比多次印制小得多。
改进的照明
在第一最佳实施例中,SLM的照明是用准分子激光器和诸如用来产生从照明器光孔平面中的环形自发光表面照明此装置的复眼透镜之类的光编码器来进行的。为了提高用特定投影系统印制时的分辨率,有可能使用一种改进的照明。在最简单的情况下,光孔滤波器被引入到例如具有四极形或圆形发射区的照明器的光孔平面中。在更复杂的情况下,同一个场被印制几次。能够使诸如图象平面中的焦点、照明图形、加于SLM的数据、以及投影透镜光孔平面中的光孔滤波器之类的几个参数,在各次曝光之间发生改变。确切地说,照明与光孔滤波器的同步改变能够提供更高的分辨率,若光孔具有扇形发射区且照明被对准,致使非衍射光与扇形顶点附近的吸收斑相交,则最显著。
响应的线性化
对于从数据到边沿位置的传送函数的线性化,此处主要有三种方法:
-考虑数据转换单元中的非线性,并在数据转换单元中产生一个8位(例如)象素数值,且用具有相同分辨率的DAC驱动SLM。图8a示意地示出了这一点,其中R是中继信号,C是制作在SLM上各个矩阵元件上的电容器。用虚线表示SLM。
-产生具有例如5位的更少数值的或直到32个数值的数字数值,并将它们转换成查寻表(LUT)中的8位数值,然后将此8位数值馈送到DAC。
-用5位数值和半导体开关来选择由一个或几个高分辨率DAC产生的DC电压。图8b示意地示出了这一点。
在每一种情况下,都有可能测量实验校正函数,使当所述函数被加于各个数据转换单元、LUT或DC电压中时,平板上的响应被线性化。
使用哪一种线性化方案,取决于数据速率、精确度要求,还取决于能够得到的可能随时间变化的电路工艺。目前,数据转换单元是一瓶颈,因此,对数据转换单元进行线性化,或产生8位象素数值,都不是好的解决办法。高速DAC很昂贵且消耗功率,因而,最适当的解决办法是产生DC电压和使用开关。则有可能使用比8位更高的分辨率。
最佳图形发生器的描述
参照图6,图形发生器包含具有单个和多值象素寻址的SLM 601、照明源602、照明光束编码器件603、成像光学系统604、具有干涉计位置控制系统606的精细定位基底平台605、以及SLM的硬件和软件数据处理系统607。为了恰当的功能和易于操作,还包含具有温度控制的周围人工气候室、基底装载系统、用来对平台运动计时和曝光激光器触发以达到最佳图形位置精度的软件、以及软件用户界面。
图形发生器中的照明,用KrF准分子激光器进行,它提供长度为10-20ns的带宽对应于准分子激光器自然线宽的248毫微米波长UV区的光闪烁。为了避免基底上的图形畸变,来自准分子激光器的光被均匀地分布在SLM表面上,且此光具有足够短的相干长度,以便不在基底上产生激光斑点。光束编码器被用来达到这二个目的。它将来自准分子激光器的光束分裂到具有不同路径长度的几个光束路径中,然后将它们加在一起以便降低空间相干长度。光束编码器还具有由包含一组复眼透镜的透镜系统组成的光束均衡器,它使来自准分子激光器的激光束中的各个点的光均匀地分布在SLM表面上,提供一种“顶帽”光分布。这种光束编码、均衡和相干降低,在所有SLM印相机中是有优点的。根据实际环境,能够采用使用光束分裂器和组合器、衍射元件、光纤、万花筒、小透镜阵列、棱镜或棱镜阵列或集成球的装置,以及分裂和组合光束以产生多个冲击到SLM上的相互不相干的光场的组合中的其它相似的器件。
来自SLM的光被中继并成像到基底平台上的基底上。用Kück描述的Schlieren光学系统做到了这一点。焦宽为f1的透镜l1被置于离SLM的距离为f1处。焦宽为f2的另一透镜l2被置于离SLM的距离为2×f1+f2处。基底则在离SLM的距离为2×f1+2×f2处。在离SLM的距离为2×f1处有光圈608,其尺寸决定了系统的数值孔径(NA),从而决定了能够在基底上写入的最小图形尺寸。为了修正光学系统和基底平坦度的缺陷,还有聚焦系统,它以50微米的位置间距沿z方向将透镜l2动态定位,以便达到最佳聚焦性质。透镜系统还对248nm的照明波长进行波长修正,并具有至少±1nm的照明光的带宽容差。用位于透镜l1紧邻上方的光束分裂器609,将照明光反射到成像光学系统中。对于250的缩小倍数和0.62的NA,有可能以良好的图形质量曝光尺寸小到0.2微米的图形。对于各个SLM象素的32个等级,最小网格尺寸为2nm。
图形发生器具有带干涉计位置控制系统的精细定位基底平台。它由最小热膨胀的微晶玻璃制成的可运动的空气轴承xy平台605组成。具有干涉计位置反馈测量系统606的伺服系统,控制着平台沿各个方向的定位。沿方向y,伺服系统保持平台在固定的位置,而沿另一个方向x,平台以连续的速度运动。干涉计位置测量系统沿x方向被使用,以便触发曝光激光器闪烁,在基底上的SLM的各个图象之间提供均匀的位置。当SLM图象的整个行被曝光在基底上时,平台沿x方向移动回到原来位置,并沿y方向移动一个SLM图象增量,以便在基底上曝光另一行SLM图象。这一步骤被重复,直到整个基底被曝光。
SLM图象与大量象素沿x和y方向重叠,且覆盖象素中的曝光数据图形被局部修正以便补偿导致覆盖区域的增大的曝光数目。
利用图形的二次曝光来补偿来自准分子激光器的脉冲之间的强度变化,其中第一次曝光用正确强度标称90%的强度进行。在第一次曝光中,各个激光闪烁中的实际强度被测量并存储。在第二曝光中,根据从第一曝光测量得到的强度数值而使用各个SLM图象曝光的正确强度。以这种方法,有可能将来自准分子激光器的脉冲之间强度变化的影响降低一个数量级。
本文广泛地描述了SLM的功能。它具有尺寸为16微米的2048×256个象素,并有可能在1毫秒之内寻址所有的象素。SLM被稳固地安装在精细平台中。此精细平台能够沿x和y方向移动100微米,各个闪烁曝光之间的精度高于100nm。SLM的精细定位被用来修正基底定位平台的位置不准确性,以便进一步减小图形缝合误差。除了x-y定位之外,还有可能旋转SLM平台,以便在成角度的基底上而不是在基底平台坐标系统规定的基底上曝光图形。这种旋转的目的是产生将基底对准可行性与要增加额外的图形的已有图形进行组合的可能性。利用偏轴光学通道和/或监视透镜的CCD摄象机,以便确定存在于基底上的大量对准记号的系统坐标,有可能测量装载之后基底在平台上的准确位置。然后在曝光过程中,根据测量得到的对准记号的位置,沿x方向和y方向修正平台位置。利用平台伺服系统跟随被旋转的坐标系统并如所述旋转SLM精细平台,来获得旋转对准。旋转SLM的可能性还使得有可能在被畸变了的坐标系统中写入,例如补偿随后的图形弯曲。
任意格式的任意数据图形被转换到压缩的扫描象素图中,图形扫描仪610中每个象素有32(5位)个灰度等级。由于被曝光的象素的灰度等级对施加在象素电极的电压不是线性的,故输入数据在象素线性化器611中被线性化,以便32个灰度等级对应于各个依次等级的曝光剂量的均匀增加。用8位数模转换器(DAC)612做到了这一点,其中象素图中的各个灰度等级,根据前面实验校正的线性化函数,从DAC选择电压。用其中各个数值对应于SLM象素且各个这样的数值修正对应象素的反常的查寻表,得到从DAC的模拟等级选择的额外偏离。用其中一系列测试图形被送到SLM,且得到的曝光图形被测量并用于各个象素修正的实验校正步骤,来产生查寻表中的校正数值。这意味着象素图中的各个灰度等级选择一个为每个对应SLM象素产生象素形变的模拟电压,以便提供正确的曝光剂量。
参考文献
Nelson 1988:US patent US 5148157
Kück 1990:European patent EP 0610183
Sandstrom et al.1990:European patent EP 0467076

Claims (30)

1.一种用来在对光辐射敏感的工件上产生图形的装置,它包含
用来发射波长范围从EUV到IR的光的光源,
适合于被所述辐射照射的具有多个调制元件/象素的空间光调制器,
在工件上产生调制器图象的投影系统,
电子数据处理和发送系统,它接收待要写入的图形的数字表述、将所述图形转换成调制器信号、以及将所述信号馈送到调制器,
用来彼此相关地定位所述工件和/或投影系统的精密机械系统,
控制工件位置、到调制器的信号馈送、以及辐射的强度,使所述图形印制在工件上的电子控制系统,
其中驱动信号和调制元件被用来产生多于二个的多个调制状态。
2.根据权利要求1的装置,其中调制元件对辐射的下列性质中的至少一个进行调制:
●强度
●相位
●复振幅
其中施加在辐射场上的调制具有至少3个不同的状态。
3.根据权利要求2的装置,它具有对辐射的状态有选择性的滤波器,从而将空间调制器表面上的调制转换成工件上的强度图象。
4.根据权利要求1的装置,其中在从输入图形表述到调制器驱动电压的转换中,使用补偿线性化函数,以便修正调制器驱动电压对工件上的曝光的非线性响应。
5.根据权利要求4的装置,其中线性化函数基于响应的实验测试。
6.根据权利要求4的装置,其中所期望的响应作为数字数值被计算,且线性化函数被存储在产生用来产生调制器驱动电压的新的修正了的数字数值的查寻表中。
7.根据权利要求6的装置,其中调制器驱动电压由数模转换器产生。
8.根据权利要求4的装置,其中所期望的响应作为数字数值被计算,且此数值被用来为各个调制元件从几个独立产生的电压中选择一个,且其中所述电压被设定成包含线性化函数。
9.根据权利要求1的装置,包含具有用于修正调制器元件之间的不同响应的查寻表。
10.根据权利要求9的装置,其中在测量至少二个不同的调制器元件的响应函数的校正步骤的过程中产生查寻表。
11.根据权利要求9的装置,其中所述查寻表存储调制器元件的下列类型数据中的至少一种:
●灵敏度因子
●多项式响应函数。
12.根据权利要求9的装置,其中对调制元件的修正被应用成对调制元件所期望状态的数字表述的数字运算。
13.根据权利要求1的装置,其中空间调制器是调制元件的二维阵列,采用时间复用方式将数值加载到调制元件,并在各个元件处存储所加载的数值。
14.根据权利要求1的装置,其中调制器制作成可寻址的象素矩阵。
15.根据权利要求1的装置,其中调制器包含液晶。
16.根据权利要求1的装置,其中调制器具有粘弹性层。
17.根据权利要求1的装置,其中调制器具有微机械元件组成的阵列。
18.根据权利要求1的装置,其中的调制器是反射型的。
19.根据权利要求1的装置,其中的调制器是透射型的。
20.根据权利要求1的装置,其中的输入图形被分解成多个曝光场,且所述曝光场被暴露在工件的不同位置处,从而将所述曝光场缝合在一起成为完整的图形。
21.根据权利要求20的装置,其中的精密机械系统和投影系统被用来形成相互连续行进的行程,而电子控制系统以不间断行程过程中至少二个曝光场被曝光的方式,对此运动、调制器驱动信号的装载和照射进行协调。
22.根据权利要求1的装置,还包含控制来自光源的辐射的发射时刻的定时单元。
23.根据权利要求22的装置,其中的定时单元根据前述测量而预计控制信号到光源发射的时间延迟,并对其进行补偿。
24.根据权利要求1的装置,其中的光源是激光器。
25.根据权利要求1的装置,其中空间光调制器的至少一个调制元件的照射被脉冲化。
26.根据权利要求1的装置,其中空间光调制器的至少一个调制元件的照射是连续的并被扫描。
27.根据权利要求20或21的装置,其中精密机械系统和/或投影系统被用来产生特征小于30微米的微光刻图形。
28.根据权利要求1的装置,其中的图形形成在光刻胶或照相乳胶中。
29.根据权利要求1的装置,其中的图形用烧蚀、工件组分的光化学改变或热过程来形成。
30.根据权利要求1的装置,其中电子数据处理系统具有用于实时图形转换的并行处理器阵列。
CNB998034770A 1998-03-02 1999-03-02 改进的图形发生器 Expired - Fee Related CN1189794C (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
SE98006653 1998-03-02
SE9800665A SE9800665D0 (sv) 1998-03-02 1998-03-02 Improved method for projection printing using a micromirror SLM

Related Child Applications (1)

Application Number Title Priority Date Filing Date
CNA2004100353616A Division CN1550902A (zh) 1998-03-02 1999-03-02 改进的图形发生器反射镜结构

Publications (2)

Publication Number Publication Date
CN1292103A CN1292103A (zh) 2001-04-18
CN1189794C true CN1189794C (zh) 2005-02-16

Family

ID=20410382

Family Applications (3)

Application Number Title Priority Date Filing Date
CNA2004100353616A Pending CN1550902A (zh) 1998-03-02 1999-03-02 改进的图形发生器反射镜结构
CNB998034762A Expired - Fee Related CN1173234C (zh) 1998-03-02 1999-03-02 采用超紫外光的图形发生器
CNB998034770A Expired - Fee Related CN1189794C (zh) 1998-03-02 1999-03-02 改进的图形发生器

Family Applications Before (2)

Application Number Title Priority Date Filing Date
CNA2004100353616A Pending CN1550902A (zh) 1998-03-02 1999-03-02 改进的图形发生器反射镜结构
CNB998034762A Expired - Fee Related CN1173234C (zh) 1998-03-02 1999-03-02 采用超紫外光的图形发生器

Country Status (12)

Country Link
US (16) US6285488B1 (zh)
EP (6) EP1060442B1 (zh)
JP (12) JP2002506234A (zh)
KR (2) KR100451026B1 (zh)
CN (3) CN1550902A (zh)
AT (5) ATE491971T1 (zh)
AU (7) AU2755599A (zh)
DE (6) DE69936950T2 (zh)
ES (1) ES2357473T3 (zh)
RU (2) RU2232411C2 (zh)
SE (1) SE9800665D0 (zh)
WO (7) WO1999045439A1 (zh)

Families Citing this family (511)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
ES2335452T3 (es) * 1997-04-14 2010-03-26 Huntsman Advanced Materials (Switzerland) Gmbh Unidad de ilumunacion y procedimiento para la iluminacion puntual de un medio.
US6816302B2 (en) * 1998-03-02 2004-11-09 Micronic Laser Systems Ab Pattern generator
SE9800665D0 (sv) 1998-03-02 1998-03-02 Micronic Laser Systems Ab Improved method for projection printing using a micromirror SLM
US6727980B2 (en) * 1998-09-17 2004-04-27 Nikon Corporation Apparatus and method for pattern exposure and method for adjusting the apparatus
US6181210B1 (en) * 1998-09-21 2001-01-30 Broadcom Corporation Low offset and low glitch energy charge pump for PLL-based timing recovery systems
US7328425B2 (en) * 1999-05-20 2008-02-05 Micronic Laser Systems Ab Method and device for correcting SLM stamp image imperfections
GB2344899B (en) * 1999-05-29 2000-11-22 Bookham Technology Ltd Production of an integrated optical device
SE516914C2 (sv) * 1999-09-09 2002-03-19 Micronic Laser Systems Ab Metoder och rastrerare för högpresterande mönstergenerering
EP1152263A4 (en) * 1999-09-30 2003-08-20 Nikon Corp OPTICAL DEVICE WITH THIN MULTI-LAYER SYSTEM AND THEIR USE FOR ALIGNMENT
SE522531C2 (sv) * 1999-11-24 2004-02-17 Micronic Laser Systems Ab Metod och anordning för märkning av halvledare
TW508653B (en) * 2000-03-24 2002-11-01 Asml Netherlands Bv Lithographic projection apparatus and integrated circuit manufacturing method
SE517550C2 (sv) * 2000-04-17 2002-06-18 Micronic Laser Systems Ab Mönstergenereringssystem användande en spatialljusmodulator
US6552740B1 (en) * 2000-08-01 2003-04-22 Eastman Kodak Company Method and apparatus for printing monochromatic imaging using a spatial light modulator
US6645677B1 (en) 2000-09-18 2003-11-11 Micronic Laser Systems Ab Dual layer reticle blank and manufacturing process
US6580490B1 (en) * 2000-10-30 2003-06-17 Eastman Kodak Company Method and apparatus for printing images in multiple formats using a spatial light modulator
US6690499B1 (en) * 2000-11-22 2004-02-10 Displaytech, Inc. Multi-state light modulator with non-zero response time and linear gray scale
USRE43841E1 (en) * 2000-12-14 2012-12-04 F. Poszat Hu, Llc Printing by active tiling
US6653026B2 (en) * 2000-12-20 2003-11-25 Numerical Technologies, Inc. Structure and method of correcting proximity effects in a tri-tone attenuated phase-shifting mask
US20020122237A1 (en) * 2001-03-01 2002-09-05 Torbjorn Sandstrom Method and apparatus for spatial light modulation
JP4495898B2 (ja) * 2001-04-04 2010-07-07 マイクロニック レーザー システムズ アクチボラゲット 改良型パターン・ジェネレータ
US7843632B2 (en) * 2006-08-16 2010-11-30 Cymer, Inc. EUV optics
US6753947B2 (en) * 2001-05-10 2004-06-22 Ultratech Stepper, Inc. Lithography system and method for device manufacture
GB0114862D0 (en) 2001-06-19 2001-08-08 Secr Defence Image replication system
US7095484B1 (en) * 2001-06-27 2006-08-22 University Of South Florida Method and apparatus for maskless photolithography
JP5144863B2 (ja) * 2001-06-29 2013-02-13 株式会社オーク製作所 多重露光描画方法及び多重露光描画装置
JP4273291B2 (ja) * 2001-08-17 2009-06-03 株式会社オーク製作所 多重露光描画装置および多重露光描画方法
JP4324645B2 (ja) * 2001-08-21 2009-09-02 株式会社オーク製作所 多重露光描画装置および多重露光描画方法
JP2003066366A (ja) * 2001-08-22 2003-03-05 Fuji Photo Film Co Ltd 照明光学系及びこれを用いた画像表示装置、画像露光装置
US6794100B2 (en) * 2001-08-30 2004-09-21 Micron Technology, Inc. Method for controlling radiation beam intensity directed to microlithographic substrates
US6784975B2 (en) * 2001-08-30 2004-08-31 Micron Technology, Inc. Method and apparatus for irradiating a microlithographic substrate
US6819490B2 (en) 2001-09-10 2004-11-16 Micronic Laser Systems Ab Homogenization of a spatially coherent radiation beam and printing and inspection, respectively, of a pattern on a workpiece
CN100410725C (zh) * 2001-09-12 2008-08-13 麦克罗尼克激光系统公司 使用空间光调制器的改进方法和装置
US7302111B2 (en) * 2001-09-12 2007-11-27 Micronic Laser Systems A.B. Graphics engine for high precision lithography
JP3881865B2 (ja) 2001-10-19 2007-02-14 株式会社 液晶先端技術開発センター 光学的な記録装置及び方法並びに露光装置及び方法
EP1446703A2 (en) * 2001-11-07 2004-08-18 Applied Materials, Inc. Optical spot grid array printer
WO2003040829A2 (en) 2001-11-07 2003-05-15 Applied Materials, Inc. Maskless printer using photoelectric conversion of a light beam array
US6618185B2 (en) * 2001-11-28 2003-09-09 Micronic Laser Systems Ab Defective pixel compensation method
US6950194B2 (en) * 2001-12-07 2005-09-27 Micronic Laser Systems Ab Alignment sensor
US6903859B2 (en) 2001-12-07 2005-06-07 Micronic Laser Systems Ab Homogenizer
GB2383140A (en) * 2001-12-13 2003-06-18 Zarlink Semiconductor Ltd Exposure positioning in photolithography
SE0104238D0 (sv) * 2001-12-14 2001-12-14 Micronic Laser Systems Ab Method and apparatus for patterning a workpiece
US20030233630A1 (en) * 2001-12-14 2003-12-18 Torbjorn Sandstrom Methods and systems for process control of corner feature embellishment
US7106490B2 (en) * 2001-12-14 2006-09-12 Micronic Laser Systems Ab Methods and systems for improved boundary contrast
US6665110B2 (en) * 2001-12-31 2003-12-16 Texas Instruments Incorporated Diagonal to rectangular pixel mapping for spatial light modulator
US7159197B2 (en) * 2001-12-31 2007-01-02 Synopsys, Inc. Shape-based geometry engine to perform smoothing and other layout beautification operations
US6873401B2 (en) * 2002-01-24 2005-03-29 Intel Corporation Reflective liquid crystal display lithography system
CN1279403C (zh) * 2002-02-06 2006-10-11 Asml荷兰有限公司 光刻装置和器件制造方法
SE0200547D0 (sv) * 2002-02-25 2002-02-25 Micronic Laser Systems Ab An image forming method and apparatus
US6590695B1 (en) * 2002-02-26 2003-07-08 Eastman Kodak Company Micro-mechanical polarization-based modulator
SE0200864D0 (sv) * 2002-03-21 2002-03-21 Micronic Laser Systems Ab Method and apparatus for printing large data flows
US7167185B1 (en) 2002-03-22 2007-01-23 Kla- Tencor Technologies Corporation Visualization of photomask databases
US6976426B2 (en) * 2002-04-09 2005-12-20 Day International, Inc. Image replication element and method and system for producing the same
US6707534B2 (en) * 2002-05-10 2004-03-16 Anvik Corporation Maskless conformable lithography
US6728023B1 (en) * 2002-05-28 2004-04-27 Silicon Light Machines Optical device arrays with optimized image resolution
US7023528B2 (en) * 2002-06-10 2006-04-04 International Business Machines Corporation Hybrid electronic mask
AUPS328402A0 (en) * 2002-06-28 2002-07-18 Australian Photonics Pty Limited Writing of photo-induced structures
US7302672B2 (en) * 2002-07-12 2007-11-27 Cadence Design Systems, Inc. Method and system for context-specific mask writing
JP2006502422A (ja) * 2002-07-12 2006-01-19 ケイデンス デザイン システムズ インコーポレイテッド コンテクスト特定型のマスク検査のための方法及びシステム
EP1543451A4 (en) * 2002-07-12 2010-11-17 Cadence Design Systems Inc PROCESS AND SYSTEM FOR CONTEX-SPECIFIC MASK WRITING
JP3691837B2 (ja) * 2002-08-16 2005-09-07 株式会社林創研 バイオチップ分析装置
US6818910B2 (en) * 2002-08-23 2004-11-16 Micron Technology, Inc. Writing methodology to reduce write time, and system for performing same
KR101087862B1 (ko) * 2002-08-24 2011-11-30 매스크리스 리소그래피 인코퍼레이티드 연속적인 직접-기록 광 리쏘그래피 장치 및 방법
EP2336830B1 (en) 2002-10-25 2016-09-21 Mapper Lithography Ip B.V. Lithography system
US7098468B2 (en) * 2002-11-07 2006-08-29 Applied Materials, Inc. Raster frame beam system for electron beam lithography
JP2004200221A (ja) * 2002-12-16 2004-07-15 Toray Eng Co Ltd レーザマーキング方法及び装置
US7171068B2 (en) * 2002-12-20 2007-01-30 Texas Instruments Incorporated Method to improve an extinction ratio of an optical device
KR20050086953A (ko) * 2003-01-15 2005-08-30 마이크로닉 레이저 시스템즈 에이비 결함 픽셀을 탐지하는 방법
SE0300138D0 (sv) * 2003-01-22 2003-01-22 Micronic Laser Systems Ab Electromagnetic radiation pulse timing control
US6906848B2 (en) * 2003-02-24 2005-06-14 Exajoule, Llc Micromirror systems with concealed multi-piece hinge structures
SE0300516D0 (sv) * 2003-02-28 2003-02-28 Micronic Laser Systems Ab SLM direct writer
US20060104413A1 (en) * 2003-03-05 2006-05-18 Tadahiro Ohmi Mask repeater and mask manufacturing method
US7663734B2 (en) * 2003-04-11 2010-02-16 Tadahiro Ohmi Pattern writing system and pattern writing method
JP4314054B2 (ja) * 2003-04-15 2009-08-12 キヤノン株式会社 露光装置及びデバイスの製造方法
US6956692B2 (en) * 2003-04-24 2005-10-18 Micronic Laser Systems, Ab Method and apparatus for controlling exposure of a surface of a substrate
EP1616211B1 (en) * 2003-04-24 2010-07-14 Fraunhofer-Gesellschaft zur Förderung der angewandten Forschung e.V. Exposure Control
JP2004341160A (ja) * 2003-05-15 2004-12-02 Seiko Epson Corp 露光用マスク、光近接効果補正装置、光近接効果補正方法、半導体装置の製造方法および光近接効果補正プログラム
US7063920B2 (en) * 2003-05-16 2006-06-20 Asml Holding, N.V. Method for the generation of variable pitch nested lines and/or contact holes using fixed size pixels for direct-write lithographic systems
US7183566B2 (en) * 2003-05-28 2007-02-27 Asml Netherlands B.V. Lithographic apparatus for manufacturing a device
US7061591B2 (en) * 2003-05-30 2006-06-13 Asml Holding N.V. Maskless lithography systems and methods utilizing spatial light modulator arrays
US20040239901A1 (en) * 2003-05-29 2004-12-02 Asml Holding N.V. System and method for producing gray scaling using multiple spatial light modulators in a maskless lithography system
US6989920B2 (en) 2003-05-29 2006-01-24 Asml Holding N.V. System and method for dose control in a lithographic system
EP1482373A1 (en) 2003-05-30 2004-12-01 ASML Netherlands B.V. Lithographic apparatus and device manufacturing method
JP4486323B2 (ja) * 2003-06-10 2010-06-23 富士フイルム株式会社 画素位置特定方法、画像ずれ補正方法、および画像形成装置
KR101098070B1 (ko) * 2003-06-12 2011-12-26 마이크로닉 마이데이터 아베 고정밀도 패턴 인쇄 방법
US6833854B1 (en) * 2003-06-12 2004-12-21 Micronic Laser Systems Ab Method for high precision printing of patterns
US7016015B2 (en) * 2003-06-20 2006-03-21 Asml Netherlands B.V Lithographic apparatus and device manufacturing method
EP1489449A1 (en) * 2003-06-20 2004-12-22 ASML Netherlands B.V. Spatial light modulator
SG110099A1 (en) * 2003-06-24 2005-04-28 Asml Holding Nv Projection optical system for maskless lithography
US7110082B2 (en) * 2003-06-24 2006-09-19 Asml Holding N.V. Optical system for maskless lithography
SG119224A1 (en) 2003-06-26 2006-02-28 Asml Netherlands Bv Calibration method for a lithographic apparatus and device manufacturing method
EP1491966A1 (en) * 2003-06-26 2004-12-29 ASML Netherlands B.V. Calibration method for a lithographic apparatus
US7158215B2 (en) * 2003-06-30 2007-01-02 Asml Holding N.V. Large field of view protection optical system with aberration correctability for flat panel displays
US7154587B2 (en) * 2003-06-30 2006-12-26 Asml Netherlands B.V Spatial light modulator, lithographic apparatus and device manufacturing method
US7024638B2 (en) * 2003-07-14 2006-04-04 Cadence Design Systems, Inc. Method for creating patterns for producing integrated circuits
US7224504B2 (en) * 2003-07-30 2007-05-29 Asml Holding N. V. Deformable mirror using piezoelectric actuators formed as an integrated circuit and method of use
US6831768B1 (en) * 2003-07-31 2004-12-14 Asml Holding N.V. Using time and/or power modulation to achieve dose gray-scaling in optical maskless lithography
WO2005013006A1 (en) * 2003-08-04 2005-02-10 Micronic Laser Systems Ab Psm alignment method and device
US7186486B2 (en) * 2003-08-04 2007-03-06 Micronic Laser Systems Ab Method to pattern a substrate
JP2007503723A (ja) * 2003-08-22 2007-02-22 プレックス・エルエルシー 光学アドレス式極紫外線モジュレータ及びこのモジュレータを含むリソグラフィー装置
US7315294B2 (en) * 2003-08-25 2008-01-01 Texas Instruments Incorporated Deinterleaving transpose circuits in digital display systems
US6972843B2 (en) * 2003-08-25 2005-12-06 Intel Corporation Lithography alignment
US7459709B2 (en) * 2003-08-27 2008-12-02 Koninklijke Philips Electronics N.V. Method of forming optical images, a control circuit for use with this method, apparatus for carrying out said method and process for manufacturing a device using said method
KR101159867B1 (ko) * 2003-09-12 2012-06-26 칼 짜이스 에스엠티 게엠베하 마이크로리소그래피 투사 노출 장치용 조명 시스템
DE10343333A1 (de) * 2003-09-12 2005-04-14 Carl Zeiss Smt Ag Beleuchtungssystem für eine Mikrolithographie-Projektionsbelichtungsanlage
KR20060097711A (ko) * 2003-09-22 2006-09-14 오르보테크 엘티디. 칼라 필터의 직접 영상을 위한 시스템 및 방법
US7414701B2 (en) * 2003-10-03 2008-08-19 Asml Holding N.V. Method and systems for total focus deviation adjustments on maskless lithography systems
SG110196A1 (en) * 2003-09-22 2005-04-28 Asml Netherlands Bv Lithographic apparatus and device manufacturing method
US6876440B1 (en) 2003-09-30 2005-04-05 Asml Holding N.V. Methods and systems to compensate for a stitching disturbance of a printed pattern in a maskless lithography system utilizing overlap of exposure zones with attenuation of the aerial image in the overlap region
US7410736B2 (en) * 2003-09-30 2008-08-12 Asml Holding N.V. Methods and systems to compensate for a stitching disturbance of a printed pattern in a maskless lithography system not utilizing overlap of the exposure zones
US7023526B2 (en) * 2003-09-30 2006-04-04 Asml Holding N.V. Methods and systems to compensate for a stitching disturbance of a printed pattern in a maskless lithography system utilizing overlap without an explicit attenuation
US7003758B2 (en) * 2003-10-07 2006-02-21 Brion Technologies, Inc. System and method for lithography simulation
CN101419411B (zh) * 2003-10-07 2013-06-19 Asml荷兰有限公司 用于平板印刷仿真的系统和方法
US7109498B2 (en) * 2003-10-09 2006-09-19 Asml Netherlands B.V. Radiation source, lithographic apparatus, and device manufacturing method
US6894765B2 (en) * 2003-10-14 2005-05-17 Micron Technology, Inc. Methods and systems for controlling radiation beam characteristics for microlithographic processing
US8157389B2 (en) * 2003-11-01 2012-04-17 Silicon Quest Kabushiki-Kaisha Synchronous control system for light source and spatial light modulator employed in projection apparatus
US7196772B2 (en) * 2003-11-07 2007-03-27 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
DE10352040A1 (de) * 2003-11-07 2005-07-21 Carl Zeiss Sms Gmbh In Lage, Form und/oder den optischen Eigenschaften veränderbare Blenden-und/oder Filteranordnung für optische Geräte, insbesondere Mikroskope
US7116398B2 (en) * 2003-11-07 2006-10-03 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US7842926B2 (en) * 2003-11-12 2010-11-30 Micronic Laser Systems Ab Method and device for correcting SLM stamp image imperfections
WO2005047955A1 (en) 2003-11-12 2005-05-26 Micronic Laser Systems Ab Method and device for correcting slm stamp image imperfections
US7001232B2 (en) * 2003-12-11 2006-02-21 Montgomery Robert E Personal watercraft air intake assembly
US7110159B2 (en) * 2003-12-11 2006-09-19 Micronic Laser Systems Method and apparatus for patterning a workpiece and methods of manufacturing the same
US6995830B2 (en) * 2003-12-22 2006-02-07 Asml Netherlands B.V. Lithographic projection apparatus and device manufacturing method
US7184184B2 (en) * 2003-12-31 2007-02-27 Reliant Technologies, Inc. High speed, high efficiency optical pattern generator using rotating optical elements
US7012674B2 (en) * 2004-01-13 2006-03-14 Asml Holding N.V. Maskless optical writer
US6847461B1 (en) * 2004-01-29 2005-01-25 Asml Holding N.V. System and method for calibrating a spatial light modulator array using shearing interferometry
US7580559B2 (en) * 2004-01-29 2009-08-25 Asml Holding N.V. System and method for calibrating a spatial light modulator
JP4083751B2 (ja) * 2004-01-29 2008-04-30 エーエスエムエル ホールディング エヌ.ブイ. 空間光変調器アレイを較正するシステムおよび空間光変調器アレイを較正する方法
TW201809727A (zh) * 2004-02-06 2018-03-16 日商尼康股份有限公司 偏光變換元件
US7333260B2 (en) * 2004-08-09 2008-02-19 Stereo Display, Inc. Two-dimensional image projection system
US7898144B2 (en) * 2006-02-04 2011-03-01 Angstrom, Inc. Multi-step microactuator providing multi-step displacement to a controlled object
US7350922B2 (en) * 2004-02-13 2008-04-01 Angstrom, Inc. Three-dimensional display using variable focal length micromirror array lens
US7751694B2 (en) * 2004-02-13 2010-07-06 Angstrom, Inc. Three-dimensional endoscope imaging and display system
US8537204B2 (en) * 2004-07-08 2013-09-17 Gyoung Il Cho 3D television broadcasting system
US7382516B2 (en) * 2004-06-18 2008-06-03 Angstrom, Inc. Discretely controlled micromirror with multi-level positions
US7474454B2 (en) * 2004-06-18 2009-01-06 Angstrom, Inc. Programmable micromirror motion control system
US7330297B2 (en) * 2005-03-04 2008-02-12 Angstrom, Inc Fine control of rotation and translation of discretely controlled micromirror
US7580178B2 (en) * 2004-02-13 2009-08-25 Angstrom, Inc. Image-guided microsurgery system and method
US7190434B2 (en) * 2004-02-18 2007-03-13 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US7133118B2 (en) * 2004-02-18 2006-11-07 Asml Netherlands, B.V. Lithographic apparatus and device manufacturing method
JP2007522671A (ja) * 2004-02-25 2007-08-09 マイクロニック レーザー システムズ アクチボラゲット 光マスクレスリソグラフィにおいてパターンを露光し、マスクをエミュレートする方法
US7016014B2 (en) * 2004-02-27 2006-03-21 Asml Netherlands B.V Lithographic apparatus and device manufacturing method
US7081947B2 (en) * 2004-02-27 2006-07-25 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US7061586B2 (en) * 2004-03-02 2006-06-13 Asml Netherlands Bv Lithographic apparatus and device manufacturing method
US6967711B2 (en) * 2004-03-09 2005-11-22 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
USRE43515E1 (en) 2004-03-09 2012-07-17 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US7094506B2 (en) * 2004-03-09 2006-08-22 Asml Netherlands B.V Lithographic apparatus and device manufacturing method
US6999224B2 (en) * 2004-03-10 2006-02-14 Reflectivity, Inc Micromirror modulation method and digital apparatus with improved grayscale
US7339746B2 (en) * 2004-03-22 2008-03-04 Angstrom, Inc. Small and fast zoom system using micromirror array lens
US7768571B2 (en) * 2004-03-22 2010-08-03 Angstrom, Inc. Optical tracking system using variable focal length lens
US7410266B2 (en) * 2004-03-22 2008-08-12 Angstrom, Inc. Three-dimensional imaging system for robot vision
WO2005091068A1 (ja) * 2004-03-24 2005-09-29 Fuji Photo Film Co., Ltd. 画像形成方法、平版印刷版原版および平版印刷方法
JP4541010B2 (ja) * 2004-03-25 2010-09-08 財団法人国際科学振興財団 パターン露光装置および二次元光像発生装置
US7561251B2 (en) * 2004-03-29 2009-07-14 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
KR101101493B1 (ko) * 2004-03-30 2012-01-03 칼 짜이스 에스엠티 게엠베하 투영 대물렌즈, 투영 노광 장치 및 마이크로리소그래피용반사형 레티클
US7153616B2 (en) * 2004-03-31 2006-12-26 Asml Holding N.V. System and method for verifying and controlling the performance of a maskless lithography tool
US7053981B2 (en) * 2004-03-31 2006-05-30 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US8049776B2 (en) * 2004-04-12 2011-11-01 Angstrom, Inc. Three-dimensional camcorder
US7742232B2 (en) * 2004-04-12 2010-06-22 Angstrom, Inc. Three-dimensional imaging system
US7619614B2 (en) * 2004-04-12 2009-11-17 Angstrom, Inc. Three-dimensional optical mouse system
US20070115261A1 (en) * 2005-11-23 2007-05-24 Stereo Display, Inc. Virtual Keyboard input system using three-dimensional motion detection by variable focal length lens
US20070040924A1 (en) * 2005-08-19 2007-02-22 Stereo Display, Inc. Cellular phone camera with three-dimensional imaging function
US8057963B2 (en) * 2004-06-10 2011-11-15 Lsi Corporation Maskless vortex phase shift optical direct write lithography
US7002666B2 (en) * 2004-04-16 2006-02-21 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
JP2005311145A (ja) * 2004-04-23 2005-11-04 Canon Inc 露光装置、露光方法、デバイス製造方法、パターン形成装置および位置合わせ方法
US7372547B2 (en) * 2004-04-27 2008-05-13 Lsi Corporation Process and apparatus for achieving single exposure pattern transfer using maskless optical direct write lithography
US6963434B1 (en) * 2004-04-30 2005-11-08 Asml Holding N.V. System and method for calculating aerial image of a spatial light modulator
US20050243295A1 (en) * 2004-04-30 2005-11-03 Asml Netherlands B.V. Lithographic apparatus and device manufacturing
WO2005111926A1 (en) * 2004-05-18 2005-11-24 Silverbrook Research Pty Ltd Method and apparatus for security document tracking
WO2005111717A2 (en) * 2004-05-19 2005-11-24 Fujifilm Corporation Image recording method
US20050259269A1 (en) * 2004-05-19 2005-11-24 Asml Holding N.V. Shearing interferometer with dynamic pupil fill
US7242456B2 (en) 2004-05-26 2007-07-10 Asml Holdings N.V. System and method utilizing a lithography tool having modular illumination, pattern generator, and projection optics portions
US7667896B2 (en) 2004-05-27 2010-02-23 Angstrom, Inc. DVD recording and reproducing system
US7777959B2 (en) * 2004-05-27 2010-08-17 Angstrom, Inc. Micromirror array lens with fixed focal length
US7477403B2 (en) 2004-05-27 2009-01-13 Asml Netherlands B.V. Optical position assessment apparatus and method
US7354167B2 (en) 2004-05-27 2008-04-08 Angstrom, Inc. Beam focusing and scanning system using micromirror array lens
US6989886B2 (en) * 2004-06-08 2006-01-24 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US7123348B2 (en) * 2004-06-08 2006-10-17 Asml Netherlands B.V Lithographic apparatus and method utilizing dose control
US7345806B2 (en) * 2004-06-23 2008-03-18 Texas Instruments Incorporated Method and apparatus for characterizing microelectromechanical devices on wafers
EP1782409B1 (en) 2004-06-23 2011-09-07 Quin Media Arts and Sciences Inc. Sculptural imaging with optical tiles
US7016016B2 (en) * 2004-06-25 2006-03-21 Asml Netherlands Bv Lithographic apparatus and device manufacturing method
US7116403B2 (en) * 2004-06-28 2006-10-03 Asml Netherlands B.V Lithographic apparatus and device manufacturing method
US7116404B2 (en) * 2004-06-30 2006-10-03 Asml Netherlands B.V Lithographic apparatus and device manufacturing method
US7158208B2 (en) * 2004-06-30 2007-01-02 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US20060001890A1 (en) * 2004-07-02 2006-01-05 Asml Holding N.V. Spatial light modulator as source module for DUV wavefront sensor
US7573574B2 (en) * 2004-07-13 2009-08-11 Asml Netherlands Bv Lithographic apparatus and device manufacturing method
US20060012779A1 (en) * 2004-07-13 2006-01-19 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US7227613B2 (en) * 2004-07-26 2007-06-05 Asml Holding N.V. Lithographic apparatus having double telecentric illumination
US7335398B2 (en) * 2004-07-26 2008-02-26 Asml Holding N.V. Method to modify the spatial response of a pattern generator
US7259829B2 (en) * 2004-07-26 2007-08-21 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US7142286B2 (en) * 2004-07-27 2006-11-28 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US7251020B2 (en) * 2004-07-30 2007-07-31 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US7538855B2 (en) * 2004-08-10 2009-05-26 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US7102733B2 (en) 2004-08-13 2006-09-05 Asml Holding N.V. System and method to compensate for static and dynamic misalignments and deformations in a maskless lithography tool
US7500218B2 (en) * 2004-08-17 2009-03-03 Asml Netherlands B.V. Lithographic apparatus, method, and computer program product for generating a mask pattern and device manufacturing method using same
US7304718B2 (en) * 2004-08-17 2007-12-04 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
EP1789851A2 (en) * 2004-08-23 2007-05-30 Micronic Laser Systems Ab Pupil improvement of incoherent imaging systems for enhanced cd linearity
US7079225B2 (en) * 2004-09-14 2006-07-18 Asml Netherlands B.V Lithographic apparatus and device manufacturing method
JP4750396B2 (ja) * 2004-09-27 2011-08-17 キヤノン株式会社 露光装置及びデバイス製造方法
US7588868B2 (en) * 2004-10-06 2009-09-15 Cadence Design Systems, Inc. Method and system for reducing the impact of across-wafer variations on critical dimension measurements
US7177012B2 (en) * 2004-10-18 2007-02-13 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US7136210B2 (en) * 2004-10-21 2006-11-14 Hewlett-Packard Development Company, L.P. Light modulator
JP2006128194A (ja) * 2004-10-26 2006-05-18 Canon Inc 露光装置及びデバイス製造方法
US7388663B2 (en) 2004-10-28 2008-06-17 Asml Netherlands B.V. Optical position assessment apparatus and method
US7423732B2 (en) * 2004-11-04 2008-09-09 Asml Holding N.V. Lithographic apparatus and device manufacturing method utilizing placement of a patterning device at a pupil plane
US7457547B2 (en) * 2004-11-08 2008-11-25 Optium Australia Pty Limited Optical calibration system and method
US7619807B2 (en) * 2004-11-08 2009-11-17 Angstrom, Inc. Micromirror array lens with optical surface profiles
US7609362B2 (en) * 2004-11-08 2009-10-27 Asml Netherlands B.V. Scanning lithographic apparatus and device manufacturing method
US7489434B2 (en) 2007-05-02 2009-02-10 Angstrom, Inc. Hybrid micromirror array lens for reducing chromatic aberration
US7170584B2 (en) * 2004-11-17 2007-01-30 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US7061581B1 (en) * 2004-11-22 2006-06-13 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US7474384B2 (en) * 2004-11-22 2009-01-06 Asml Holding N.V. Lithographic apparatus, device manufacturing method, and a projection element for use in the lithographic apparatus
US7643192B2 (en) * 2004-11-24 2010-01-05 Asml Holding N.V. Pattern generator using a dual phase step element and method of using same
US7333177B2 (en) * 2004-11-30 2008-02-19 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US7713667B2 (en) * 2004-11-30 2010-05-11 Asml Holding N.V. System and method for generating pattern data used to control a pattern generator
US7365848B2 (en) * 2004-12-01 2008-04-29 Asml Holding N.V. System and method using visible and infrared light to align and measure alignment patterns on multiple layers
US7391499B2 (en) * 2004-12-02 2008-06-24 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US7362415B2 (en) * 2004-12-07 2008-04-22 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US7355677B2 (en) * 2004-12-09 2008-04-08 Asml Netherlands B.V. System and method for an improved illumination system in a lithographic apparatus
US7349068B2 (en) * 2004-12-17 2008-03-25 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US7180577B2 (en) * 2004-12-17 2007-02-20 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method utilizing a microlens array at an image plane
US7274502B2 (en) * 2004-12-22 2007-09-25 Asml Holding N.V. System, apparatus and method for maskless lithography that emulates binary, attenuating phase-shift and alternating phase-shift masks
US7256867B2 (en) * 2004-12-22 2007-08-14 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US7202939B2 (en) * 2004-12-22 2007-04-10 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US7391676B2 (en) * 2004-12-22 2008-06-24 Asml Netherlands B.V. Ultrasonic distance sensors
US7375795B2 (en) * 2004-12-22 2008-05-20 Asml Netherlands B.V. Lithographic apparatus, device manufacturing method, and device manufactured thereby
US7230677B2 (en) * 2004-12-22 2007-06-12 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method utilizing hexagonal image grids
US7538857B2 (en) * 2004-12-23 2009-05-26 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method utilizing a substrate handler
US7656506B2 (en) * 2004-12-23 2010-02-02 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method utilizing a substrate handler
US7426076B2 (en) * 2004-12-23 2008-09-16 Asml Holding N.V. Projection system for a lithographic apparatus
US7242458B2 (en) * 2004-12-23 2007-07-10 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method utilizing a multiple substrate carrier for flat panel display substrates
US20060138349A1 (en) * 2004-12-27 2006-06-29 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US7279110B2 (en) * 2004-12-27 2007-10-09 Asml Holding N.V. Method and apparatus for creating a phase step in mirrors used in spatial light modulator arrays
US7126672B2 (en) * 2004-12-27 2006-10-24 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US7317510B2 (en) * 2004-12-27 2008-01-08 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US7459247B2 (en) * 2004-12-27 2008-12-02 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US7756660B2 (en) * 2004-12-28 2010-07-13 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US7403865B2 (en) * 2004-12-28 2008-07-22 Asml Netherlands B.V. System and method for fault indication on a substrate in maskless applications
US7274029B2 (en) * 2004-12-28 2007-09-25 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US7145636B2 (en) * 2004-12-28 2006-12-05 Asml Netherlands Bv System and method for determining maximum operational parameters used in maskless applications
US7342644B2 (en) * 2004-12-29 2008-03-11 Asml Netherlands B.V. Methods and systems for lithographic beam generation
US7253881B2 (en) * 2004-12-29 2007-08-07 Asml Netherlands Bv Methods and systems for lithographic gray scaling
US7453645B2 (en) * 2004-12-30 2008-11-18 Asml Netherlands B.V. Spectral purity filter, lithographic apparatus including such a spectral purity filter, device manufacturing method, and device manufactured thereby
US7567368B2 (en) * 2005-01-06 2009-07-28 Asml Holding N.V. Systems and methods for minimizing scattered light in multi-SLM maskless lithography
US7469058B2 (en) * 2005-01-28 2008-12-23 Asml Holding N.V. Method and system for a maskless lithography rasterization technique based on global optimization
US7542013B2 (en) * 2005-01-31 2009-06-02 Asml Holding N.V. System and method for imaging enhancement via calculation of a customized optimal pupil field and illumination mode
US7460208B2 (en) * 2005-02-18 2008-12-02 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US7286137B2 (en) * 2005-02-28 2007-10-23 Asml Holding N.V. Method and system for constrained pixel graytones interpolation for pattern rasterization
US20060198011A1 (en) * 2005-03-04 2006-09-07 Stereo Display, Inc. Volumetric three-dimensional device using two-dimensional scanning device
US20060204859A1 (en) * 2005-03-09 2006-09-14 International Business Machines Corporation An extra dose trim mask, method of manufacture, and lithographic process using the same
US20060203117A1 (en) * 2005-03-10 2006-09-14 Stereo Display, Inc. Video monitoring system using variable focal length lens
US7499146B2 (en) * 2005-03-14 2009-03-03 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method, an integrated circuit, a flat panel display, and a method of compensating for cupping
US7812930B2 (en) * 2005-03-21 2010-10-12 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method using repeated patterns in an LCD to reduce datapath volume
US7209216B2 (en) * 2005-03-25 2007-04-24 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method utilizing dynamic correction for magnification and position in maskless lithography
US7403265B2 (en) * 2005-03-30 2008-07-22 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method utilizing data filtering
US7728956B2 (en) * 2005-04-05 2010-06-01 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method utilizing multiple die designs on a substrate using a data buffer that stores pattern variation data
US7456935B2 (en) * 2005-04-05 2008-11-25 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method utilizing a positioning device for positioning an object table
TWI427440B (zh) * 2005-04-06 2014-02-21 Kodak Graphic Comm Canada Co 用於校正影像化規則圖案的條帶之方法與裝置
JP4691653B2 (ja) * 2005-04-07 2011-06-01 国立大学法人東北大学 データ生成方法、データ生成装置、及びプログラム
US7330239B2 (en) * 2005-04-08 2008-02-12 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method utilizing a blazing portion of a contrast device
US7209217B2 (en) 2005-04-08 2007-04-24 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method utilizing plural patterning devices
US7221514B2 (en) * 2005-04-15 2007-05-22 Asml Netherlands B.V. Variable lens and exposure system
JP2008536331A (ja) * 2005-04-15 2008-09-04 マイクロニック レーザー システムズ アクチボラゲット 複数の露光ビームによるリソグラフィ・ツールのための方法
JP4686599B2 (ja) * 2005-04-15 2011-05-25 マイクロニック レーザー システムズ アクチボラゲット 画像強調技法
US20060244805A1 (en) * 2005-04-27 2006-11-02 Ming-Hsiang Yeh Multicolor pen
US7400382B2 (en) 2005-04-28 2008-07-15 Asml Holding N.V. Light patterning device using tilting mirrors in a superpixel form
US7738081B2 (en) * 2005-05-06 2010-06-15 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method utilizing a flat panel display handler with conveyor device and substrate handler
JP4570151B2 (ja) * 2005-05-06 2010-10-27 株式会社ナノシステムソリューションズ マスク製造方法
KR100815352B1 (ko) * 2005-05-12 2008-03-19 삼성전기주식회사 후단 렌즈계의 개구수가 개선된 광변조기를 이용한디스플레이 장치
US7477772B2 (en) * 2005-05-31 2009-01-13 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method utilizing 2D run length encoding for image data compression
US7197828B2 (en) * 2005-05-31 2007-04-03 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method utilizing FPD chuck Z position measurement
US7742148B2 (en) * 2005-06-08 2010-06-22 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method for writing a digital image
US7292317B2 (en) * 2005-06-08 2007-11-06 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method utilizing substrate stage compensating
JP4828870B2 (ja) * 2005-06-09 2011-11-30 株式会社東芝 評価パタンの作成方法およびプログラム
US7233384B2 (en) * 2005-06-13 2007-06-19 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method, and device manufactured thereby for calibrating an imaging system with a sensor
US7321416B2 (en) * 2005-06-15 2008-01-22 Asml Netherlands B.V. Lithographic apparatus, device manufacturing method, device manufactured thereby, and controllable patterning device utilizing a spatial light modulator with distributed digital to analog conversion
US7408617B2 (en) * 2005-06-24 2008-08-05 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method utilizing a large area FPD chuck equipped with encoders an encoder scale calibration method
US7965373B2 (en) * 2005-06-28 2011-06-21 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method utilizing a datapath having a balanced calculation load
US7522258B2 (en) * 2005-06-29 2009-04-21 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method utilizing movement of clean air to reduce contamination
US7307694B2 (en) * 2005-06-29 2007-12-11 Asml Netherlands B.V. Lithographic apparatus, radiation beam inspection device, method of inspecting a beam of radiation and device manufacturing method
US7209275B2 (en) 2005-06-30 2007-04-24 Asml Holding N.V. Method and system for maskless lithography real-time pattern rasterization and using computationally coupled mirrors to achieve optimum feature representation
US20070013889A1 (en) * 2005-07-12 2007-01-18 Asml Netherlands B.V. Lithographic apparatus, device manufacturing method and device manufactured thereby having an increase in depth of focus
US7251019B2 (en) * 2005-07-20 2007-07-31 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method utilizing a continuous light beam in combination with pixel grid imaging
US7446855B2 (en) * 2005-07-25 2008-11-04 Micron Technology, Inc Methods and apparatuses for configuring radiation in microlithographic processing of workpieces using an adjustment structure
US7283289B2 (en) * 2005-07-30 2007-10-16 Hewlett-Packard Development Company, L.P. Projection system modulator reducing distortion and field curvature effects of projection system lens
US20070041077A1 (en) * 2005-08-19 2007-02-22 Stereo Display, Inc. Pocket-sized two-dimensional image projection system
US7606430B2 (en) * 2005-08-30 2009-10-20 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method utilizing a multiple dictionary compression method for FPD
US20070046917A1 (en) * 2005-08-31 2007-03-01 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method that compensates for reticle induced CDU
JP2007114750A (ja) * 2005-09-09 2007-05-10 Asml Netherlands Bv 投影システム設計方法、リソグラフィー装置およびデバイス製造方法
JP4991729B2 (ja) * 2005-09-26 2012-08-01 マイクロニック レーザー システムズ アクチボラゲット 設計データの多数の形態に基づいたパターン生成のための方法及びシステム
JP5025157B2 (ja) * 2005-09-29 2012-09-12 大日本スクリーン製造株式会社 画像記録装置および画像記録方法
JP2007101687A (ja) * 2005-09-30 2007-04-19 Fujifilm Corp 描画装置、描画方法、データ構造及び記録媒体、並びに、データ処理装置及び処理方法
JP2007101730A (ja) * 2005-09-30 2007-04-19 Fujifilm Corp 画像露光装置
US7830493B2 (en) * 2005-10-04 2010-11-09 Asml Netherlands B.V. System and method for compensating for radiation induced thermal distortions in a substrate or projection system
US7391503B2 (en) * 2005-10-04 2008-06-24 Asml Netherlands B.V. System and method for compensating for thermal expansion of lithography apparatus or substrate
US7332733B2 (en) * 2005-10-05 2008-02-19 Asml Netherlands B.V. System and method to correct for field curvature of multi lens array
US7492450B2 (en) * 2005-10-24 2009-02-17 General Electric Company Methods and apparatus for inspecting an object
CN100362387C (zh) * 2005-11-18 2008-01-16 重庆大学 静电简支梁式干涉光调制器
US20070127005A1 (en) * 2005-12-02 2007-06-07 Asml Holding N.V. Illumination system
US7626181B2 (en) * 2005-12-09 2009-12-01 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US20070133007A1 (en) * 2005-12-14 2007-06-14 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method using laser trimming of a multiple mirror contrast device
US7440078B2 (en) * 2005-12-20 2008-10-21 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method using interferometric and maskless exposure units
US20070153249A1 (en) * 2005-12-20 2007-07-05 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method using multiple exposures and multiple exposure types
US7466394B2 (en) * 2005-12-21 2008-12-16 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method using a compensation scheme for a patterning array
US7528932B2 (en) * 2005-12-21 2009-05-05 Micronic Laser Systems Ab SLM direct writer
US7532403B2 (en) * 2006-02-06 2009-05-12 Asml Holding N.V. Optical system for transforming numerical aperture
JP4495104B2 (ja) * 2006-03-28 2010-06-30 エーエスエムエル ネザーランズ ビー.ブイ. 可変式照明源
US7528933B2 (en) * 2006-04-06 2009-05-05 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method utilizing a MEMS mirror with large deflection using a non-linear spring arrangement
US7508491B2 (en) * 2006-04-12 2009-03-24 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method utilized to reduce quantization influence of datapath SLM interface to dose uniformity
US7839487B2 (en) * 2006-04-13 2010-11-23 Asml Holding N.V. Optical system for increasing illumination efficiency of a patterning device
US7948606B2 (en) * 2006-04-13 2011-05-24 Asml Netherlands B.V. Moving beam with respect to diffractive optics in order to reduce interference patterns
JP2007286311A (ja) * 2006-04-17 2007-11-01 Matsushita Electric Ind Co Ltd 波面変換装置、および光学装置
DE102006019963B4 (de) * 2006-04-28 2023-12-07 Envisiontec Gmbh Vorrichtung und Verfahren zur Herstellung eines dreidimensionalen Objekts durch schichtweises Verfestigen eines unter Einwirkung von elektromagnetischer Strahlung verfestigbaren Materials mittels Maskenbelichtung
DE102006020734A1 (de) * 2006-05-04 2007-11-15 Carl Zeiss Smt Ag Beleuchtungssystem für die EUV-Lithographie sowie erstes und zweites optisches Element zum Einsatz in einem derartigen Beleuchtungssystem
US8264667B2 (en) * 2006-05-04 2012-09-11 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method using interferometric and other exposure
US9736346B2 (en) 2006-05-09 2017-08-15 Stereo Display, Inc Imaging system improving image resolution of the system with low resolution image sensor
US8934084B2 (en) * 2006-05-31 2015-01-13 Asml Holding N.V. System and method for printing interference patterns having a pitch in a lithography system
US7728954B2 (en) * 2006-06-06 2010-06-01 Asml Netherlands B.V. Reflective loop system producing incoherent radiation
US8052289B2 (en) * 2006-06-07 2011-11-08 Asml Netherlands B.V. Mirror array for lithography
US7649676B2 (en) * 2006-06-14 2010-01-19 Asml Netherlands B.V. System and method to form unpolarized light
US7936445B2 (en) * 2006-06-19 2011-05-03 Asml Netherlands B.V. Altering pattern data based on measured optical element characteristics
US8896808B2 (en) * 2006-06-21 2014-11-25 Asml Netherlands B.V. Lithographic apparatus and method
US7697115B2 (en) * 2006-06-23 2010-04-13 Asml Holding N.V. Resonant scanning mirror
US7593094B2 (en) * 2006-06-26 2009-09-22 Asml Netherlands B.V. Patterning device
US20080002174A1 (en) * 2006-06-30 2008-01-03 Asml Netherlands B.V. Control system for pattern generator in maskless lithography
US7630136B2 (en) 2006-07-18 2009-12-08 Asml Holding N.V. Optical integrators for lithography systems and methods
JP5180446B2 (ja) * 2006-07-20 2013-04-10 株式会社ナノシステムソリューションズ 露光装置及び露光方法
US7548315B2 (en) 2006-07-27 2009-06-16 Asml Netherlands B.V. System and method to compensate for critical dimension non-uniformity in a lithography system
US7738077B2 (en) * 2006-07-31 2010-06-15 Asml Netherlands B.V. Patterning device utilizing sets of stepped mirrors and method of using same
US7365899B2 (en) * 2006-08-10 2008-04-29 Angstrom, Inc. Micromirror with multi-axis rotation and translation
TWI345748B (en) * 2006-09-05 2011-07-21 Chunghwa Picture Tubes Ltd Thin film transistor liquid crystal display
US7626182B2 (en) * 2006-09-05 2009-12-01 Asml Netherlands B.V. Radiation pulse energy control system, lithographic apparatus and device manufacturing method
US7628875B2 (en) * 2006-09-12 2009-12-08 Asml Netherlands B.V. MEMS device and assembly method
US8049865B2 (en) * 2006-09-18 2011-11-01 Asml Netherlands B.V. Lithographic system, device manufacturing method, and mask optimization method
US7589885B2 (en) * 2006-09-22 2009-09-15 Angstrom, Inc. Micromirror array device comprising encapsulated reflective metal layer and method of making the same
US7589884B2 (en) * 2006-09-22 2009-09-15 Angstrom, Inc. Micromirror array lens with encapsulation of reflective metal layer and method of making the same
KR100816494B1 (ko) * 2006-10-09 2008-03-24 엘지전자 주식회사 마스크리스 노광기 및 이를 이용한 표시장치용 기판의 제조방법
US7683300B2 (en) * 2006-10-17 2010-03-23 Asml Netherlands B.V. Using an interferometer as a high speed variable attenuator
US20080121939A1 (en) * 2006-11-06 2008-05-29 Michael Murray Methods of automatically generating dummy fill having reduced storage size
US20080111977A1 (en) * 2006-11-14 2008-05-15 Asml Holding N.V. Compensation techniques for fluid and magnetic bearings
US7453551B2 (en) * 2006-11-14 2008-11-18 Asml Netherlands B.V. Increasing pulse-to-pulse radiation beam uniformity
JP4937705B2 (ja) * 2006-11-14 2012-05-23 株式会社オーク製作所 多重露光装置
US7738079B2 (en) * 2006-11-14 2010-06-15 Asml Netherlands B.V. Radiation beam pulse trimming
US8054449B2 (en) * 2006-11-22 2011-11-08 Asml Holding N.V. Enhancing the image contrast of a high resolution exposure tool
US7488082B2 (en) 2006-12-12 2009-02-10 Angstrom, Inc. Discretely controlled micromirror array device with segmented electrodes
US8259285B2 (en) * 2006-12-14 2012-09-04 Asml Holding N.V. Lithographic system, device manufacturing method, setpoint data optimization method, and apparatus for producing optimized setpoint data
US7969384B2 (en) * 2006-12-27 2011-06-28 Silicon Quest Kabushiki Kaisha Deformable micromirror device
US8749463B2 (en) 2007-01-19 2014-06-10 Hamamatsu Photonics K.K. Phase-modulating apparatus
CN100456141C (zh) * 2007-01-23 2009-01-28 上海微电子装备有限公司 批量硅片曝光的方法
JP5211487B2 (ja) * 2007-01-25 2013-06-12 株式会社ニコン 露光方法及び露光装置並びにマイクロデバイスの製造方法
US7965378B2 (en) * 2007-02-20 2011-06-21 Asml Holding N.V Optical system and method for illumination of reflective spatial light modulators in maskless lithography
US7705309B1 (en) * 2007-02-27 2010-04-27 Agiltron Corporation Radiation detector with extended dynamic range
US7535618B2 (en) * 2007-03-12 2009-05-19 Angstrom, Inc. Discretely controlled micromirror device having multiple motions
US8009269B2 (en) 2007-03-14 2011-08-30 Asml Holding N.V. Optimal rasterization for maskless lithography
US8009270B2 (en) * 2007-03-22 2011-08-30 Asml Netherlands B.V. Uniform background radiation in maskless lithography
US20080259304A1 (en) * 2007-04-20 2008-10-23 Asml Netherlands B.V. Lithographic apparatus and method
WO2008131928A1 (en) * 2007-04-25 2008-11-06 Carl Zeiss Smt Ag Illumination system for illuminating a mask in a microlithographic exposure apparatus
BRPI0813162A2 (pt) * 2007-04-30 2015-02-18 Koninkl Philips Electronics Nv Sistema de iluminação em estado sólido modular, e, módulo de controle escravo para uso no mesmo
US8237913B2 (en) * 2007-05-08 2012-08-07 Asml Netherlands B.V. Lithographic apparatus and method
US20080278698A1 (en) * 2007-05-08 2008-11-13 Asml Netherlands B.V. Lithographic apparatus and method
US7714986B2 (en) * 2007-05-24 2010-05-11 Asml Netherlands B.V. Laser beam conditioning system comprising multiple optical paths allowing for dose control
US20080304034A1 (en) * 2007-06-07 2008-12-11 Asml Netherlands B.V. Dose control for optical maskless lithography
US9505606B2 (en) * 2007-06-13 2016-11-29 Angstrom, Inc. MEMS actuator with discretely controlled multiple motions
KR20080109409A (ko) * 2007-06-13 2008-12-17 삼성전자주식회사 투사형 디스플레이장치 및 그에 적용된 디스플레이방법
US8189172B2 (en) * 2007-06-14 2012-05-29 Asml Netherlands B.V. Lithographic apparatus and method
US8692974B2 (en) * 2007-06-14 2014-04-08 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method using pupil filling by telecentricity control
US7768627B2 (en) * 2007-06-14 2010-08-03 Asml Netherlands B.V. Illumination of a patterning device based on interference for use in a maskless lithography system
TWI443472B (zh) * 2007-07-13 2014-07-01 尼康股份有限公司 Pattern forming method and apparatus, exposure method and apparatus, and component manufacturing method and element
US7605988B2 (en) * 2007-07-23 2009-10-20 Angstrom, Inc. Compact image taking lens system with a lens-surfaced prism
US7589916B2 (en) * 2007-08-10 2009-09-15 Angstrom, Inc. Micromirror array with iris function
US7838178B2 (en) 2007-08-13 2010-11-23 Micron Technology, Inc. Masks for microlithography and methods of making and using such masks
DE102007038999A1 (de) * 2007-08-17 2009-02-19 Punch Graphix Prepress Germany Gmbh Verfahren zur Steigerung des Durchsatzes und zur Reduzierung der Bewegungsunschärfe
US7755121B2 (en) * 2007-08-23 2010-07-13 Aptina Imaging Corp. Imagers, apparatuses and systems utilizing pixels with improved optical resolution and methods of operating the same
CN101796460B (zh) 2007-08-30 2013-05-01 卡尔蔡司Smt有限责任公司 微光刻投射曝光设备中用于照明掩模的照明系统
US8111380B2 (en) * 2007-09-14 2012-02-07 Luminescent Technologies, Inc. Write-pattern determination for maskless lithography
JP5267029B2 (ja) * 2007-10-12 2013-08-21 株式会社ニコン 照明光学装置、露光装置及びデバイスの製造方法
CN101681123B (zh) * 2007-10-16 2013-06-12 株式会社尼康 照明光学系统、曝光装置以及元件制造方法
US8379187B2 (en) * 2007-10-24 2013-02-19 Nikon Corporation Optical unit, illumination optical apparatus, exposure apparatus, and device manufacturing method
KR20180072841A (ko) * 2007-11-06 2018-06-29 가부시키가이샤 니콘 조명 광학계, 노광 장치 및 노광 방법
JP5326259B2 (ja) * 2007-11-08 2013-10-30 株式会社ニコン 照明光学装置、露光装置、およびデバイス製造方法
JP5270142B2 (ja) 2007-12-05 2013-08-21 浜松ホトニクス株式会社 反射型空間光変調素子
JP5063320B2 (ja) * 2007-12-11 2012-10-31 株式会社ニューフレアテクノロジー 描画装置及び描画データの変換方法
KR20100105649A (ko) * 2007-12-17 2010-09-29 가부시키가이샤 니콘 공간 광 변조 유닛, 조명 광학계, 노광 장치, 및 디바이스 제조 방법
EP2238513B1 (en) 2007-12-21 2011-11-02 Carl Zeiss SMT GmbH Illumination method
JP5639894B2 (ja) 2007-12-21 2014-12-10 カール・ツァイス・エスエムティー・ゲーエムベーハー マイクロリソグラフィ投影露光装置用の照明系
US20090185067A1 (en) * 2007-12-21 2009-07-23 Stereo Display, Inc. Compact automatic focusing camera
US8109638B2 (en) * 2008-01-22 2012-02-07 Alcatel Lucent Diffuser configuration for an image projector
US8247999B2 (en) 2008-01-22 2012-08-21 Alcatel Lucent Time division multiplexing a DC-to-DC voltage converter
US8129669B2 (en) 2008-01-22 2012-03-06 Alcatel Lucent System and method generating multi-color light for image display having a controller for temporally interleaving the first and second time intervals of directed first and second light beams
GB0802944D0 (en) * 2008-02-19 2008-03-26 Rumsby Philip T Apparatus for laser processing the opposite sides of thin panels
US8810908B2 (en) * 2008-03-18 2014-08-19 Stereo Display, Inc. Binoculars with micromirror array lenses
US8077377B2 (en) * 2008-04-24 2011-12-13 Micronic Mydata AB Spatial light modulator with structured mirror surfaces
US8622557B2 (en) * 2008-05-20 2014-01-07 Stereo Display, Inc. Micromirror array lens with self-tilted micromirrors
US20090303569A1 (en) * 2008-05-20 2009-12-10 Stereo Didplay, Inc. Self-tilted micromirror device
US8300263B2 (en) * 2008-06-06 2012-10-30 Eastman Kodak Company Forming images with minimum feature sizes
US7971961B2 (en) * 2008-06-06 2011-07-05 Eastman Kodak Company Forming images with stitched swaths
US20100020331A1 (en) * 2008-07-25 2010-01-28 Micronic Laser Systems Ab Laser interferometer systems and methods with suppressed error and pattern generators having the same
JP5253037B2 (ja) * 2008-08-18 2013-07-31 株式会社日立ハイテクノロジーズ 露光装置、露光方法、及び表示用パネル基板の製造方法
JP5355576B2 (ja) * 2008-08-26 2013-11-27 浜松ホトニクス株式会社 レーザ加工装置およびレーザ加工方法
JPWO2010024106A1 (ja) * 2008-08-28 2012-01-26 株式会社ニコン 照明光学系、露光装置、およびデバイス製造方法
KR101560617B1 (ko) * 2008-09-10 2015-10-16 삼성전자주식회사 광 발생 장치 및 그 제어 방법
DE102008048660B4 (de) * 2008-09-22 2015-06-18 Carl Zeiss Sms Gmbh Verfahren und Vorrichtung zur Vermessung von Strukturen auf Photolithographiemasken
US8390781B2 (en) 2008-09-23 2013-03-05 Pinebrook Imaging Technology, Ltd. Optical imaging writer system
US8390786B2 (en) * 2008-09-23 2013-03-05 Pinebrook Imaging Technology, Ltd. Optical imaging writer system
US8395752B2 (en) 2008-09-23 2013-03-12 Pinebrook Imaging Technology, Ltd. Optical imaging writer system
US8253923B1 (en) 2008-09-23 2012-08-28 Pinebrook Imaging Technology, Ltd. Optical imaging writer system
US8670106B2 (en) * 2008-09-23 2014-03-11 Pinebrook Imaging, Inc. Optical imaging writer system
NL2003364A (en) * 2008-09-26 2010-03-29 Asml Netherlands Bv Lithographic apparatus and method.
JP5376494B2 (ja) * 2008-10-08 2013-12-25 大日本スクリーン製造株式会社 描画装置および描画方法
US8048359B2 (en) 2008-10-20 2011-11-01 3D Systems, Inc. Compensation of actinic radiation intensity profiles for three-dimensional modelers
NL2003449A (en) * 2008-10-28 2010-04-29 Asml Netherlands Bv Fly's eye integrator, illuminator, lithographic apparatus and method.
DE102009020320A1 (de) * 2008-11-19 2010-05-20 Heidelberg Instruments Mikrotechnik Gmbh Verfahren und Vorrichtung zur Steigerung der Auflösung und/oder der Geschwindigkeit von Belichtungssystemen
DE102008054844B4 (de) * 2008-12-17 2010-09-23 Carl Zeiss Smt Ag Beleuchtungseinrichtung einer mikrolithographischen Projektionsbelichtungsanlage, sowie mikrolithographisches Projektionsbelichtungsverfahren
EP2202580B1 (en) * 2008-12-23 2011-06-22 Carl Zeiss SMT GmbH Illumination system of a microlithographic projection exposure apparatus
WO2010092189A1 (en) 2009-02-16 2010-08-19 Micronic Laser Systems Ab Reconfigurable micro-mechanical light modulator and method
JP5209544B2 (ja) * 2009-03-04 2013-06-12 大日本スクリーン製造株式会社 描画装置、描画装置用のデータ処理装置、および描画装置用の描画データ生成方法
RU2473936C2 (ru) * 2009-04-02 2013-01-27 Аслан Хаджимуратович Абдуев Экран и оптический коммутатор
US8610986B2 (en) * 2009-04-06 2013-12-17 The Board Of Trustees Of The University Of Illinois Mirror arrays for maskless photolithography and image display
US8226241B2 (en) 2009-05-15 2012-07-24 Alcatel Lucent Image projector employing a speckle-reducing laser source
KR101636523B1 (ko) * 2009-05-20 2016-07-06 마퍼 리쏘그라피 아이피 비.브이. 듀얼 패스 스캐닝
WO2011025724A1 (en) * 2009-08-27 2011-03-03 Dolby Laboratories Licensing Corporation Optical mixing and shaping system for display backlights and displays incorporating the same
US20120244723A1 (en) * 2009-09-18 2012-09-27 Applied Materials, Inc. Laser drilling of vias in back contact solar cells
JP5393406B2 (ja) * 2009-11-06 2014-01-22 オリンパス株式会社 パターン投影装置、走査型共焦点顕微鏡、及びパターン照射方法
US9511448B2 (en) * 2009-12-30 2016-12-06 Resonetics, LLC Laser machining system and method for machining three-dimensional objects from a plurality of directions
JP5481400B2 (ja) * 2010-01-15 2014-04-23 株式会社日立ハイテクノロジーズ マイクロミラーデバイスの選別方法、マイクロミラーデバイス選別装置およびマスクレス露光装置
JP5446930B2 (ja) 2010-01-27 2014-03-19 東洋インキScホールディングス株式会社 インクジェットインキ受容層形成用コート剤、それを用いた記録媒体及び印刷物
KR101653213B1 (ko) * 2010-02-19 2016-09-02 삼성디스플레이 주식회사 디지털 노광 방법 및 이를 수행하기 위한 디지털 노광 장치
US8539395B2 (en) 2010-03-05 2013-09-17 Micronic Laser Systems Ab Method and apparatus for merging multiple geometrical pixel images and generating a single modulator pixel image
JP2011199279A (ja) * 2010-03-18 2011-10-06 Ims Nanofabrication Ag ターゲット上へのマルチビーム露光のための方法
DE102010029651A1 (de) 2010-06-02 2011-12-08 Carl Zeiss Smt Gmbh Verfahren zum Betrieb einer Projektionsbelichtungsanlage für die Mikrolithographie mit Korrektur von durch rigorose Effekte der Maske induzierten Abbildungsfehlern
WO2012013227A1 (en) * 2010-07-28 2012-02-02 Carl Zeiss Smt Gmbh Facet mirror device
US9599906B2 (en) * 2010-09-27 2017-03-21 Nikon Corporation Method for driving spatial light modulator, method for forming pattern for exposure, exposure method, and exposure apparatus
US8413084B2 (en) 2010-10-04 2013-04-02 International Business Machines Corporation Photomask throughput by reducing exposure shot count for non-critical elements
KR101906538B1 (ko) * 2010-12-07 2018-10-10 마이크로닉 아베 교차 기입 전략
JP5880443B2 (ja) 2010-12-13 2016-03-09 株式会社ニコン 露光方法、露光装置、及びデバイス製造方法
US8492074B2 (en) * 2011-01-05 2013-07-23 Laurie A. Bryant Method of improving print performance in flexographic printing plates
WO2012150263A1 (en) * 2011-05-03 2012-11-08 Stichting Dutch Polymer Institute Method for controlling deposition
JP5346356B2 (ja) * 2011-05-30 2013-11-20 エーエスエムエル ネザーランズ ビー.ブイ. リソグラフィ装置及びデバイス製造方法
JP5722136B2 (ja) * 2011-06-30 2015-05-20 株式会社Screenホールディングス パターン描画装置およびパターン描画方法
CN103782240A (zh) * 2011-07-11 2014-05-07 迈普尔平版印刷Ip有限公司 用于存储目标的位置数据的光刻系统和方法
US8653454B2 (en) 2011-07-13 2014-02-18 Luminescent Technologies, Inc. Electron-beam image reconstruction
KR20200043533A (ko) 2011-09-02 2020-04-27 가부시키가이샤 니콘 공간 광 변조기의 검사 방법 및 장치, 및 노광 방법 및 장치
US8691476B2 (en) 2011-12-16 2014-04-08 Taiwan Semiconductor Manufacturing Company, Ltd. EUV mask and method for forming the same
JP2013193110A (ja) * 2012-03-21 2013-09-30 Sumitomo Heavy Ind Ltd レーザ加工装置及びレーザ加工方法
JP5952391B2 (ja) 2012-04-23 2016-07-13 キヤノン電子株式会社 光走査装置及び画像読取装置
DE102012207220A1 (de) 2012-04-30 2013-10-31 Robert Bosch Gmbh Verfahren zur Bearbeitung eines Werkstücks mit Laserstrahlung
US10149390B2 (en) * 2012-08-27 2018-12-04 Mycronic AB Maskless writing of a workpiece using a plurality of exposures having different focal planes using multiple DMDs
US9261793B2 (en) 2012-09-14 2016-02-16 Globalfoundries Inc. Image optimization using pupil filters in projecting printing systems with fixed or restricted illumination angular distribution
CN102914949B (zh) * 2012-09-17 2015-12-09 天津芯硕精密机械有限公司 一种用于扫描式无掩膜光刻机倾斜slm曝光的数据处理方法
JP2014066954A (ja) * 2012-09-27 2014-04-17 Dainippon Screen Mfg Co Ltd 描画装置、および、描画方法
SG11201503354XA (en) 2012-10-29 2015-06-29 Univ Northwestern Heat actuated and projected lithography systems and methods
RU2515672C1 (ru) * 2012-12-18 2014-05-20 Федеральное государственное бюджетное образовательное учреждение высшего профессионального образования "Санкт-Петербургский национальный исследовательский университет информационных технологий, механики и оптики" (НИУ ИТМО) Способ изготовления микрооптического растра
KR101984898B1 (ko) 2012-12-27 2019-06-03 삼성디스플레이 주식회사 마스크리스 노광 장치를 이용한 표시 장치의 제조 방법 및 그 표시 장치
EP2757571B1 (en) * 2013-01-17 2017-09-20 IMS Nanofabrication AG High-voltage insulation device for charged-particle optical apparatus
KR102112751B1 (ko) * 2013-02-01 2020-05-19 삼성디스플레이 주식회사 레이저 빔을 이용한 마스크 제조 방법 및 마스크 제조 장치
WO2014140047A2 (en) 2013-03-12 2014-09-18 Micronic Mydata AB Method and device for writing photomasks with reduced mura errors
WO2014140046A2 (en) 2013-03-12 2014-09-18 Micronic Mydata AB Mechanically produced alignment fiducial method and device
KR102171301B1 (ko) 2013-07-09 2020-10-29 삼성디스플레이 주식회사 Dmd를 이용한 디지털 노광기 및 그 제어 방법
JP2015023286A (ja) 2013-07-17 2015-02-02 アイエムエス ナノファブリケーション アーゲー 複数のブランキングアレイを有するパターン画定装置
EP2830083B1 (en) 2013-07-25 2016-05-04 IMS Nanofabrication AG Method for charged-particle multi-beam exposure
CN103424996B (zh) * 2013-09-03 2016-03-02 苏州大学 一种光学加工系统和方法
CN110286484A (zh) * 2013-10-20 2019-09-27 Mtt创新公司 光场投影装置及方法
JP6676527B6 (ja) * 2013-11-27 2020-05-20 東京エレクトロン株式会社 光学投影を使用する基板チューニングシステム及び方法
US9645391B2 (en) * 2013-11-27 2017-05-09 Tokyo Electron Limited Substrate tuning system and method using optical projection
DE102014203040A1 (de) 2014-02-19 2015-08-20 Carl Zeiss Smt Gmbh Beleuchtungssystem einer mikrolithographischen Projektionsbelichtungsanlage und Verfahren zum Betreiben eines solchen
EP2913838B1 (en) 2014-02-28 2018-09-19 IMS Nanofabrication GmbH Compensation of defective beamlets in a charged-particle multi-beam exposure tool
JP2015184480A (ja) * 2014-03-24 2015-10-22 古河電気工業株式会社 光信号選択装置および光信号選択装置の制御方法
EP2927945B1 (en) * 2014-04-04 2023-05-31 Nordson Corporation X-ray inspection apparatus for inspecting semiconductor wafers
CN103926803B (zh) * 2014-04-21 2016-03-09 中国科学院上海光学精密机械研究所 光刻机照明光源的描述方法
EP2937889B1 (en) 2014-04-25 2017-02-15 IMS Nanofabrication AG Multi-beam tool for cutting patterns
EP2944413A1 (de) * 2014-05-12 2015-11-18 Boegli-Gravures S.A. Vorrichtung zur Maskenprojektion von Femtosekunden- und Pikosekunden- Laserstrahlen mit einer Blende, einer Maske und Linsensystemen
JP6788504B2 (ja) 2014-05-15 2020-11-25 エムティティ イノベーション インコーポレイテッドMtt Innovation Incorporated マルチプルプロジェクタシステムのための駆動スキームの最適化
EP3358599B1 (en) 2014-05-30 2021-01-27 IMS Nanofabrication GmbH Compensation of dose inhomogeneity using row calibration
JP6890373B2 (ja) 2014-07-10 2021-06-18 アイエムエス ナノファブリケーション ゲーエムベーハー 畳み込みカーネルを使用する粒子ビーム描画機における結像偏向の補償
US9568907B2 (en) 2014-09-05 2017-02-14 Ims Nanofabrication Ag Correction of short-range dislocations in a multi-beam writer
KR20160046016A (ko) * 2014-10-17 2016-04-28 삼성디스플레이 주식회사 마스크리스 노광 장치 및 이를 이용한 누적 조도 보정 방법
JP6474995B2 (ja) 2014-11-11 2019-02-27 ローランドディー.ジー.株式会社 スライスデータ作成装置、スライスデータ作成方法、プログラムおよびコンピューター読み取り可能な記録媒体
DE102015201140A1 (de) * 2015-01-23 2016-07-28 Fraunhofer-Gesellschaft zur Förderung der angewandten Forschung e.V. Bearbeitungskopf für die Materialbearbeitung
JP6513980B2 (ja) * 2015-03-16 2019-05-15 株式会社東芝 撮像装置及び撮像方法
US9653263B2 (en) 2015-03-17 2017-05-16 Ims Nanofabrication Ag Multi-beam writing of pattern areas of relaxed critical dimension
EP3096342B1 (en) 2015-03-18 2017-09-20 IMS Nanofabrication AG Bi-directional double-pass multi-beam writing
JP6593623B2 (ja) * 2015-03-30 2019-10-23 株式会社ニコン 空間光変調器の設定方法、駆動データの作成方法、露光装置、露光方法、およびデバイス製造方法
DE102015217523B4 (de) 2015-04-28 2022-03-31 Fraunhofer-Gesellschaft zur Förderung der angewandten Forschung e.V. Verfahren zur lokal definierten Bearbeitung an Oberflächen von Werkstücken mittels Laserlicht
US10410831B2 (en) 2015-05-12 2019-09-10 Ims Nanofabrication Gmbh Multi-beam writing using inclined exposure stripes
DE102016204703B4 (de) * 2016-03-22 2022-08-04 Fraunhofer-Gesellschaft zur Förderung der angewandten Forschung e.V. Vorrichtung und Verfahren zur Erzeugung eines optischen Musters aus Bildpunkten in einer Bildebene
US10325756B2 (en) 2016-06-13 2019-06-18 Ims Nanofabrication Gmbh Method for compensating pattern placement errors caused by variation of pattern exposure density in a multi-beam writer
JP6818393B2 (ja) * 2016-09-01 2021-01-20 株式会社オーク製作所 露光装置
US10799998B2 (en) * 2016-10-17 2020-10-13 Virtek Vision International Ulc Laser projector with flash alignment
WO2018125935A1 (en) * 2016-12-27 2018-07-05 DePuy Synthes Products, Inc. Systems, methods, and devices for providing illumination in an endoscopic imaging environment
US10325757B2 (en) 2017-01-27 2019-06-18 Ims Nanofabrication Gmbh Advanced dose-level quantization of multibeam-writers
EP3598236A4 (en) * 2017-03-16 2021-01-20 Nikon Corporation CONTROL DEVICE AND CONTROL PROCESS, EXPOSURE DEVICE AND EXPOSURE PROCESS, DEVICE MANUFACTURING PROCESS, DATA PRODUCTION PROCESS AND PROGRAM
GB2560584B (en) * 2017-03-17 2021-05-19 Optalysys Ltd Optical processing systems
US10522329B2 (en) 2017-08-25 2019-12-31 Ims Nanofabrication Gmbh Dose-related feature reshaping in an exposure pattern to be exposed in a multi beam writing apparatus
CN109426091B (zh) * 2017-08-31 2021-01-29 京东方科技集团股份有限公司 曝光装置、曝光方法及光刻方法
US11569064B2 (en) 2017-09-18 2023-01-31 Ims Nanofabrication Gmbh Method for irradiating a target using restricted placement grids
CN107728312A (zh) 2017-10-24 2018-02-23 上海天马微电子有限公司 一种空间光调制器及显示装置
US10651010B2 (en) 2018-01-09 2020-05-12 Ims Nanofabrication Gmbh Non-linear dose- and blur-dependent edge placement correction
US10840054B2 (en) 2018-01-30 2020-11-17 Ims Nanofabrication Gmbh Charged-particle source and method for cleaning a charged-particle source using back-sputtering
JP7260959B2 (ja) * 2018-03-16 2023-04-19 キヤノン株式会社 リソグラフィ装置、照明装置及び物品の製造方法
US10983444B2 (en) 2018-04-26 2021-04-20 Applied Materials, Inc. Systems and methods of using solid state emitter arrays
US10761430B2 (en) * 2018-09-13 2020-09-01 Applied Materials, Inc. Method to enhance the resolution of maskless lithography while maintaining a high image contrast
JP7283893B2 (ja) * 2018-12-03 2023-05-30 株式会社エスケーエレクトロニクス フォトマスクの製造方法
US11679555B2 (en) 2019-02-21 2023-06-20 Sprintray, Inc. Reservoir with substrate assembly for reducing separation forces in three-dimensional printing
US11099482B2 (en) 2019-05-03 2021-08-24 Ims Nanofabrication Gmbh Adapting the duration of exposure slots in multi-beam writers
CN110456609B (zh) * 2019-08-09 2021-04-09 中国科学院光电技术研究所 一种适用于无掩模数字光刻的邻近效应校正方法
CN113050381B (zh) * 2019-12-27 2022-04-26 上海微电子装备(集团)股份有限公司 一种拼接物镜的剂量控制装置、方法和曝光设备
KR20210132599A (ko) 2020-04-24 2021-11-04 아이엠에스 나노패브릭케이션 게엠베하 대전 입자 소스
WO2022033701A1 (en) 2020-08-14 2022-02-17 Christian Pflaum Method of and device for high-speed recording data on or in a layer (10) of a first material using a laser, a galvanometer and a digital micromirror
SE545314C2 (en) * 2021-03-30 2023-06-27 Sense Range Ab Method and apparatus for laser beam mudulation and beam steering
DE102021108339B4 (de) 2021-04-01 2023-12-07 Hochschule Anhalt, Körperschaft des öffentlichen Rechts Lichtfeld-Display, Verfahren, Computerprogramm und Vorrichtung zum Kalibrieren eines solchen Lichtfeld-Displays
CN113210873B (zh) * 2021-06-03 2022-04-05 北京理工大学 一种基于电子动态调控的金属纳米网的制备方法

Family Cites Families (65)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
FR2030468A5 (zh) 1969-01-29 1970-11-13 Thomson Brandt Csf
US3896338A (en) * 1973-11-01 1975-07-22 Westinghouse Electric Corp Color video display system comprising electrostatically deflectable light valves
US4317611A (en) * 1980-05-19 1982-03-02 International Business Machines Corporation Optical ray deflection apparatus
US4467211A (en) * 1981-04-16 1984-08-21 Control Data Corporation Method and apparatus for exposing multi-level registered patterns interchangeably between stations of a multi-station electron-beam array lithography (EBAL) system
US4430571A (en) * 1981-04-16 1984-02-07 Control Data Corporation Method and apparatus for exposing multi-level registered patterns interchangeably between stations of a multi-station electron-beam array lithography (EBAL) system
US5171965A (en) * 1984-02-01 1992-12-15 Canon Kabushiki Kaisha Exposure method and apparatus
US4566935A (en) 1984-07-31 1986-01-28 Texas Instruments Incorporated Spatial light modulator and method
US5061049A (en) * 1984-08-31 1991-10-29 Texas Instruments Incorporated Spatial light modulator and method
US4596992A (en) * 1984-08-31 1986-06-24 Texas Instruments Incorporated Linear spatial light modulator and printer
US4675702A (en) * 1986-03-14 1987-06-23 Gerber Scientific Inc. Photoplotter using a light valve device and process for exposing graphics
JPS6370423A (ja) * 1986-09-11 1988-03-30 Toshiba Corp パタ−ン形成方法
JPH01154519A (ja) * 1987-12-11 1989-06-16 Hitachi Ltd 半導体装置の製造方法
US5523193A (en) * 1988-05-31 1996-06-04 Texas Instruments Incorporated Method and apparatus for patterning and imaging member
US6348907B1 (en) * 1989-08-22 2002-02-19 Lawson A. Wood Display apparatus with digital micromirror device
DE59105735D1 (de) * 1990-05-02 1995-07-20 Fraunhofer Ges Forschung Belichtungsvorrichtung.
US5073010A (en) * 1990-05-11 1991-12-17 University Of Colorado Foundation, Inc. Optically addressable spatial light modulator having a distorted helix ferroelectric liquid crystal member
JPH0423314A (ja) * 1990-05-15 1992-01-27 Kawasaki Steel Corp 露光装置
DE4022732A1 (de) 1990-07-17 1992-02-20 Micronic Laser Systems Ab Auf einem lichtempfindlich beschichteten substrat durch fokussierte laserstrahlung hergestellte struktur sowie verfahren und vorrichtung zu ihrer herstellung
JP2902506B2 (ja) * 1990-08-24 1999-06-07 キヤノン株式会社 半導体装置の製造方法及び半導体装置
US5148157A (en) * 1990-09-28 1992-09-15 Texas Instruments Incorporated Spatial light modulator with full complex light modulation capability
JPH0536595A (ja) * 1991-08-02 1993-02-12 Fujitsu Ltd 電子線露光方法
CA2075026A1 (en) * 1991-08-08 1993-02-09 William E. Nelson Method and apparatus for patterning an imaging member
US5486851A (en) 1991-10-30 1996-01-23 Fraunhofer-Gesellschaft Zur Forderung Der Angewandten Forschung E.V. Illumination device using a pulsed laser source a Schlieren optical system and a matrix addressable surface light modulator for producing images with undifracted light
DE69226511T2 (de) * 1992-03-05 1999-01-28 Micronic Laser Systems Ab Verfahren und Vorrichtung zur Belichtung von Substraten
US5312513A (en) * 1992-04-03 1994-05-17 Texas Instruments Incorporated Methods of forming multiple phase light modulators
DE69331547T2 (de) * 1992-11-02 2003-04-24 Applied Materials Inc N D Ges Bildformattierung für ein mustererzeugungsgerät
JP3296448B2 (ja) * 1993-03-15 2002-07-02 株式会社ニコン 露光制御方法、走査露光方法、露光制御装置、及びデバイス製造方法
JP3372086B2 (ja) * 1993-08-06 2003-01-27 株式会社ニコン 露光方法及び装置、並びにデバイスの製造方法
EP0657760A1 (en) 1993-09-15 1995-06-14 Texas Instruments Incorporated Image simulation and projection system
US5467146A (en) * 1994-03-31 1995-11-14 Texas Instruments Incorporated Illumination control unit for display system with spatial light modulator
US5497258A (en) * 1994-05-27 1996-03-05 The Regents Of The University Of Colorado Spatial light modulator including a VLSI chip and using solder for horizontal and vertical component positioning
US5539567A (en) 1994-06-16 1996-07-23 Texas Instruments Incorporated Photolithographic technique and illuminator using real-time addressable phase shift light shift
US5504504A (en) 1994-07-13 1996-04-02 Texas Instruments Incorporated Method of reducing the visual impact of defects present in a spatial light modulator display
ATE349024T1 (de) * 1994-08-04 2007-01-15 Texas Instruments Inc Anzeigevorrichtung
US5614990A (en) * 1994-08-31 1997-03-25 International Business Machines Corporation Illumination tailoring system using photochromic filter
JP3537192B2 (ja) * 1994-09-14 2004-06-14 テキサス インスツルメンツ インコーポレイテツド 空間光変調器に基づく位相コントラスト画像投射装置
JP3335011B2 (ja) * 1994-09-16 2002-10-15 富士通株式会社 マスク及びこれを用いる荷電粒子ビーム露光方法
US5754217A (en) * 1995-04-19 1998-05-19 Texas Instruments Incorporated Printing system and method using a staggered array spatial light modulator having masked mirror elements
JPH08304924A (ja) * 1995-05-10 1996-11-22 Nikon Corp プロジェクター装置
US5835256A (en) 1995-06-19 1998-11-10 Reflectivity, Inc. Reflective spatial light modulator with encapsulated micro-mechanical elements
US5661591A (en) * 1995-09-29 1997-08-26 Texas Instruments Incorporated Optical switch having an analog beam for steering light
JP3617558B2 (ja) * 1995-11-17 2005-02-09 株式会社ニコン 露光量制御方法、露光装置、及び素子製造方法
JPH09148221A (ja) * 1995-11-21 1997-06-06 Seiko Epson Corp 露光方法及び露光装置及びそれに用いるレチクル
JP3884098B2 (ja) * 1996-03-22 2007-02-21 株式会社東芝 露光装置および露光方法
JPH1050604A (ja) * 1996-04-04 1998-02-20 Nikon Corp 位置管理方法及び位置合わせ方法
JP3512945B2 (ja) * 1996-04-26 2004-03-31 株式会社東芝 パターン形成方法及びパターン形成装置
US5691541A (en) * 1996-05-14 1997-11-25 The Regents Of The University Of California Maskless, reticle-free, lithography
US5870176A (en) 1996-06-19 1999-02-09 Sandia Corporation Maskless lithography
JPH1011813A (ja) * 1996-06-26 1998-01-16 Nec Corp 露光方法及び露光装置
US6312134B1 (en) * 1996-07-25 2001-11-06 Anvik Corporation Seamless, maskless lithography system using spatial light modulator
EP0914626A4 (en) 1996-07-25 2002-02-20 Anvik Corp MASKLESS AND DISCONTINUOUS LITHOGRAPHIC SYSTEM INCLUDING A LIGHT SPACE MODULATOR
JPH10209019A (ja) * 1997-01-27 1998-08-07 Sony Corp 露光パターン投影デバイス及び露光装置
US5847959A (en) * 1997-01-28 1998-12-08 Etec Systems, Inc. Method and apparatus for run-time correction of proximity effects in pattern generation
JPH113849A (ja) * 1997-06-12 1999-01-06 Sony Corp 可変変形照明フィルタ及び半導体露光装置
US5774254A (en) * 1997-06-26 1998-06-30 Xerox Corporation Fault tolerant light modulator display system
US5790297A (en) * 1997-06-26 1998-08-04 Xerox Corporation Optical row displacement for a fault tolerant projective display
WO1999022262A1 (en) 1997-10-29 1999-05-06 Macaulay Calum E Apparatus and methods relating to spatially light modulated microscopy
SE9800665D0 (sv) 1998-03-02 1998-03-02 Micronic Laser Systems Ab Improved method for projection printing using a micromirror SLM
US6195196B1 (en) * 1998-03-13 2001-02-27 Fuji Photo Film Co., Ltd. Array-type exposing device and flat type display incorporating light modulator and driving method thereof
US6142641A (en) * 1998-06-18 2000-11-07 Ultratech Stepper, Inc. Four-mirror extreme ultraviolet (EUV) lithography projection system
US6489984B1 (en) 1998-12-29 2002-12-03 Kenneth C. Johnson Pixel cross talk suppression in digital microprinters
US6498685B1 (en) 1999-01-11 2002-12-24 Kenneth C. Johnson Maskless, microlens EUV lithography system
SE516914C2 (sv) * 1999-09-09 2002-03-19 Micronic Laser Systems Ab Metoder och rastrerare för högpresterande mönstergenerering
SE517550C2 (sv) * 2000-04-17 2002-06-18 Micronic Laser Systems Ab Mönstergenereringssystem användande en spatialljusmodulator
US6425669B1 (en) * 2000-05-24 2002-07-30 Ball Semiconductor, Inc. Maskless exposure system

Also Published As

Publication number Publication date
AU2756899A (en) 1999-09-20
US20100208327A1 (en) 2010-08-19
JP2010267978A (ja) 2010-11-25
US6399261B1 (en) 2002-06-04
US7365901B2 (en) 2008-04-29
EP1060442B1 (en) 2008-06-11
EP1060441B1 (en) 2010-12-15
AU2755599A (en) 1999-09-20
JP2002506234A (ja) 2002-02-26
US20080079922A1 (en) 2008-04-03
ATE491971T1 (de) 2011-01-15
EP1060443B1 (en) 2008-06-18
EP1600817A1 (en) 2005-11-30
DE69943040D1 (de) 2011-01-27
WO1999045436A1 (en) 1999-09-10
ES2357473T3 (es) 2011-04-26
WO1999045437A1 (en) 1999-09-10
KR20010052196A (ko) 2001-06-25
JP2010016404A (ja) 2010-01-21
JP2002506232A (ja) 2002-02-26
EP1060443A1 (en) 2000-12-20
DE69938921D1 (de) 2008-07-31
WO1999045440A1 (en) 1999-09-10
EP1060440A1 (en) 2000-12-20
SE9800665D0 (sv) 1998-03-02
US20050225836A1 (en) 2005-10-13
US6987599B2 (en) 2006-01-17
ATE398792T1 (de) 2008-07-15
AU2755799A (en) 1999-09-20
AU2756999A (en) 1999-09-20
KR100451026B1 (ko) 2004-10-06
DE69943041D1 (de) 2011-01-27
ATE309557T1 (de) 2005-11-15
RU2257603C2 (ru) 2005-07-27
JP2009033190A (ja) 2009-02-12
EP1060439A1 (en) 2000-12-20
ATE491970T1 (de) 2011-01-15
EP1060441A1 (en) 2000-12-20
US7710634B2 (en) 2010-05-04
JP2002506236A (ja) 2002-02-26
JP2010015176A (ja) 2010-01-21
KR20010052197A (ko) 2001-06-25
KR100474121B1 (ko) 2005-05-06
US20060187524A1 (en) 2006-08-24
DE69936950D1 (de) 2007-10-04
EP1060440B1 (en) 2010-12-15
US6285488B1 (en) 2001-09-04
US20030202233A1 (en) 2003-10-30
WO1999045435A1 (en) 1999-09-10
CN1173234C (zh) 2004-10-27
US6373619B1 (en) 2002-04-16
US6687041B1 (en) 2004-02-03
DE69938895D1 (de) 2008-07-24
US20090147345A1 (en) 2009-06-11
US20060103914A1 (en) 2006-05-18
RU2232411C2 (ru) 2004-07-10
AU2757099A (en) 1999-09-20
AU2755699A (en) 1999-09-20
WO1999045439A1 (en) 1999-09-10
JP2002506233A (ja) 2002-02-26
JP2006080539A (ja) 2006-03-23
EP1060442A1 (en) 2000-12-20
EP1600817B1 (en) 2007-08-22
CN1292103A (zh) 2001-04-18
EP1060439B1 (en) 2005-11-09
WO1999045441A1 (en) 1999-09-10
JP2002506231A (ja) 2002-02-26
WO1999045438A1 (en) 1999-09-10
US20040165170A1 (en) 2004-08-26
CN1292102A (zh) 2001-04-18
DE69928232T2 (de) 2006-08-03
US7800815B2 (en) 2010-09-21
US7009753B2 (en) 2006-03-07
DE69936950T2 (de) 2008-05-15
CN1550902A (zh) 2004-12-01
JP2002506235A (ja) 2002-02-26
US6747783B1 (en) 2004-06-08
US6504644B1 (en) 2003-01-07
US7787174B2 (en) 2010-08-31
JP2002506230A (ja) 2002-02-26
DE69928232D1 (de) 2005-12-15
ATE398299T1 (de) 2008-07-15
AU3284299A (en) 1999-09-20
US7184192B2 (en) 2007-02-27
US7034986B2 (en) 2006-04-25
US20090191489A1 (en) 2009-07-30
US6428940B1 (en) 2002-08-06
US7957055B2 (en) 2011-06-07

Similar Documents

Publication Publication Date Title
CN1189794C (zh) 改进的图形发生器
US6816302B2 (en) Pattern generator

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20050216

Termination date: 20160302

CF01 Termination of patent right due to non-payment of annual fee