CN1196173C - 液晶显示装置的制造装置和液晶显示装置的制造方法 - Google Patents

液晶显示装置的制造装置和液晶显示装置的制造方法 Download PDF

Info

Publication number
CN1196173C
CN1196173C CNB001364952A CN00136495A CN1196173C CN 1196173 C CN1196173 C CN 1196173C CN B001364952 A CNB001364952 A CN B001364952A CN 00136495 A CN00136495 A CN 00136495A CN 1196173 C CN1196173 C CN 1196173C
Authority
CN
China
Prior art keywords
mentioned
substrate
process room
liquid crystal
crystal indicator
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
CNB001364952A
Other languages
English (en)
Other versions
CN1307326A (zh
Inventor
久保田健
小松纪和
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Seiko Epson Corp
Mitsubishi Electric Corp
Original Assignee
Seiko Epson Corp
Mitsubishi Electric Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Seiko Epson Corp, Mitsubishi Electric Corp filed Critical Seiko Epson Corp
Publication of CN1307326A publication Critical patent/CN1307326A/zh
Application granted granted Critical
Publication of CN1196173C publication Critical patent/CN1196173C/zh
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67167Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers surrounding a central transfer chamber
    • GPHYSICS
    • G02OPTICS
    • G02FOPTICAL DEVICES OR ARRANGEMENTS FOR THE CONTROL OF LIGHT BY MODIFICATION OF THE OPTICAL PROPERTIES OF THE MEDIA OF THE ELEMENTS INVOLVED THEREIN; NON-LINEAR OPTICS; FREQUENCY-CHANGING OF LIGHT; OPTICAL LOGIC ELEMENTS; OPTICAL ANALOGUE/DIGITAL CONVERTERS
    • G02F1/00Devices or arrangements for the control of the intensity, colour, phase, polarisation or direction of light arriving from an independent light source, e.g. switching, gating or modulating; Non-linear optics
    • G02F1/01Devices or arrangements for the control of the intensity, colour, phase, polarisation or direction of light arriving from an independent light source, e.g. switching, gating or modulating; Non-linear optics for the control of the intensity, phase, polarisation or colour 
    • G02F1/13Devices or arrangements for the control of the intensity, colour, phase, polarisation or direction of light arriving from an independent light source, e.g. switching, gating or modulating; Non-linear optics for the control of the intensity, phase, polarisation or colour  based on liquid crystals, e.g. single liquid crystal display cells
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • C23C16/0227Pretreatment of the material to be coated by cleaning or etching
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/54Apparatus specially adapted for continuous coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67173Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers in-line arrangement
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67236Apparatus for manufacturing or treating in a plurality of work-stations the substrates being processed being not semiconductor wafers, e.g. leadframes or chips
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S414/00Material or article handling
    • Y10S414/135Associated with semiconductor wafer handling
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S414/00Material or article handling
    • Y10S414/135Associated with semiconductor wafer handling
    • Y10S414/137Associated with semiconductor wafer handling including means for charging or discharging wafer cassette
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S414/00Material or article handling
    • Y10S414/135Associated with semiconductor wafer handling
    • Y10S414/139Associated with semiconductor wafer handling including wafer charging or discharging means for vacuum chamber
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S414/00Material or article handling
    • Y10S414/135Associated with semiconductor wafer handling
    • Y10S414/14Wafer cassette transporting

Abstract

可以得到能够防止起因于与外部气氛接触的化学性污染的液晶显示装置的制造装置和液晶显示装置的制造方法。具备清洗处理室(1a、1b)、在清洗处理室内清洗完毕的层的上边进行成膜处理的成膜处理室(2)、从清洗处理室向成膜处理室移动基板(11)而不会暴露于外气中的外气隔断移送装置(3、4)。

Description

液晶显示装置的制造装置 和液晶显示装置的制造方法
技术领域
本发明涉及液晶显示装置的制造装置和液晶显示装置的制造方法,特别是涉及驱动电路一体式液晶显示装置及该驱动电路一体式液晶显示装置的制造方法。
背景技术
在使用薄膜晶体管(TFT:Thin Film Transistor)的驱动电路一体式液晶显示装置中,象素区的TFT仅仅起着向象素电极充电和电荷保持开关这2个作用。为此,对象素区的TFT所要求的性能,不需要象对一般的半导体装置所要求的那样精确的阈值电压的控制。因此,在象素区的TFT中使用的薄膜半导体使用非晶硅就足够了。
近些年来,人们开发出了使用采用对非晶硅进行激光退火的办法形成的多晶硅TFT的技术,积极地制造使用该多晶硅TFT的液晶显示装置。这种类型的液晶显示装置,采用一体性地形成驱动电路区和象素区的办法,具有使得低价格化或显示象素的高精细化成为可能的优点。该多晶硅TFT,由于必须具有驱动电路的器件所要求的特性,故必须保持高精度的阈值电压。为此就产生了新的课题。
在使用非晶硅的现有液晶显示装置的制造工序中,通常,在非晶硅的成膜处理的工序之前,为了除去异物或提高非晶硅膜的接触性能,要进行清洗处理。图12示出了现有的从清洗工序到成膜处理工序为止的路径的气氛环境。在清洗处理工序中有物理清洗工序110和化学清洗工序120。装载于基板盒106内的基板111用自动搬运车(AFV:AutomaticGuided Vehicle)140搬运到装载机143内,再用搬运机器人104运进物理清洗室,实施物理清洗处理。在这里,在说‘基板’时,除去石英基板等的基板本身之外,还包括已经过处理的基板。首先,用UV灯泡151照射紫外光除去有机物,接着用刷洗或机械清洗等的物理清洗单元152进行清洗,经水洗处理单元154和干燥处理单元155后,被送出至卸载机145。此外,在化学清洗工序120中,虽然具备实施用药液进行的化学清洗的化学清洗单元53,但是入口和出口的基板的处理与物理清洗工序是一样的。这些清洗结束后的基板,在超净房间的气氛中被放在打开的基板盒107内,用AGV搬运至成膜处理室102的装载机/卸载机146上。在此,基板111被搬运机器人104从基板盒106中取出来,在成膜处理室内实施非晶硅的成膜处理。接着,再次暴露于超净房间气氛内进行搬运,在激光退火处理室内进行以非晶硅的结晶化为目的的激光退火处理。这些基板按照与成膜处理装置的空闲时间之间的关系,在保管库130内进行保管,基底膜也有时候长期地暴露于超净房间气氛中。到此为止,在搬运或保管期间,对于微粒子一直都在进行高等级的防止附着管理。
但是,就如从上述的基板对于超净房间气氛是开放的这一点可以明白的那样,对于基板表面的化学污染来说,没有进行充分的管理。象这样的化学性的污染的影响,对于仅仅在象素区使用的非晶硅TFT来说,是完全不成问题的等级的污染。但是,对于构成驱动电路的多晶硅TFT来说,则将会产生由金属等的污染引起的掺杂效应或由有机污染引起的影响多晶硅TFT的晶粒生长的影响。为此,将使阈值电压发生变动、在基板内发生不均一,在驱动电路中产生误动作,因而使得生产成品率下降。
发明内容
本发明的目的在于提供可以防止起因于与超净房间气氛进行接触的化学性的污染、不产生阈值电压的变动等的液晶显示装置的制造装置和液晶显示装置的制造方法。
本发明的液晶显示装置的制造装置,包括:对液晶显示装置的基板进行清洗的清洗处理室,所述清洗处理室配置有包括一水洗装置和一干燥装置的物理清洗装置及使用化学药品清洗的化学清洗装置;
在上述清洗处理室中清洗完毕的上述基板上进行成膜处理的成膜处理室;以及
从上述清洗处理室向上述成膜处理室移送上述基板而使所述基板不暴露于外部气氛中的外气隔断移送装置,
其中,上述外气隔断移送装置具备在不把已于上述清洗处理室内清洗完毕的上述基板暴露于外部气氛中的情况下把所述基板搬运至可以与外气隔断的密闭基板盒内的基板搬入装置,以及在上述成膜处理室中从上述密闭基板盒内取出上述基板的基板取出装置。
采用该结构,由于基板在清洗后不会暴露于超净房间气氛内,故可以防止化学性的污染。从而可消除因金属等的污染引起的掺杂效应或由有机污染引起的影响多晶硅TFT的晶粒生长不均匀等而引起的阈值电压的变动。结果可以避免起因于驱动电路的误动作等而使成品率降低的情况发生。上述的基板指的是先前定义的基板。
由于可以保持存放在密封基板盒内而不暴露于外部气氛中的状态不变地搬运基板,故可以避免化学污染和清洗后的微粒子附着。把密封基板盒从清洗处理室的卸载机部分搬运向成膜处理室的装载机部分,既可以用AGV之类的搬运机,也可以通过手动进行。
在上述本发明的液晶显示装置的制造装置中,上述外气隔断移送装置包括隔断外部气氛、并把上述基板从上述清洗处理室移送到上述成膜处理室的外气隔断通路,以及在该外气隔断通路内移送上述基板的搬运机。
采用该结构使在不暴露于外部气氛中的情况下自动地搬运基板变得容易起来。此外,采用自动化的办法,能够缩短等待时间或搬运时间,因而制造的高效率化是可能的。
在上述本发明的液晶显示装置的制造装置中,还包括基板盒装载室和具有搬运机器人的搬运机器人室(load-lock室),基板盒装载室、清洗处理室和成膜处理室都被配置在搬运机器人的周围,并与搬运机器人室相连从而使搬运机器人可以送入送出基板。
如此,就可以用搬运机器人从基板盒装载室经由清洗处理室到成膜处理室,连续地搬运基板而不将其暴露于外部气氛中。除了可以防止化学性的污染或清洗后的微粒子的附着之外,还可以缩短成膜处理的时间和提高效率。此外,还可以使装进上述各个室内的装置小型化以在超净房间内实现节省空间。在以上所说的本发明的各液晶显示装置的制造装置中,理想的是把外气隔断通路或密封基板盒的内部减压到103Pa以下的气氛,例如氮气气氛或干燥的空气气氛。基板在上述气氛中不会受到化学性的污染或清洗后的微粒子的附着,此外这些气氛可以廉价地实现,故不会提高造价。
在本发明的液晶显示装置的制造装置中,还包括与外气隔断通路相连并与外部气氛隔断的退火处理室,用于对基板施行退火处理。
这样,可以在不会暴露于外部气氛中的情况下对成膜后的膜进行退火处理。为此,可以在防止化学性的污染的状态下使成膜后的非晶硅膜经退火处理后变成为多晶硅膜。
在本发明的液晶显示装置的制造装置中,在退火处理室中具备可以对基板进行激光退火处理的光学调整单元。
如此,就可以在低温下使非晶硅膜变成为晶粒直径大的多晶硅膜。除了可以防止化学性的污染之外,还可以制造具有可以在驱动电路中使用的性能的TFT。
在本发明的液晶显示装置的制造装置中,成膜处理室具备与外气隔断通路相连的第1成膜处理室和第2成膜处理室。
采用该结构,那些在第1成膜室内形成的怕化学性污染的膜,例如多晶硅膜,在基底膜一侧或上侧就是说从栅极绝缘膜一侧也能够防止化学性污染。从而可以得到稳定的阈值电压、实现成品率的提高。
在本发明的上述液晶显示装置的制造装置中,上述外气隔断通路具有能够通过引入气体而使之保持其内部压力相对于外部气氛为正的密封构造,且在上述外气隔断通路的内部包含有包围着上述基板并对于外部气氛保持正压力的惰性气体。在这种情况下,理想的是还具备化学过滤器,氮气等惰性气体通过该化学过滤器被导入外气隔断通路或密封基板盒内。
从而可更为廉价地实现严密的密闭构造。为此,可以廉价地防止化学性污染或微粒子附着,可以更进一步地提高成品率。
本发明还提供了一种液晶显示装置的制造方法,包括下列工序:把其上具有基底膜的玻璃基板装入清洗处理室内,进行清洗处理;对所述基板进行包括用水清洗和干燥的物理清洗和用化学药品进行清洗的化学清洗;从上述清洗处理室内取出上述清洗完毕的基板,不使所述基板暴露于外部气氛中的情况下装入成膜处理室内;以及在上述成膜处理室中在上述基板的上边形成非晶硅膜,
其中,将所述基板在不暴露于外部气氛的情况下装入成膜处理室的工序包括:把在清洗处理室内清洗完毕的基板在不暴露于外部气氛中的情况下运至可与外气隔断的密闭基板盒内,并在上述成膜处理室中从上述室闭基板盒内取出上述基板。
在上述液晶显示装置的制造方法中,在形成了上述非晶硅膜之后,具备在继续使所述基板不暴露于外部气氛中的情况下对其上已形成了上述非晶硅膜的基板进行退火的工序以提供一多晶硅膜。
在上述液晶显示装置的制造方法中,在形成多晶硅膜的工序之后,具备在继续使所述基板不暴露于外部气氛中的情况下,将其移送到另一成膜处理室中去的工序,和在该另一成膜处理室内、在多晶硅膜的上边形成栅极绝缘膜的工序。
采用上述结构,多晶硅无论从基底膜一侧还是从栅极绝缘膜一侧都不会受到化学性污染或清洗后的微粒子的附着。可以进一步抑制阈值电压的变动,可以更为确定地提高生产成品率。此外,还可以一体性地形成象素区和驱动电路区,可以实现在液晶显示装置中受到重视的小型化。
附图说明
图1是实施例1的清洗-成膜处理一体式的液晶显示装置的制造装置的概略构成图。
图2是图1的UV照射单元的模式性的剖面图。
图3是图1的旋转式湿法清洗单元的模式性的剖面图。
图4是实施例1的LPCVD装置的概略构成图。
图5是实施例1的PCVD装置的概略构成图。
图6是对在实施例2中从清洗处理室向成膜处理室搬运基板的机构进行说明的说明图。
图7是对在实施例2中从清洗处理室向成膜处理室搬运基板的另外一种机构进行说明的说明图。
图8是在实施例3中,在玻璃基板的上边形成了基底膜、形成了非晶硅膜之后,进行退火使之结晶化,使晶体管部分图形化的阶段的剖面图。
图9是形成栅极绝缘膜,向源/漏区内注入杂质离子形成了栅极布线的阶段的剖面图。
图10是形成了层间绝缘膜、源/漏电极和保护绝缘膜的阶段的剖面图。
图11是液晶显示装置的驱动电路区和显示象素区的剖面图。
图12示出了从清洗工序向成膜处理工序的现有的搬运工序。
具体实施方式
(实施例1)
参看图1,本装置具有基板盒装载机5、设置有旋转式的湿法清洗单元(以下,叫做‘清洗单元’)9的清洗单元室1a、UV照射单元室1b和CVD装置室2,基板11在各个室之间的移动,用设置在中央部分的搬运机器人室(load-lock室)3中的搬运机器人4对基板按块进行搬运。搬运机器人室3、UV照射单元室1b、清洗单元室1a和CVD装置室2不论哪一个都变成为大体上密闭的不严密的密闭构造,各自都具有可以用管道(未画出来)引导气体的构造,可以导入用化学过滤器7除去了污染物质的氮气或干燥空气。在各个室内,进行引导气体的流量控制使得相对于本装置外的气氛变成为正压,使本装置外的气氛不得混入进来。在通往搬运机器人室3的各个室的入口处,设置开闭自由的门8,变成为在各个室内的基板处理期间中可以与搬运机器人室进行气氛隔离的构成。在基板盒装载机室5与搬运机器人室8之间,也设置开闭自由的门8,除基板的授受时以外该门8就关闭起来。特别是在把清洗完毕的基板向CVD装置室搬运时,必须对搬运机器人室3的气氛进行控制。在进行成膜处理的CVD装置室内,当然,与通常的真空装置一样,用泵抽成真空或使之减压。
其次,对各个单元(装置)进行说明。参看图2,与基板11的尺寸相吻合的UV照射单元21,以0.2个/cm的密度具备150W左右的低输出式的UV灯泡22。此外,把载物台作成为可动构造,以灯泡节距的一半的振幅,摇动基板1使得可以均一地进行UV照射。还可以采用用波长172nm的准分子UV灯泡来取代该UV灯泡的办法提高有机物的除去效率。参看图3,这里所具备的清洗处理装置,只要是与在液晶显示装置的制造中一般使用的(生产)线内照相制版装置的清洗单元或显影单元同等性能的装置即可。该清洗单元9具备利用基板的旋转机构、悬臂的刷洗清洗机构12和可以进行机械清洗机构等的物理清洗和利用可以放出药液或润洗液的喷嘴的化学清洗这两者的悬臂机构13。理想的是根据在清洗中所使用的药液,使基板支持载物台或防止药液飞散的杯子的材质变成为耐药液性。在这里,可以使用被覆上聚四氟乙烯的不锈钢,以便作为药液可以使用氟酸系药液。在物理清洗机构与药液之间的组合之间产生了不合适的情况下,也可以另外设置旋转式的物理清洗单元。在这种情况下,也可以使用氧化性的药液。
其次,示出CVD装置的例子。这些CVD装置,不论在哪一种的CVD装置中,都可以是与在一般的液晶显示装置中使用的CVD装置同样的装置。参看图4,该LPCVD装置30,具有在室内支持基板的石英舟23,可用泵(未画出来)等进行减压的排气机构和具备导入成膜气体的质量流控制器(MFC)32和阀门31的管道系统。此外,如图5所示,在等离子体CVD装置中,把具备导入成膜气体的MFC32和阀门31等的管道连接到带有等离子体发生机构的成膜处理室39上。此外,还具备搬运机器人室36和设置有机器人38的预备室37这么2个室,以便可以在低压状态下进行基板11的预备加热或冷却和与成膜处理室之间进行基板的授受。此外,成膜处理室39分别独立地具有借助于泵抽成低压的排气机构。除去带有该CVD装置之外,也可以配置激光退火室,用来在同样的气氛内由非晶硅结晶化为多晶硅。作为激光退化装置,可以是在300℃左右的低温下生成多晶硅的一般的装置。
用上述装置得到的作用如下。从清洗处理到成膜处理进而到激光退火处理的工序可以自动地连续进行处理而不会接触外气。其结果是,可以减轻对基板的化学污染或微粒子附着。此外,采用向这样的不严密的密闭构造内导入控制气氛的气体的办法可以进一步地减轻污染附着。作为要使用的气体,只要是象氮气或干燥空气那样的安全且比较廉价的气体即可,理想的是导入通过化学过滤器除去了污染物质的气体。由于各室都采用了不严密的密闭构造,故在对液晶显示装置用的大型的玻璃基板进行处理的情况下,与作成为完全密闭构造的情况比较,可以实现装置成本的大的降低。此外,本装置,在成膜装置内使用LPCVD装置的情况下,效果特别高。其理由是:在令使用LPCVD法成膜的非晶硅结晶化以形成多晶硅的情况下,LPCVD的成膜速度特别慢,基底的污染的影响大大地表现出来的缘故。起因于该污染的影响,多晶硅的结晶性易于变动,其结果是完成后的TFT的特性强烈地受污染的影响。倘采用本实施例的装置,则可以抑制上述的变动从而可以制作稳定的特性的TFT。
此外,作为装置构成,采用以搬运机器人为中心来配置各个单元的办法,装置将变得非常紧凑,使装置的省空间化成为可能。此外,在本实施例中,由于使用了UV照射单元和另外的湿法清洗装置这两方,故可以确实地防止有机物和金属的污染。此外,当使用氧化性的处理液时,将会进一步提高有机物的除去效果。但是,特别是在可以把污染限定为有机物的情况下,作为清洗单元可以仅仅使用UV照射单元。在这种情况下,装置的大小,可以作成为在现有的成膜装置的搬运机器人与成膜室之间仅仅安装上UV照射单元的非常紧凑的装置,可以改善节省空间的效果。
(实施例2)
参看图6,清洗装置可以是在液晶显示装置的制造中一直使用的现有的清洗装置,具备UV照射单元51、灯泡清洗或机械清洗等的物理清洗单元52、用药液进行清洗的化学清洗单元53、水洗单元54和干燥单元55。在成膜处理室2内具备与在实施例1中说明的CVD装置同等性能的CVD装置。在清洗处理室44的卸载机43中,具备把基板放入到具备带有可以密闭的橡胶衬垫的门的基板盒18内,借助于使基板盒的门闭合的办法把基板密封封入到基板盒内的机构(未画出来)。此外,在成膜处理室2的装载机46中,具备打开密闭基板盒的门的机构(未画出来)。该密闭基板盒18,既可以用AGV等从清洗处理室搬运至成膜处理室,从清洗处理室到成膜处理室也可以用传送带自动搬运。
此外,清洗处理室的卸载机45和成膜处理室的装载机46,在入口和出口这两方都设有开闭自由的门8,在门关闭的状态下具有大体上变成为密闭构造的不严密的密闭构造。更详细地说变成为这样的构造:把通过化学过滤器的氮气或干燥空气引导至这些卸载机45和装载机46内,借助于流量控制对外气保持正压,不让外气混入进来。
作为密闭基板盒内的气氛,也可以照原样不加变动地封入作为清洗处理室的卸载机45的气氛的干燥空气。或者,也可以把与卸载机45的气氛无关的已除去了污染物质的氮气或干燥空气直接送进基板盒内后进行封入,这样做可以确实地实施基板盒内的气氛管理。此外,由于即便是在密闭之后把基板盒内抽成103Pa以下的真空,仍可以使气氛的污染物质降低到1/100这种程度,故可以充分地降低附着于基板上的污染物质。
作为另外的方法,如图7所示,也可以作成为这样:把清洗室的卸载机45和成膜室的装载机46之间当作大体上的密闭构造的通路48,对该不严密的密闭构造48进行气氛控制。倘采用该构成,则可以省略上述的密闭基板盒18中的密闭机构和对密闭基板盒的开闭机构。在这种情况下,也象在实施例1中说明的那样,在液晶显示装置用的大型玻璃基板的处理中,与完全密闭的构造比较起来,可以大大地降低装置成本。
另外,实施例1和实施例2虽然说明的都是作为成膜装置使用CVD装置的情况,但对于清洗后的微粒子附着会导致成品率降低的溅射装置,不言而喻,也可以应用本发明。
(实施例3)
其次,用给实施例1或2所示的制造装置附加上激光退火室的本发明的装置,说明制造液晶显示装置的驱动电路部分的多晶硅TFT的方法。首先,如图8所示,在玻璃基板11的表面上,例如,用PECVD作为基底膜连续形成硅氮化膜和硅氧化膜这二者的2层膜62。其次,用上述的清洗·成膜·退火一体式的装置,连续地进行清洗处理和用LPCVD装置实施的成膜处理和激光退火处理。作为清洗处理,进行UV照射处理、刷洗清洗和机械清洗,然后,用臭氧水或HF系清洗液进行药液清洗。之后,不使之接触外气那样地把基板搬运至成膜处理室内进行成膜处理。作为成膜处理,用图4所示的LPCVD装置形成60nm左右的非晶硅膜。再在不暴露于外气中地用附加到上述装置上设置的激光退火装置使非晶硅进行退火变成为多晶硅之后,如图8所示,用干法刻蚀使之图形化后形成晶体管区域64。其次,如图9所示,作为栅极绝缘膜,例如借助于TEOS(四乙基原硅酸四乙酯)PECVD,形成70nm左右的硅氧化膜65。然后,设置光刻胶,向n型晶体管76的沟道区69的两端低浓度地注入磷离子形成n-型杂质区的LDD(Lightly Doped Domain,轻掺杂区)75。其次,例如形成Cr膜,使之图形化形成栅极电极66。然后依次注入磷离子、硼离子形成将成为源/漏区的n+型杂质区67和p型杂质区,分别制作成n型晶体管76和p型晶体管77。在注入磷离子和硼离子时,要向n型晶体管内注入磷离子,向p型晶体管内注入硼离子。
其次,如图10所示,在作为保护膜用TEOS PECVD形成了硅氧化膜71之后,在400℃下进行激光退火。用干法刻蚀在保护膜上形成接触孔的开口,再用溅射器连续地淀积100nm的Cr膜、400nm的Al系合金膜和100nm的Cr膜,使之图形化,形成源/漏电极72。之后,以沟道部分为对象,在氢等离子体中进行多晶硅的氢化处理,在进行了特性的提高或稳定化之后,用硅氮化膜等形成绝缘膜74。把用这样的工艺制作的n型晶体管76和p型晶体管77组合起来,构成具备驱动电路的CMOS电路。
在上述的驱动电路区的制作中,对于在同一玻璃基板上制作的显示象素区,同一种类的层也可以用与驱动电路区同一定时进行成膜处理。如图11所示,在显示象素区内形成的器件部分,除去形成象素用的由2个n型晶体管构成的象素晶体管89和具有上部电极86、下部电极85的电容88这一点之外,驱动电路区的器件部分没有什么变动。显示象素区的成膜步骤与驱动电路区的成膜平行地一体性地进行。在下部玻璃基板一侧,在形成了绝缘膜74之后,在显示象素区内,通过接触孔把象素电极连接到显示象素区的n型晶体管上,然后再在其上边形成配向膜84。在显示象素区的上部玻璃基板81上,叠层彩色滤色片82、相向电极83,在其上边形成配向膜84。然后保持间隙间隔地把经过上述处理的上部和下部的玻璃基板粘接起来,向其间隙内注入液晶78,完成液晶显示装置(图11)。
若用上述的方法制造的液晶显示装置,则可以防止由来自多晶硅的上层和下层的有机物或金属形成的对沟道部分的污染。为此,就不会发生归因于由于在激光退火后金属杂质向多晶硅中的混入而产生的阈值电压Vth的变动或归因于由于基底的杂质的影响而形成的结晶化时的晶体生长的变动等的TFT特性劣化。此外,TFT的阈值电压的波动,电容绝缘膜的耐压也都不成问题,产品的生产成品率、耐久性、可靠性等的一切方面的改善成为可能。在本实施例中,特别是在特性方面效果高的沟道部分的成膜工序和激光退火工序中,导入了给实施例1的装置附加上激光退火装置的装置。但是,由于具有降低清洗后的微粒子再附着的效果,故借助于应用到其它的溅射成膜等中去的办法,可以提高溅射成膜的生产成品率。
(实施例4)
对于实施例3所示的液晶显示装置,由于采用使用实施例2中的装置的办法,可以用药液槽等清洗处理基板,故可以对强酸性的药液或高温的药液实施温度控制的办法进行清洗。例如,可以使用在半导体装置的制造中经常使用的RCA清洗液、就是说可以使用由H2SO4+H2O2+H2O构成的清洗液或NH3+H2O2+H2O、HCl+H2O2+H2O、HF+H2O等的清洗液。这些清洗液,根据除去对象物或对玻璃基板表面的损伤情况等适当地进行组合,各自的处理,在常温~100℃左右的适当的温度下进行。借助于这些清洗处理,就可以除去污染物质,然后,不使之附着污染物质地进行成膜或退火。在用上述的方法形成的液晶显示装置中,由于在沟道部分的上层或下层中不存在由有机物或金属形成的污染,不存在激光退火后的由多晶硅中的金属引起的Vth的变动或对结晶化的结晶性的影响,故不会发生TFT的特性劣化。此外,还可以减轻各次成膜前的微粒子的再附着。其结果是,TFT的阈值电压Vth的波动、电容绝缘膜的耐压都不成问题、在生产成品率、耐久性、可靠性的一切方面都可以得到改善。

Claims (13)

1.一种液晶显示装置的制造装置,包括:
对液晶显示装置的基板进行清洗的清洗处理室,所述清洗处理室配置有包括一水洗装置和一干燥装置的物理清洗装置及使用化学药品清洗的化学清洗装置;
在上述清洗处理室中清洗完毕的上述基板上进行成膜处理的成膜处理室;以及
从上述清洗处理室向上述成膜处理室移送上述基板而使所述基板不暴露于外部气氛中的外气隔断移送装置,
其中,上述外气隔断移送装置具备在不把已于上述清洗处理室内清洗完毕的上述基板暴露于外部气氛中的情况下把所述基板搬运至可以与外气隔断的密闭基板盒内的基板搬入装置,以及在上述成膜处理室中从上述密闭基板盒内取出上述基板的基板取出装置。
2.如权利要求1所述的液晶显示装置的制造装置,其中,上述外气隔断移送装置包括隔断外部气氛、并把上述基板从上述清洗处理室移送到上述成膜处理室的外气隔断通路,以及在该外气隔断通路内移送上述基板的搬运机。
3.如权利要求1所述的液晶显示装置的制造装置,还包括基板盒装载室和具有搬运机器人的搬运机器人室,上述基板盒装载室、清洗处理室和上述成膜处理室都被配置在上述搬运机器人的周围,并与上述搬运机器人室相连从而使上述搬运机器人可以送入送出上述基板。
4.如权利要求2所述的液晶显示装置的制造装置,还包括与上述外气隔断通路相连并与外部气氛隔断的退火处理室,用于对上述基板施行退火处理。
5.如权利要求4所述的液晶显示装置的制造装置,其中,上述退火处理室中具备可以对上述基板进行激光退火处理的光学调整单元。
6.如权利要求2所述的液晶显示装置的制造装置,其中,上述成膜处理室具备与上述外气隔断通路相连的第1成膜处理室和与上述外气隔断通路相连的第2成膜处理室。
7.如权利要求2所述的液晶显示装置的制造装置,上述外气隔断通路具有能够通过引入气体而使之保持其内部压力相对于外部气氛为正的密封构造,且在上述外气隔断通路的内部包含有包围着上述基板并对于外部气氛保持正压力的惰性气体。
8.如权利要求1所述的液晶显示装置的制造装置,上述密封基板盒具有能够通过引入气体而使之保持其内部压力相对于外部气氛为正的密封构造,且在上述密封基板盒的内部包含有包围着上述基板并对于外部气氛保持正压力的惰性气体。
9.如权利要求7所述的液晶显示装置的制造装置,还包括化学过滤器,上述惰性气体通过该化学过滤器导入上述外气隔断通路。
10.如权利要求8所述的液晶显示装置的制造装置,还包括化学过滤器,上述惰性气体通过该化学过滤器并导入上述密闭基板盒。
11.一种液晶显示装置的制造方法,包括下列工序:
把其上具有基底膜的玻璃基板装入清洗处理室内,进行清洗处理;
对所述基板进行包括用水清洗和干燥的物理清洗和用化学药品进行清洗的化学清洗;
从上述清洗处理室内取出上述清洗完毕的基板,不使所述基板暴露于外部气氛中的情况下装入成膜处理室内;以及
在上述成膜处理室中在上述基板的上边形成非晶硅膜,
其中,将所述基板在不暴露于外部气氛的情况下装入成膜处理室的工序包括:把在清洗处理室内清洗完毕的基板在不暴露于外部气氛中的情况下运至可与外气隔断的密闭基板盒内,并在上述成膜处理室中从上述密闭基板盒内取出上述基板。
12.如权利要求11所述的液晶显示装置的制造方法,其中,在形成了上述非晶硅膜之后,具备在继续使所述基板不暴露于外部气氛中的情况下对其上已形成了上述非晶硅膜的基板进行退火的工序以提供一多晶硅膜。
13.如权利要求12所述的液晶显示装置的制造方法,其中,在形成上述多晶硅膜的工序之后,具备在继续使所述基板不暴露于外部气氛中的情况下将其移送到另一成膜处理室中去的工序,和在该另一成膜处理室内、在上述多晶硅膜的上边形成栅极绝缘膜的工序。
CNB001364952A 2000-01-26 2000-12-27 液晶显示装置的制造装置和液晶显示装置的制造方法 Expired - Fee Related CN1196173C (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2000017223A JP3998386B2 (ja) 2000-01-26 2000-01-26 液晶表示装置の製造装置および液晶表示装置の製造方法
JP017223/2000 2000-01-26

Publications (2)

Publication Number Publication Date
CN1307326A CN1307326A (zh) 2001-08-08
CN1196173C true CN1196173C (zh) 2005-04-06

Family

ID=18544249

Family Applications (1)

Application Number Title Priority Date Filing Date
CNB001364952A Expired - Fee Related CN1196173C (zh) 2000-01-26 2000-12-27 液晶显示装置的制造装置和液晶显示装置的制造方法

Country Status (4)

Country Link
US (1) US6461437B1 (zh)
JP (1) JP3998386B2 (zh)
KR (1) KR100381807B1 (zh)
CN (1) CN1196173C (zh)

Families Citing this family (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI270626B (en) * 2002-04-23 2007-01-11 Display Mfg Service Co Ltd Wet processing bath and fluid supplying system for liquid crystal display manufacturing equipment
JP4219799B2 (ja) * 2003-02-26 2009-02-04 大日本スクリーン製造株式会社 基板処理装置
JP4833512B2 (ja) * 2003-06-24 2011-12-07 東京エレクトロン株式会社 被処理体処理装置、被処理体処理方法及び被処理体搬送方法
DE20321795U1 (de) * 2003-12-11 2010-03-04 Voith Patent Gmbh Vorrichtung zum Reinigen wenigsten einer Prozesskammer zum Beschichten wenigstens eines Substrats
US7422653B2 (en) * 2004-07-13 2008-09-09 Applied Materials, Inc. Single-sided inflatable vertical slit valve
US8075953B2 (en) * 2005-09-15 2011-12-13 Hiap L. Ong and Kyoritsu Optronics Co., Ltd Thin organic alignment layers with a batch process for liquid crystal displays
JP4765986B2 (ja) * 2007-03-23 2011-09-07 村田機械株式会社 搬送システム
JP5190215B2 (ja) * 2007-03-30 2013-04-24 東京エレクトロン株式会社 ターボ分子ポンプの洗浄方法
KR20130041089A (ko) * 2010-06-21 2013-04-24 가부시키가이샤 알박 기판 반전 장치, 진공 성막 장치 및 기판 반전 방법
CN103250230B (zh) * 2010-12-13 2016-08-31 Tp太阳能公司 掺杂剂涂布系统以及涂布蒸气化掺杂化合物于光伏太阳能晶圆的方法
JP5472283B2 (ja) * 2011-12-21 2014-04-16 株式会社安川電機 ロボットのアーム構造およびロボット
US9443730B2 (en) * 2014-07-18 2016-09-13 Asm Ip Holding B.V. Process for forming silicon-filled openings with a reduced occurrence of voids
US9837271B2 (en) 2014-07-18 2017-12-05 Asm Ip Holding B.V. Process for forming silicon-filled openings with a reduced occurrence of voids
US10460932B2 (en) 2017-03-31 2019-10-29 Asm Ip Holding B.V. Semiconductor device with amorphous silicon filled gaps and methods for forming
CN109712906B (zh) * 2017-10-25 2021-05-11 长鑫存储技术有限公司 具有清洗功能的晶圆存储装置及半导体生产设备

Family Cites Families (32)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4406709A (en) * 1981-06-24 1983-09-27 Bell Telephone Laboratories, Incorporated Method of increasing the grain size of polycrystalline materials by directed energy-beams
NL8103979A (nl) * 1981-08-26 1983-03-16 Bok Edward Methode en inrichting voor het aanbrengen van een film vloeibaar medium op een substraat.
US4827954A (en) * 1982-11-23 1989-05-09 Interlab, Inc. Automated work transfer system having an articulated arm
JPS6162739A (ja) * 1984-09-03 1986-03-31 Sanki Eng Co Ltd クリ−ントンネル
JPH0736418B2 (ja) * 1986-05-19 1995-04-19 富士通株式会社 ウエーハキャリア
US4951601A (en) * 1986-12-19 1990-08-28 Applied Materials, Inc. Multi-chamber integrated process system
US5024570A (en) * 1988-09-14 1991-06-18 Fujitsu Limited Continuous semiconductor substrate processing system
JPH05218176A (ja) * 1992-02-07 1993-08-27 Tokyo Electron Tohoku Kk 熱処理方法及び被処理体の移載方法
US6391117B2 (en) * 1992-02-07 2002-05-21 Canon Kabushiki Kaisha Method of washing substrate with UV radiation and ultrasonic cleaning
JPH05271973A (ja) * 1992-03-25 1993-10-19 Orc Mfg Co Ltd 紫外線洗浄方法
JPH05315310A (ja) * 1992-05-01 1993-11-26 Kawasaki Steel Corp 半導体基板の湿式洗浄方法及び湿式洗浄装置
MY109592A (en) * 1992-11-16 1997-03-31 Tokyo Electron Ltd Method and apparatus for manufacturing a liquid crystal display substrate, and apparatus and method for evaluating semiconductor crystals.
ES2090893T3 (es) * 1993-01-28 1996-10-16 Applied Materials Inc Aparato de tratamiento en vacio que tiene una capacidad de produccion mejorada.
JP3120395B2 (ja) * 1993-03-10 2000-12-25 東京エレクトロン株式会社 処理装置
KR100261532B1 (ko) * 1993-03-14 2000-07-15 야마시타 히데나리 피처리체 반송장치를 가지는 멀티챔버 시스템
US5527390A (en) * 1993-03-19 1996-06-18 Tokyo Electron Kabushiki Treatment system including a plurality of treatment apparatus
JP3654597B2 (ja) * 1993-07-15 2005-06-02 株式会社ルネサステクノロジ 製造システムおよび製造方法
TW273574B (zh) * 1993-12-10 1996-04-01 Tokyo Electron Co Ltd
US5472086A (en) * 1994-03-11 1995-12-05 Holliday; James E. Enclosed sealable purgible semiconductor wafer holder
TW294821B (zh) * 1994-09-09 1997-01-01 Tokyo Electron Co Ltd
JP3288200B2 (ja) * 1995-06-09 2002-06-04 東京エレクトロン株式会社 真空処理装置
US5667592A (en) * 1996-04-16 1997-09-16 Gasonics International Process chamber sleeve with ring seals for isolating individual process modules in a common cluster
US6039059A (en) * 1996-09-30 2000-03-21 Verteq, Inc. Wafer cleaning system
JP3330300B2 (ja) * 1997-02-28 2002-09-30 東京エレクトロン株式会社 基板洗浄装置
US6045620A (en) * 1997-07-11 2000-04-04 Applied Materials, Inc. Two-piece slit valve insert for vacuum processing system
JP3425592B2 (ja) * 1997-08-12 2003-07-14 東京エレクトロン株式会社 処理装置
JPH11102849A (ja) * 1997-09-17 1999-04-13 Lsi Logic Corp 半導体ウエハ上のパーティクル除去方法及び装置
US6270582B1 (en) * 1997-12-15 2001-08-07 Applied Materials, Inc Single wafer load lock chamber for pre-processing and post-processing wafers in a vacuum processing system
TW444275B (en) * 1998-01-13 2001-07-01 Toshiba Corp Processing device, laser annealing device, laser annealing method, manufacturing device and substrate manufacturing device for panel display
US6270306B1 (en) * 1998-01-14 2001-08-07 Applied Materials, Inc. Wafer aligner in center of front end frame of vacuum system
US6343609B1 (en) * 1998-08-13 2002-02-05 International Business Machines Corporation Cleaning with liquified gas and megasonics
US6337174B1 (en) * 1998-09-17 2002-01-08 Samsung Electronics Co., Ltd. Method of stripping a photoresist from a semiconductor substrate dimethylacetamide or a combination of monoethanolamine and dimethylsulfoxide

Also Published As

Publication number Publication date
JP2001210693A (ja) 2001-08-03
JP3998386B2 (ja) 2007-10-24
US6461437B1 (en) 2002-10-08
KR100381807B1 (ko) 2003-04-26
KR20010078048A (ko) 2001-08-20
CN1307326A (zh) 2001-08-08

Similar Documents

Publication Publication Date Title
CN1196173C (zh) 液晶显示装置的制造装置和液晶显示装置的制造方法
US8101949B2 (en) Treatment of gate dielectric for making high performance metal oxide and metal oxynitride thin film transistors
US7723781B2 (en) Vertical thin-film transistor with enhanced gate oxide
CN1182570C (zh) 场效应晶体管的制造方法
US20060105114A1 (en) Multi-layer high quality gate dielectric for low-temperature poly-silicon TFTs
US11742362B2 (en) Hybrid high-k dielectric material film stacks comprising zirconium oxide utilized in display devices
US20010012667A1 (en) Clustered system and method for formation of integrated circuit devices
KR19980042503A (ko) 다결정 실리콘의 형성방법 및 형성장치
CN1251331C (zh) 半导体器件
US20020142567A1 (en) Method for manufacturing polycrystalline semiconductor layers and thin-film transistors, and laser annealing apparatus
JP2003100773A (ja) 連続的なスパッタ堆積によってベースコートおよび薄膜層を形成するシステムおよび方法
KR20040028578A (ko) 기판처리장치 및 기판처리방법
JP2009111302A (ja) 半導体装置およびその製造方法
TWI400746B (zh) Chemical Vapor Deposition of Thin Film Transistor and Its Pre - Deposition Structure
JP2002343716A (ja) 半導体装置の製造方法
TW201830481A (zh) 用於在低溫形成磊晶層之方法
CN1913109A (zh) 高压水气退火的多晶硅薄膜晶体管组件的制作方法
KR19990059057A (ko) 반도체 소자의 폴리실리콘층 형성 방법
JP2000183354A (ja) 薄膜トランジスタの製造方法及び連続成膜装置
JP2007073641A (ja) 半導体基板の製造方法

Legal Events

Date Code Title Description
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C06 Publication
PB01 Publication
C14 Grant of patent or utility model
GR01 Patent grant
C17 Cessation of patent right
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20050406

Termination date: 20101227