CN1218222C - 用于清洁半导体设备上有机残余物和等离子蚀刻残余物的组合物 - Google Patents

用于清洁半导体设备上有机残余物和等离子蚀刻残余物的组合物 Download PDF

Info

Publication number
CN1218222C
CN1218222C CN018142656A CN01814265A CN1218222C CN 1218222 C CN1218222 C CN 1218222C CN 018142656 A CN018142656 A CN 018142656A CN 01814265 A CN01814265 A CN 01814265A CN 1218222 C CN1218222 C CN 1218222C
Authority
CN
China
Prior art keywords
composition
compound
sulfoxide
alkyl
water
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
CN018142656A
Other languages
English (en)
Other versions
CN1447754A (zh
Inventor
R·J·斯莫尔
B·P·帕特尔
W·M·李
J·戴沃特
C·里德
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
EKC Technology Inc
Original Assignee
EKC Technology Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by EKC Technology Inc filed Critical EKC Technology Inc
Publication of CN1447754A publication Critical patent/CN1447754A/zh
Application granted granted Critical
Publication of CN1218222C publication Critical patent/CN1218222C/zh
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/42Stripping or agents therefor
    • G03F7/422Stripping or agents therefor using liquids only
    • G03F7/423Stripping or agents therefor using liquids only containing mineral acids or salts thereof, containing mineral oxidizing substances, e.g. peroxy compounds
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09KMATERIALS FOR MISCELLANEOUS APPLICATIONS, NOT PROVIDED FOR ELSEWHERE
    • C09K13/00Etching, surface-brightening or pickling compositions
    • C09K13/04Etching, surface-brightening or pickling compositions containing an inorganic acid
    • C09K13/08Etching, surface-brightening or pickling compositions containing an inorganic acid containing a fluorine compound
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/42Stripping or agents therefor
    • G03F7/422Stripping or agents therefor using liquids only
    • G03F7/425Stripping or agents therefor using liquids only containing mineral alkaline compounds; containing organic basic compounds, e.g. quaternary ammonium compounds; containing heterocyclic basic compounds containing nitrogen
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/42Stripping or agents therefor
    • G03F7/422Stripping or agents therefor using liquids only
    • G03F7/426Stripping or agents therefor using liquids only containing organic halogen compounds; containing organic sulfonic acids or salts thereof; containing sulfoxides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/0206Cleaning during device manufacture during, before or after processing of insulating layers
    • H01L21/02063Cleaning during device manufacture during, before or after processing of insulating layers the processing being the formation of vias or contact holes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/02068Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers
    • H01L21/02071Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers the processing being a delineation, e.g. RIE, of conductive layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02043Cleaning before device manufacture, i.e. Begin-Of-Line process
    • H01L21/02052Wet cleaning only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means

Abstract

一种用于二氧化硅蚀刻从基片上将光致抗蚀剂剥离并清洁残余物的组合物,其包括约0.01wt%到10wt%的一种或多种氟化物、约10wt%到95wt%的亚砜或砜溶剂以及20wt%到50wt%的水。该组合物可包含阻蚀剂、螯合剂、共溶剂、碱性胺化合物、表面活性剂、酸和碱。

Description

用于清洁半导体设备上有机残余物和等离子蚀刻残余物的组合物
技术领域
本发明涉及一种用来剥离和清洁用的半水组合物,其特别适用于从半导体基片上剥离光致抗蚀剂并清洁包括蚀刻后残余物和积灰的有机和无机化合物。本发明还可用作二氧化硅蚀刻剂。这里所述的术语“半水”是指水和有机溶剂的混合物。本发明还包括使用这种组合物从半导体基片剥离光致抗蚀剂并清洁有机和无机化合物以及蚀刻二氧化硅的方法。更为特别的是,本发明描述了该方法中用来剥离、清洁并蚀刻的半水组合物及其工艺。该溶液中含有氟化物、亚砜或砜溶剂、水并可以含有其它的溶剂、阻蚀剂、螯合剂、碱性胺化合物、表面活性剂、酸和碱。
背景技术
含氟化物的化学物质与原硅晶片已在半导体工业中一同使用多年(该硅晶片是尚未用来离子嵌入或构造设备的硅片)。正常情况下,氟化物(通常为稀释的氢氟酸)用于所谓“RCA冲洗”的最后一步。该基片通常会被上级工序的单层量金属、阴离子和/或有机杂质或表面残余物(颗粒)所污染。这些杂质对简单测试设备结构的电学完整性产生强烈影响,并且这些结构必须在不损坏其完整性的条件下进行清洗。这类清洁方法包括在一些技术文献中讨论的技术,例如,1991年的mt.Conf.On Solid State Devices and Materials第484-486页或者Kujime,T.等人在Proc.of the 1996 Semi.Pure Water and Chemicals第245-256页上的文章以及Singer于1995年10月在P.Semi.International第88页上的文章。
那些教导出用低pH值溶液来清洁原晶片的方法的专利包括有美国专利US5,560,857以及US5,645,737、US5,181,985、US5,603,849、US5,705,089。
用氟化物化学物质(通常是HF)作为RCA清洁的最后一步可使硅晶片表面处于斥水的疏水态(其表面覆盖有Si-H基团)。在该步骤中,会有一定比例的晶片表面被溶解(除去)。除非对工艺条件(时间、温度、溶液组成)精确监控,否则基片会被损坏,这可参见Rafol,C等人于1997在J.Electroanalytic Chem.433第77-83页上发表的文章。许多组合物中都有水和有机溶剂。这些溶液中水的浓度非常关键。硅氧化物在HF/水中的蚀刻速率为21Å/分(@25℃),但在异丁醇中,该速率下降到2.14Å/分,在丙酮(疏质子溶剂)中该速率甚至更低为0.12Å/分,参见1998年8月5-7日在Stanford(斯坦福)大学进行的NSF/SRC Eng.Res.Center,Environmentally Benign Semiconductor Manufacturing。
在进行完前端(Front End of Line(FEOL))清洁处理之后,晶片通常要进行半导体设备的后端(Back End of Line(FEOL))加工处理,这里的设备可以是动态随机存贮器(DRAM)、静态随机读取存贮器(SRAM)、逻辑存贮器、可编程只读存贮器(EPROM)、互补金属硅存贮器(CMOS)等。现在,用化学反应(液态或等离子体)的蚀刻构造技术已用于此类半导体基片中来构造导线结构。
在晶片上沉积光致抗蚀剂膜以形成掩模,然后将基片设计成像在膜层上,经固化后用显影剂去除未显影的图像。然后用等离子能量激发的反应蚀刻气体通过蚀刻(电介质或金属)使保留图像转移到下层材料上。
蚀刻剂气体有选择地攻击基片上未形成保护的区域。多年来液体或湿蚀刻化学物质被大量并广泛地用来蚀刻金属、氧化物和电介质。这些化学物质具有很强的侵蚀性,能形成各向同性蚀刻(即在各个方向上的蚀刻相同)。
渐渐地人们开始使用等离子蚀刻、反应离子蚀刻或离子铣削技术,这类蚀刻工艺会因等离子气体、反应的物质以及光致抗蚀剂的相互反应而形成不希望的副产品。这类副产品的组成通常由蚀刻掉的基片、底层基片、光致抗蚀剂以及蚀刻气体构成。蚀刻设备的类型、工艺条件以及所用基片都会对副产品的形成产生影响。这些副产品通常是指“侧壁聚合物”、“遮避物”或“电子篱笆”,氧等离子或传统的溶剂很难将它们完全去除。通常用来剥离光致抗蚀剂的碱/溶剂混合物型剥离剂的实例包括:美国专利US4770713以及US4403029中所述的二甲基乙酰胺或二甲基甲酰胺和链烷醇胺;美国专利US4428871、US4401747、US4395479中所述的2-吡咯烷酮、二烷基砜和链烷醇胺;美国专利US4744834中所述的2吡咯烷酮和氢氧化四甲铵。然而,当金属结构主要涉及Al-Si或Al-Si-Cu并且“侧壁聚合物”残余物仅含有包含铝的有机金属化合物时,这类剥离组合物仅能从单层金属简单微电路结构中蚀刻的接触口和金属线上清除“侧壁聚合体”。
如果不能将蚀刻残余物从基片上去除,那么残余物将影响基片的后续工艺。因此当工业进展到亚微加工技术时,从基片上有效去除蚀刻残余物和光致抗蚀剂就变得非常重要了。这就需要一种去除溶液,该溶液能去除各类金属如铝、铝/硅/铜、钛、氮化钛、钛/钨、钨、二氧化硅、多晶硅等等离子蚀刻所形成的所有类型的残余物,同时不会侵蚀下层材料,该清洁溶液需要在处理区域具有更为有效的化学性质。不好地清洁效果会使设备的产量、可靠性和性能降低。
还有,如果这些残余物中的成分不能以一定的方式去除或中和,那么残余物将吸收湿气并形成酸性物质侵蚀金属结构。所形成的酸腐蚀导线材料从而产生不良结果如电阻增加和断线。这类问题经常出现,特别是在铝和铝合金用作导线材料时更是如此。如果不对晶片与酸性材料之间的接触进行控制,就会损坏金属结构。因此蚀刻完成后,有必要将蚀刻后抗蚀剂掩模从防护表面去除以便进行最后工序。
最好是开发出一种改进的清洁组合物来将有机聚合物从所涂的无机基片上清除下来,同时不侵蚀、溶解或钝化金属电路,或者改变晶片的化学结构。
现在是用酸性有机溶剂或碱性有机溶剂来去除侧壁残余物的。酸性溶剂通常由酚类化合物或者含氯溶剂和/或芳香族烃和/或烷基苯磺酸构成。这些配方通常都要在等于和大于100℃的温度条件下使用。正常情况下需要用异丙醇来漂洗这些化学物质。
此外,用来去除光致抗蚀剂涂层的剥离组合物以及用来去除蚀刻后残余物的清除组合物大多具有易燃性,对人以及环境都具有相当的危险性,其中所含的反应溶剂混合物具有相当的毒性。还有,这些组合物不仅有毒,而且处理起来成本很高,这是因为它们有可能要以有害废料的形式进行处理。此外,这些组合物通常具有严格的限制的浸渍寿命(bath life),并且多数情况不能再循环使用或再利用。
通常用于超大规模集成(ULSI)结构、步骤一致性更好的普通中间层电介质、TEOS(正硅酸四乙基酯)和硼磷硅酸盐玻璃(BPSG),它们接触通道周围的光致抗蚀剂通常是用HF溶液清除。HF一般不用来攻击电介质材料。这类攻击不希望的(参见Lee,C和Lee,5,Solid StateElectronics 4第921-923页(1997))。因此,需要一种更加环保的、用来剥离并清洁的配方。
稀释的氢氟酸溶液可在一定的条件下通过攻击电介质的通道侧壁来去除侧壁聚合物并因此改变设备的尺寸,并有可能改变介电常数,这可参见Ireland,P.的Thin Solid Films,304第1-12页(1997)。现有的含有HF、硝酸、水以及羟胺的化学物质在侵蚀性上足以蚀刻硅,这可参见A.Muller的US3592773。最近的信息表明HF的稀释溶液不能很好地清除新形成的CFx蚀刻残余物,这可参见K.Ueno等人在J.Electrochem.Soc.第144卷,(7)1997年的“Cleaning of CHF3Plasma-Etched SiO2/SiN/Cu Via Structures with Dilute Hydrofluoric AcidSolutions,”。开口于TiSi2上的接触通道很难用HF溶液进行清洁,因为其会攻击下层的TiSi2层。而且很难在狭窄的亲水性接触通道内大量运送化学物质,参见Baklanov,M.R.等人在Proc.Electrochem.Soc,1998年97-35第602-609页发表的文章。
最近基于氟化物的化学物质都是在限定的条件下用来去除蚀刻后的残余物。这些组合物中有许多都含有氟化物组分,特别是HF。此外,这些组合物可能会含有强腐蚀性化学物质(胆碱衍生物、氢氧化四烷基铵、氢氧化铵)如美国专利US5129955、US5563119或US5571447中公开的物质或者使用两相溶剂体系,该体系中其中一相为氢氟酸和水,另一相含非极性的有机溶剂(酮、醚、链烷烃、链烯烃)(参见美国专利US5603849)。其它的配方包括羟胺和氟化铵(参见Ward的美国专利US5709756)。其它的例子还有欧洲专利申请EP0662705中公开的季铵盐以及氟化物基组合物,美国专利US5630904中公开的有机羧酸铵或胺的羧化物和氟化物基组合物。
其它清洁晶片上的金属以及金属氧化物残余物的方法包括:将水蒸气喷到等离子灰化腔然后再引入含氟气体(氢氟酸)(参见美国专利US5181985),或者是一种含有氢氟酸、氟化铵以及水并且pH值在1.5到7之间的液体。
某些化学物质还可包括螯合剂以促进离子和阴离子杂质从晶片表面的去除(参见PCT/US98/02794),但螯合剂如柠檬酸、五倍子酸以及邻苯二酚等能够侵蚀铝金属线表面的氧化铝。Ohman和Sioberg的研究表明柠檬酸离子的强复合性能够提高氧化铝的溶解性从而使露出金属被进一步腐蚀,在pH为5和6时,该系数为166和468(参见Ohman等人J.Chem.Soc.,Dalton Trans.(1983)的第2513页)。
其它抗蚀剂去除剂的化学物质如美国专利US5792274中公开的那些物质中包括有HF和水溶性有机溶剂和水结合的盐,其pH值在5到8之间。然而,其中没有提到使用氟化氢铵(二氟氢铵),该物质比氟化铵更为稳定,也没有提到共溶剂或者碱性胺化合物与DMSO和氟化物的协同组合物的应用。
2000年4月11日公开的,Misra等人的名称为“Benign Method forEtching Silicon Dioxide”的美国专利US6048406教导了采用二氟氢铵((NH4)HF2)的水溶液来替换氢氟酸,因为该物质对湿法蚀刻二氧化硅来说更好。然而,该专利文献没有教导使用一种能清除光致抗蚀剂或蚀刻残余物的配方。还有,其没有教导加入一种共溶剂或者碱性胺化合物的协同混合物。
1999年3月23日公开的,Rasmussen等人的名称为“Silicon DioxideEtch Process Which Protects Metal”的美国专利US5885477教导了采用氟化铵和氢氟酸与盐的水溶液来蚀刻二氧化硅,同时来减少侵蚀。然而,该专利文献没有教导使用二氟氢铵、共溶剂或者碱性胺化合物。
1985年4月2日公开的,Bartlett等人的名称为“PolymethylMethacrylate Compatible Silicon Dioxide Complexing Agent”的美国专利US4508591教导采用氟化铵和柠檬酸来蚀刻二氧化硅。然而,与Rasmussen等人一样,该专利文献没有教导使用二氟氢铵,共溶剂或者碱性胺化合物,同时也没有教导使用一种能清除光致抗蚀剂或蚀刻残余物的配方。
因此,需要开发出一种改进的二氧化硅蚀刻剂以及光致抗蚀剂和蚀刻后残余物的清除剂从而能从多种基片上将各种不需要的材料清除下来。特别是在集成电路的构造领域,人们越来越需要更好的去除性能同时避免攻击基片。这意味着适用于简单集成电路基片的组合物可能不能满足复杂集成电路构造的需要。这些组合物应当更为经济、环保,使用起来更为容易。
本发明教导了这样一种新的,改进了的用于剥离和清洁的组合物及其使用方法。本发明还包括二氧化硅蚀刻组合物及其使用。该组合物为水性的,能溶解有机和无机基片,并且在使用时能剥离并清洁多种基片。
发明内容
本发明这种新的用来剥离,清洁以及蚀刻的组合物具有提高的协同性能,该性能不是单种组分或者该组分与其它组分混合能够达到的。
本发明的一个目的是提供一种蚀刻残余物的清除组合物,其能有效地将蚀刻后的残余物从基片上去除掉,并能抑制金属离子的再沉积,其还具有耐蚀性。
本发明的另一目的是提供一种有效剥离光致抗蚀剂的组合物。
本发明的另一目的是提供一种有效蚀刻二氧化硅的组合物。
使用该组合物以这里所述的方法就能实现本发明这些及相关目的。
本发明的一种用来剥离光致抗蚀剂的组合物,其包括:重量百分比约0.01%到10%的一种或多种氟化物;重量百分比最高约为95%的一种或多种溶剂,该溶剂是亚砜或砜;以及重量百分比至少约为20%的水。此外,该组合物可选择地包含:碱性胺、共溶剂、阻蚀剂、螯合剂、表面活性剂、酸和碱。一个优选实施方案中含有氟化氢铵、DMSO以及水。
本发明的一种用来清洁蚀刻后残余物的组合物,其包括:重量百分比约0.01%到10%的一种或多种氟化物;重量百分比约为10%到95%的有效量的一种或多种特定溶剂;以及重量百分比至少约为20%的水。优选实施例中是由氟化氢铵、DMSO以及水组成。
此外,该组合物可选择地包含:碱性胺、共溶剂、阻蚀剂、螯合剂、表面活性剂、酸和碱。
作为优选,用来清洁并剥离的组合物由以下物质构成:氟化氢铵、DMSO、水以及共溶剂。该共溶剂选自乙酸、乙酸甲酯、乳酸甲酯、乙酸乙酯、二乙酸乙二醇酯、乳酸乙酯、丙二醇、碳酸亚丙基酯、N-甲基吡咯烷酮、甲氧基乙氧基乙醇以及聚乙二醇单月桂酸酯。
作为优选,用来清洁并剥离的组合物由以下物质构成:氟化氢铵、DMSO、水以及碱性胺。该碱性胺选自羟胺、肼、2-氨基-2-乙氧基乙醇、单乙醇胺、二乙基羟胺、胆碱、甲酸四甲基铵、单异丙醇胺、二乙醇胺以及三乙醇胺。
如果所述本发明的组合物用于金属以及氧化物的蚀刻,那么氟化物的含量要提高。例如,当用作硅蚀刻剂时,氟化铵水溶液的含量优选为约20%到40%。
本发明用来剥离光致抗蚀剂的方法包括:使一种含有一种或多种氟化物化合物、水和亚砜溶剂的组合物在一定的温度下与基片接触足够的时间以剥离该光致抗蚀剂。
本发明用来从基片清洁残余物的方法包括:使一种含有一种或多种氟化物化合物、水和亚砜溶剂的组合物在一定的温度下与基片接触足够的时间以清洁该基片。
本发明用来蚀刻金属或氧化物的方法包括:使一种含有一种或多种氟化物化合物、水和亚砜或砜溶剂的组合物在一定的温度下与金属或氧化物接触足够的时间以蚀刻该金属或氧化物。
附图说明
图1为采用含共溶剂的配方有效清洁后的通道的SEM图;
图2表示胆碱对含氟化物化合物蚀刻速率的影响;
图3为侵蚀速率与HF2 -蚀刻速率的反比关系图;
图4A、4B和4C分别表示为用氢氟酸、氟化氢铵以及氟化铵清洁的结果;
图5A、5B和5C表示分别添加不同化合物丙二醇、乙酰基丙酮、2-氨基-2-乙氧基乙醇的清洁结果;
图6A和6B表示用酯共溶剂清洁通道的结果;
图7为二甲基亚砜/月桂酸乙酯/水的比率为1∶1.5∶1时的结果。
具体实施方式
电子设备的加工中通常要在加工过程中以特定的次数使用光致抗蚀剂的清除剂、蚀刻残余物的清除剂以及二氧化硅的蚀刻剂。为此已广泛使用的是含氟化物的配方。然而,某些含氟化物的化合物如氟化铵的配方由于其中的氨会从液相中蒸发出来,因此对二氧化硅的蚀刻速率不均匀。当氨从溶液中出来时,活性物质HF2 -和HF的浓度会增加,因此其对二氧化硅的攻击性会更大。此外,已发现使用氟化物如氟化铵和氢氟酸和溶剂如二甲基亚砜的水性配方不能在对下层材料不产生显著的侵蚀的同时提供足够的光致抗蚀剂和残余物的去除,除非再在配方中加入其它的化合物。
本发明公开了一种新的配方,其具有均匀的氧化硅蚀刻速率并能有效地去除光致抗蚀剂和蚀刻残余物,同时还能抑制下层材料的侵蚀。在一实施方案中,本发明应用了使用氟化氢铵的氟化物水溶液配方。氟化氢铵是一种更为稳定的氟化物,不会产生氟化铵以及氟化氢所出现的问题如氧化硅蚀刻速率不均匀和过度侵蚀等。
在另一实施例中,本发明使用含有亚砜和砜溶剂和共溶剂的氟化物水性配方,该共溶剂包括酯如乙酸甲酯、乙酸乙酯、乙酸丁酯、乳酸甲酯和乳酸乙酯;二醇如丙二醇;内酰胺如N-甲基吡咯烷酮等,其有助于氟化物配方清洁的有效性。
在另一实施方案中,本发明使用含有亚砜和砜溶剂和碱性胺化合物如2-氨基-2-乙氧基乙醇、单乙醇胺、单异丙醇胺等的氟化物水性配方,其有助于有效地清洁并有助于抑制侵蚀。
这些实施例可单独或组合使用。
本发明组合物的第一种组分是含氟化物的化合物,其可单独使用也可与其它至少一种含氟化物的化合物一起使用。该含氟化物的化合物或其混合物可根据情况进行选择,其重量百分比约在0.01%到10%之间。
合适的氟化物化合物为氟化铵、氟化氢铵以及氟化氢。优选的氟化物为氟化铵和氟化氢铵。最为优选的氟化物是氟化氢铵。为了去除残余物和光致抗蚀剂,氟化物的重量百分比最好从约0.01%到约10%。通常,组合物中氟化物的浓度越低,所需的使用温度就越高。
本发明的二氧化硅蚀刻组合物也包含一种或多种氟化物,但氟化物的重量百分比优选从约7%到约10%。
第二种组分包括亚砜溶剂和砜溶剂或它们的混合物,其对应于下面的分子结构:
其中的R1和R2为H、OH或烷基,至少有一个是烷基。
合适的亚砜溶剂包括下面的一种或它们的混合物:二甲基亚砜(DMSO)、二丙基亚砜、二乙基亚砜、甲基乙基亚砜、二苯基亚砜、甲基苯基亚砜、1,1’-二羟基苯基亚砜等。
Figure C0181426500182
其中的R3-R10独立地为H或烷基。
合适的砜溶剂包括下面的一种或它们的混合物:二甲基砜、二乙基砜等。亚砜或砜溶剂或它们的混合物的重量百分比可根据情况的不同而不同,优选约在10wt%到95wt%之间。
优选实施方案中溶剂与水的比约为60∶40,尽管根据具体情况的不同也可更高或更低一些。在溶剂与水的比约为60∶40时,不会出现过度蚀刻并且所有的残余物都被去掉。过分提高该比值会导致通道侧壁出现过分的蚀刻。
如前所述,当含氟化物的化合物不是氟化氢铵时,其与亚砜溶剂的水溶液配方不会在形成足够的清洁作用的同时不出现明显侵蚀的情况。因此,如果不使用氟化氢铵,必须加入一种或多种共溶剂或碱性胺化合物来优化配方的效果同时减少侵蚀。合适的共溶剂包括下面的一种或它们的混合物:N-烷基内酰胺如N-甲基-2-吡咯烷酮;酯类化合物如乙酸、乙酸甲酯、乳酸甲酯、乙酸乙酯、乳酸乙酯;二醇如丙二醇、二乙酸乙二醇酯、聚乙二醇单月桂酸酯以及其它合适的共溶剂如碳酸亚丙基酯、甲氧基乙氧基乙醇等。
合适的碱性胺化合物包括下面的一种或它们的混合物:羟胺、肼、2-氨基-2-乙氧基乙醇(DGA)、单乙醇胺(MEA)、二乙基羟胺、胆碱、甲酸四甲基铵(TMAF)、单异丙醇胺(MIPA)、二乙醇胺(DEA)以及三乙醇胺(TEA)等。
现已发现氟化氢铵或二氟氢铵在稳定性上比其它氟化物高,并且由于其会产生HF2 -因此蚀刻速率更高。有意思的是,HF2 -对金属的侵蚀性很小。因此,现已发现,相对于其它的氟化物,氟化氢铵会使金属侵蚀最小。
除了上述组分之外,组合物还包含有水。通常情况下使用的是高纯度去离子水。
作为选择,组合物可包含本领域其它公知的溶剂,这包括酰胺类和多元醇类。
作为选择,组合物可包含有阻蚀剂。合适的阻蚀剂包括无机硝酸盐如硝酸铵、硝酸钾、硝酸钠和硝酸铷、硝酸铝以硝酸锌。
作为选择,组合物可包含有螯合剂。合适的螯合剂在1997年9月30日公开的美国专利文献US5672577中有描述,这里其以参考的形式并入本申请。加入螯合剂进一步提高了配方用来去除蚀刻残余物或光致抗蚀剂的有效性。
作为选择,组合物可包含有表面活性剂。合适的表面活性剂包括聚(乙烯醇)、聚(亚乙基亚胺)以及分类为阴离子、阳离子、非离子、两性离子和硅树脂基的任何表面活性剂组合物。优选的表面活性剂是聚(乙烯醇)和聚(亚乙基亚胺)。
某些组分的组合需要加入酸和/或碱从而将pH调节到合适数值。适用于本发明的酸为有机或无机酸。该酸可包括硝酸、硫酸、磷酸、盐酸(尽管盐酸对金属有腐蚀性)以及有机酸、甲酸、乙酸、丙酸、正酪酸、异丁酸、苯甲酸、抗坏血酸、葡萄糖酸、果酸、丙二酸、草酸、丁二酸、酒石酸、柠檬酸以及五倍子酸。
适用来调节清洁溶液pH值的苛性组分可由任何普通的碱组成,即氢氧化钠、氢氧化钾、氢氧化镁等。该组分的主要问题是会将游离的离子引入最后的配方中。游离的离子会损坏当今半导体工业中制造出的计算机芯片。其它可用的碱包括氢氧化铵或其衍生物,这包括三甲基-2-羟乙基氢氧化铵(胆碱)等。
采用本发明清洁组合物来清洁基片的方法涉及以下步骤:使其上有残余物特别的有机金属残余物或金属氧化物残余物的基片在一定温度下与本发明的清洁组合物接触一段时间以便去除残余物。作为选择,其中可使用搅拌、摇动、循环、超声波或本领域公知的其它技术。基片通常浸入到清洁组合物中。根据基片要被除去的特定材料来确定上述时间和温度。通常来说,温度可在环境温度或室温到100℃之间,优选为55℃,接触时间约为1到60分钟,优选为5-30分钟。通常在使用该组合物后要对基片进行漂洗。优选的漂洗溶液为异丙醇和DI水。
本发明的组合物特别适用于从金属和通道(via feature)上去除残余物,但也适用于剥离光致抗蚀剂。本领域普通技术人员都容易确定本发明组合物作为光致抗蚀剂剥离剂的应用。同样,本发明的组合物也可用来蚀刻金属或氧化物。本领域的普通技术人员很容易就能确定该组合物的用途和条件。
采用氟化氢铵除了上述的好处之外,现已发现将碱性胺化合物如2-氨基-2-乙氧基乙醇等加入到含氟化物和有机亚砜和/或砜溶剂的水性配方中能够有效地中和HF,形成例如氟化季铵盐,其反应如下:
由于F-离子不会攻击二氧化硅,因此该配方的侵蚀性很低并且能减少不必要的蚀刻。
已发现将共溶剂如乳酸乙酯等加入到含有氟化物和有机亚砜和/或砜溶剂的水溶液配方中能够提高配方去除蚀刻残余物和光致抗蚀剂的能力。乳酸乙酯去除蚀刻残余物的作用是由于疏水性/亲水性平衡、浸湿能力的改变以及/或混合物极性的改变。加入共溶剂如乳酸乙酯等能够通过氟化物物质、溶剂化作用和溶解作用产生活性化学攻击。
例1.一组含有氟化铵、水和亚砜或砜溶剂的清洁化学物质,其用TiN/AlCu/TiN/Ti/氧化物的叠层金属晶片进行测试,该晶片用市场可买到的蚀刻剂氯化的等离子体进行蚀刻。蚀刻后带有残余物的金属晶片被切成小的样本片,然后将样本片浸入表1的化学溶液中在室温下进行清洁处理5分钟。取出样本片,用去离子水漂洗,用N2气吹干。用Hitachi4500 FE-SEM进行扫描电子显微观察以便评估其清洁和侵蚀效果。可通过肉眼对比的方法对金属叠片上残余物的去除和侵蚀效果进行测评,结果分为1到10个等级。表1中的配方采用重量百分比。
                           表1   时间        结果
  配方   40%NH4F   DI水   DMSO   ACN   HDA   分钟   清洁   侵蚀
    A*     0.75     20     75     0     0     5     10     10
    B     1     35     0     64     0     5     10     8
    C     1     35     0     0     64     5     8     10
反应温度:室温                            结果
DI水:去离子水                            清洁线:1-差,10-完成
DMSO:二甲基亚砜                          侵蚀性:1-攻击强烈,10-没有侵蚀
ACN:乙腈                                *配方A还含有4.25%DMP
HAD:羟胺
结果表明含DMSO的配方具有最佳的清洁性能与最小程度的侵蚀性的结合,仅对铝有轻微的攻击。据此,选择DMSO来进一步研究从而获得更好的配方。
例3.制备重量百分比为42.6%的乳酸乙酯、28.4%的DMSO、28.4%的水以及0.4%的氟化氢铵的混合物来从基片上清洁蚀刻残余物。图1所示为蚀刻残余物的去除效果。
例4.用氟化氢铵或双氟氢铵来代替氟化铵和氢氟酸。结果见图4A-4C,结果最好的是氟化氢铵,其有效地去除了蚀刻残余物,同时没有过分蚀刻被清除的通道。
例5.对不同功能组的清洁性能进行研究。用丙二醇作为羟基来源、乙酰基丙酮作为羰基来源,DGA作为氮来源。如图5A-5C的SEM所示,羟基有助于底层残余物的去除,同时羰基有助于通道侧壁上残余物的清洁。将这些组分混合发现:对清洁有两个有益的效应(乙醇和酮)出现在混合物中。这个新的发现引起了我们对酯基去除残余物和光致抗蚀剂的能力的研究,该酯基根据在羧酸/二醇和酮之间极性构成中间官能团。所测的第一酯化合物为乙酸甲酯,其结果良好。如图6A和6B所示,二乙酸乙二醇酯和乳酸乙酯的测试进一步证实了酯基的有益作用。由于其在水溶液中具有更高的溶解性,因此乳酸乙酯为优选酯和优选的共溶剂。
不同的溶液可具有不同的DMSO/ESTER/DIW比。已发现在乳酸乙酯的情况下该优选比率为1/1.5/1,其结果见图7的SEM结果。
基于例1的结果,可对具有不同浓度DMSO的配方进行测试从而确定在有水的条件下与氟化铵结合的有效范围。所示结果表明对清洁蚀刻抗蚀剂而言,DMSO在重量百分比约10%到约95%之间均有效果,加入碱性有机胺或共溶剂可提高配方的性能。下表展示的配方比单独使用DMSO的配方更为有效。
表2
配方 DMSO 共溶剂 氟化物 碱性有机胺基 螯合剂 潜在应用领域
1  65.5  30.6  AF=1.4  DGA=2.5 稳定的蚀刻剂,残余物去除剂,光致抗蚀剂去除剂
2  69  29.5  AHF=1.5 稳定的蚀刻剂,残余物去除剂,光致抗蚀剂去除剂
3  69  27.4  AHF=1.5 胆碱(水中45%)=2.1 稳定的蚀刻剂,残余物去除剂,光致抗蚀剂去除剂
4  69  26  AHF=1.5 胆碱(水中45%水)=3.5 稳定的蚀刻剂,残余物去除剂,光致抗蚀剂去除剂
5  69  24.6  AHF=1.5 胆碱(水中45%)=4.9 稳定的蚀刻剂,残余物去除剂,光致抗蚀剂去除剂
6  69  21.8  AHF=1.5 胆碱(水中45%)=7.7 稳定的蚀刻剂,残余物去除剂,光致抗蚀剂去除剂
7  69  23.1  20%水溶液AHF=7.4 MEA=0.5 稳定的蚀刻剂,残余物去除剂,光致
抗蚀剂去除剂
8  69  22.8  20%水溶液AHF=7.4  MEA=0.8 稳定的蚀刻剂,残余物去除剂,光致抗蚀剂去除剂
9  69  22.5  20%水溶液AHF=7.4  MEA=1.1 稳定的蚀刻剂,残余物去除剂,光致抗蚀剂去除剂
10  69  22  20%水溶液AHF=7.4  MEA=1.60 稳定的蚀刻剂,残余物去除剂,光致抗蚀剂去除剂
11  69  30  HF=0.5  MEA=0.5 稳定的蚀刻剂,残余物去除剂,光致抗蚀剂去除剂
12  69  29.7  HF=0.5  MEA=0.8 稳定的蚀刻剂,残余物去除剂,光致抗蚀剂去除剂
13  69  29.4  HF=0.5  MEA=1.1 稳定的蚀刻剂,残余物去除剂,光致抗蚀剂去除剂
14  69  28.7  HF=0.5  MEA=1.8 稳定的蚀刻剂,残余物去除剂,光致抗蚀剂去除剂
15  69  29.7  HF=0.5  DGA=0.84 稳定的蚀刻剂,残余物去除剂,光致抗蚀剂去除剂
16  69  29.1  HF=0.5  DGA=1.36 稳定的蚀刻剂,残余物去除剂,光致抗蚀剂去除剂
17  69  28.3  HF=0.5  DGA=2.20 稳定的蚀刻剂,残余物去除剂,光致抗蚀剂去除剂
18  69  27.4  HF=0.5  DGA=3.15 稳定的蚀刻剂,残余物去除剂,光致抗蚀剂去除剂
19  69  29.3  AF=0.6AHF=1.1 稳定的蚀刻剂,残余物去除剂,光致抗蚀剂去除剂
20  69  29.1  AF=1.2AHF=0.75 稳定的蚀刻剂,残余物去除剂,光致抗蚀剂去除剂
21  69  28.8  AF=1.8AHF=0.37 稳定的蚀刻剂,残余物去除剂,光致抗蚀剂去除剂
22  69  25.1  AHF(水中  胆碱(水中 稳定的蚀刻剂,残
 20%)=5.9 45%)=0 余物去除剂,光致抗蚀剂去除剂
23  69  23  AHF(水中20%)=5.9 胆碱(水中45%)=2.1 稳定的蚀刻剂,残余物去除剂,光致抗蚀剂去除剂
24  69  21.6  AHF(水中20%)=5.9 胆碱(水中45%)=3.5 稳定的蚀刻剂,残余物去除剂,光致抗蚀剂去除剂
25  69  20.2  AHF(水中20%)=5.9 胆碱(水中45%)=4.9 稳定的蚀刻剂,残余物去除剂,光致抗蚀剂去除剂
26  69  17.4  AHF(水中20%)=5.9 胆碱(水中45%)=7.7 稳定的蚀刻剂,残余物去除剂,光致抗蚀剂去除剂
27  69  25.5  AHF(水中20%)=5.5 稳定的蚀刻剂,残余物去除剂,光致抗蚀剂去除剂
28  69  27.3  AHF(水中20%)=3.7 稳定的蚀刻剂,残余物去除剂,光致抗蚀剂去除剂
29  69  27.7  AHF(水中20%)=2.3 稳定的蚀刻剂,残余物去除剂,光致抗蚀剂去除剂
30  10  82.6  AHF(水中20%)=7.4 稳定的蚀刻剂,残余物去除剂
31  30  62.6  AHF(水中20%)=7.4 稳定的蚀刻剂,残余物去除剂
32  50  42.6  AHF(水中20%)=7.4 稳定的蚀刻剂,残余物去除剂,光致抗蚀剂去除剂
33  70  22.6  AHF(水中20%)=7.4 稳定的蚀刻剂,残余物去除剂,光致抗蚀剂去除剂
34  90  2.6  AHF(水中20%)=7.4 稳定的蚀刻剂,残余物去除剂,光致抗蚀剂去除剂
35  69  28.5  HF(40%水溶液)=0.65 胆碱=1.9 稳定的蚀刻剂,残余物去除剂,光致抗蚀剂去除剂
36  69  27.7  HF(40%水溶液)=0.65 胆碱=2.7 稳定的蚀刻剂,残余物去除剂,光致抗蚀剂去除剂
37  69  26.9  HF(40%水溶液)= 胆碱=3.5 稳定的蚀刻剂,残余物去除剂,光致
 0.65 抗蚀剂去除剂
38  69  29.6  HF(40%水溶液)=0.65  DGA=0.74 稳定的蚀刻剂,残余物去除剂,光致抗蚀剂去除剂
39  69  29.4  HF(40%水溶液)=0.65  DGA=1.0 稳定的蚀刻剂,残余物去除剂,光致抗蚀剂去除剂
40  69  29  HF(40%水溶液)=0.65  DGA=1.4 稳定的蚀刻剂,残余物去除剂,光致抗蚀剂去除剂
41  69  25.3  AHF(20%水溶液)=5.7 稳定的蚀刻剂,残余物去除剂,光致抗蚀剂去除剂
42  69  28.2  AHF(20%水溶液)=2.85 稳定的蚀刻剂,残余物去除剂,光致抗蚀剂去除剂
43  69  30.4  AHF(20%水溶液)=0.57 稳定的蚀刻剂,残余物去除剂,光致抗蚀剂去除剂
44  85  12.2  AHF(20%水溶液)=2.85 稳定的蚀刻剂,残余物去除剂,光致抗蚀剂去除剂
45  50  AHF=3.705  DGA=50 稳定的蚀刻剂,残余物去除剂,光致抗蚀剂去除剂
46  50  AHF=1.8525  DGA=50 稳定的蚀刻剂,残余物去除剂,光致抗蚀剂去除剂
47  69  29.5  AHF(20%水溶液)=1.4  MEA=0.15 稳定的蚀刻剂,残余物去除剂,光致抗蚀剂去除剂
48  69  27.9  AHF(20%水溶液)=2.8  MEA=0.30 稳定的蚀刻剂,残余物去除剂,光致抗蚀剂去除剂
49  69  29.4  AHF(20%水溶液)=1.4  MEA=0.21 稳定的蚀刻剂,残余物去除剂,光致抗蚀剂去除剂
50  69  27.8  AHF(20%水溶液)=2.8  MEA=0.42 稳定的蚀刻剂,残余物去除剂,光致抗蚀剂去除剂
51  69  29.3  AHF(20%水溶液)=1.4  MEA=0.30 稳定的蚀刻剂,残余物去除剂,光致抗蚀剂去除剂
52  69  27.6  AHF(20%  MEA=0.60 稳定的蚀刻剂,残
 水溶液)=2.8 余物去除剂,光致抗蚀剂去除剂
53  67.3  28.7  AF=1.3  DGA=0.1 五倍子酸=1.6 稳定的蚀刻剂,残余物去除剂,光致抗蚀剂去除剂
54  68.3 乙酸=0.9  29.5  AF=1.3 稳定的蚀刻剂,残余物去除剂,光致抗蚀剂去除剂
55  68 乙酸=0.6  29.2  AF=2.2 稳定的蚀刻剂,残余物去除剂,光致抗蚀剂去除剂
56  67.9 乙酸=0.6  29.1  AF=2.2  DGA=0.1 稳定的蚀刻剂,残余物去除剂,光致抗蚀剂去除剂
57  49.1 NMP=19.6  29.6  AHF=1.3  MEA=0.3 稳定的蚀刻剂,残余物去除剂,光致抗蚀剂去除剂
58  19.6 NMP=49.1  29.5  AHF=1.3  MEA=0.3 稳定的蚀刻剂,残余物去除剂,光致抗蚀剂去除剂
59  58.3 乙酸乙酯=16.6  25  AHF=0.4 稳定的蚀刻剂,残余物去除剂,光致抗蚀剂去除剂
60  53.8 乙酸乙酯=15.3;PG=7.7  23  AHF=0.4 稳定的蚀刻剂,残余物去除剂,光致抗蚀剂去除剂
61  49.7 乙酸乙酯=28.4  21  AHF=0.4 稳定的蚀刻剂,残余物去除剂
62  46.6 乙酸乙酯=26.6;PG=6.6  20  AHF=0.4 稳定的蚀刻剂,残余物去除剂
63  44.9 乙酸乙酯=25.8%;PG=6.4;乙酰丙酮=3  19.3  AHF=0.4 稳定的蚀刻剂,残余物去除剂
64  45.5 乙酸乙酯=26;PG=8.6  19.5  AHF=0.4 稳定的蚀刻剂,残余物去除剂
65  28.4 S乳酸甲酯=  28.4  AHF=0.4 稳定的蚀刻剂,残余物去除剂
42.6
66  28.4 S乳酸乙酯=42.6  28.4  AHF=0.4 稳定的蚀刻剂,残余物去除剂
67  24.9 乙二醇乙二酸酯=37.3  37.3  AHF=0.4 稳定的蚀刻剂,残余物去除剂
68  24.9 聚乙二醇单乳酸酯=37.3  37.3  AHF=0.4 稳定的蚀刻剂,残余物去除剂
69  33.1 二乙酸乙二醇酯=49.7  16.5  AHF=0.4 稳定的蚀刻剂,残余物去除剂
70  28.4 二乙酸乙二醇酯=42.6  28.4  AHF=0.4 稳定的蚀刻剂,残余物去除剂
71  24.9 二乙酸乙二醇酯=37.30  37.3  AHF=0.4 稳定的蚀刻剂,残余物去除剂
72  16.5 二乙酸乙二醇酯=56.8  16.5  AHF=0.4 稳定的蚀刻剂,残余物去除剂
73  14.2 二乙酸乙二醇酯=52  28.4  AHF=0.4 稳定的蚀刻剂,残余物去除剂
74  12.5 二乙酸乙二醇酯=49.7  37.4  AHF=0.4 稳定的蚀刻剂,残余物去除剂
75  33.1 S-乳酸乙酯=49.7  16.5  AHF=0.4 稳定的蚀刻剂,残余物去除剂
76  28.4 S-乳酸乙酯=42.6  28.4  AHF=0.4 稳定的蚀刻剂,残余物去除剂
77  24.9 S-乳酸乙酯=37.3  37.3  AHF=0.4 稳定的蚀刻剂,残余物去除剂
78  16.5 S-乳酸乙酯=  16.5  AHF=0.4 稳定的蚀刻剂,残余物去除剂
  56.8
  79   14.2   S-乳酸乙酯=52   28.4   AHF=0.4   稳定的蚀刻剂,残余物去除剂
  80   12.5   S-乳酸乙酯=49.7   37.4   AHF=0.4   稳定的蚀刻剂,残余物去除剂
  81   18   NMP=49   29.3   AF(40%)=0.75   DGA=3   残余物去除剂
  82   25   NMP=20;PC=25   29.3   AF(40%)=0.75   残余物去除剂
  83   25   PC=25   29.3   AF(40%)=0.75   残余物去除剂
  84   25   NMP=45   29.3   AF(40%)=0.75   残余物去除剂
  85   40   NMP=30   29.3   AF(40%)=0.75   残余物去除剂
  86   57.25   29.3   AF(40%)=3.5   DGA=10   残余物去除剂
  87   62.25   29.3   AF(40%)=3.5   DGA=5   残余物去除剂
  88   64.25   29.3   AF(40%)=3.5   DGA=3   残余物去除剂
  89   64.75   29.3   AF(40%)=3.5   DGA=2.5   残余物去除剂
  90   30   NMP=36.5;PG=2.9   30   HF(50%)=0.1   MIPA=0.5   残余物去除剂
  91   30   NMP=34.5;PG=2.9   30   HF(50%)=0.1   DGA=2.5   残余物去除剂
  92   30   NMP=36.9;PG=2.9   30   HF(50%)=0.1   MEA=0.1   残余物去除剂
  93   30   NMP=55.5;PG=2.9   10   HF(50%)=0.1   MEA=1   DCH=0.5   残余物去除剂
  94   32   NMP=52.5:PG=2.9   10   HF(50%)=0.1   MEA=2   DCH=0.5   残余物去除剂
  95   33.5   NMP=30;PG=2.9   30   HF(50%)=0.1   DGA=3.5   残余物去除剂
  96   34.5   NMP=55.5;PG=2.9   5   HF(50%)=0.1   DGA=2   残余物去除剂
  97   35   NMP=30;   30   HF(50%)=0.1   DGA=2   残余物去除剂
 PG=2.9
98  35  NMP=50;PG=2.9  10  HF(50%)=0.1  DGA=2 残余物去除剂
99  35  NMP=56.9;PG=2.9  5  HF(50%)=0.1  MEA=0.1 残余物去除剂
100  35.5  NMP=30;PG=2.9  30  HF(50%)=0.1  MIPA=1.5 残余物去除剂
101  36  NMP=30;PG=2.9  30  HF(50%)=0.1  MIPA=1 残余物去除剂
102  36  NMP=30;PG=2.9  30  HF(50%)=0.1  MEA=1 残余物去除剂
103  36.5  NMP=30;PG=2.9  30  HF(50%)=0.1  MEA=0.5 残余物去除剂
104  36.9  NMP=30;PG=2.9  30  HF(50%)=0.1  MIPA=0.1 残余物去除剂
105  36.9  NMP=30;PG=2.9  30  HF(50%)=0.1  MEA.=0.1 残余物去除剂
106  37  NMP=49.9;PG=2.9  10  HF(50%)=0.1  MEA=0.1 残余物去除剂
107  37  NMP=49.5;PG=2.9  10  HF(50%)=0.1  MEA=0.5 残余物去除剂
108  37  NMP=54.5;PG=2.9  5  HF(50%)=0.1  MEA=0.5 残余物去除剂
109  37  NMP=49;PG=2.9  10  HF(50%)=0.1  MEA=0.5  DCH=0.5 残余物去除剂
110  37  NMP=48;PG=2.9  10  AF(50%)=0.1  MEA=1.5  DCH=0.5 残余物去除剂
111  53.75  PG=15  27.3  AF(40%)=2.5  HDA®=1.5 残余物去除剂
112  68  28.5  AF(40%)=2.5  DEHA=1 残余物去除剂
113  68.5  28.5  AF(40%)=2.5  DEHA=0.5 残余物去除剂
114  68.9  28.5  AF(40%)=2.5  DEHA=0.1 残余物去除剂
115  71.8  28  HF(50%)=0.1  TBPH=0.1 残余物去除剂
其中:HF=氢氟酸            TBPH=氢氧化四丁基鏻
      DCH=二乙酯基肼       TMAF=甲酸四甲基铵
      AHF=氟化氢铵(二氟化氢铵)
      AF=氟化铵            DGA=二乙醇胺
      MEA=单乙醇胺         NMP=N-甲基吡咯烷酮
      PG=丙二醇            PC=碳酸亚丙基酯
      HDA®=羟胺           DEHA=二乙基羟胺
      MIPA=单异丙醇胺
优选实施方案包括:65.5%DMSO,3.5%氟化铵(40%的水溶液),28.5%DI水以及2.5%DGA。(性能:清洁度=10,侵蚀度=10)。
另一优选实施方案包括:25%DMSO,0.75%氟化铵(40%的水溶液),29.25%DI水以及45%NMP。(性能:清洁度=10,侵蚀度=10)。
另一优选实施方案包括:40%DMSO,0.75%氟化铵(40%的水溶液),29.25%DI水以及30%NMP。(性能:清洁度=10,侵蚀度=10)。
另一优选实施方案包括:18%DMSO,0.75%氟化铵(40%的水溶液),29.25%DI水,49.5%NMP以及3%DGA。(性能:清洁度=9.8,侵蚀度=10)。
同样,通过常规实验,本领域的普通技术人员很容易确定本发明混合物用来剥离特定光致抗蚀剂、清洁蚀刻后残余物或者蚀刻金属或氧化物时每一组分的有效量。
本领域普通技术人员通过前例都清楚可根据不同的条件来改变前述溶液。上述实施例仅是示例性的。该教导的实施例不用来限定本发明。
本说明书中涉及的所有公开文本及专利申请如果特别声明以参考的形式并入本申请,那么它们在这里均以参考的形式原样并入本申请。
显然对于本领域普通技术人员来说,在不脱离本发明权利要求书的精神和范围的基础上还可对上述内容进行改动或变更。

Claims (27)

1、一种用于从基片上剥离光致抗蚀剂并清洁蚀刻残余物的组合物,其包括0.01wt%到10wt%的一种或多种氟化物化合物;15wt%到50wt%的水;10wt%到95wt%的一种化合物,该化合物是有机亚砜或砜溶剂,以及0.1wt%到15wt%碱性胺化合物,其中所述有机亚砜对应于下面的结构式:
其中R1和R2为H、OH或烷基,R1和R2中至少有一个是烷基,所述砜溶剂对应于下面的结构式:
其中R3-R10独立地为H或烷基。
2、如权利要求1所述的组合物,其中所述的氟化物化合物为氟化铵,所述的有机亚砜为二甲基亚砜。
3、如权利要求2所述的组合物,其中所述的碱性胺化合物选自羟胺、肼、2-氨基-2-乙氧基乙醇、单乙醇胺、二乙基羟胺、胆碱、甲酸四甲基铵、单异丙醇胺、二乙醇胺和三乙醇胺。
4、如权利要求1所述的组合物,其中溶剂/胺的总量与水的比为1.5∶1到2.5∶1。
5、如权利要求2所述的组合物,其中所述组合物包括3.5wt%的氟化铵的40%水溶液、65.5wt%的二甲基亚砜、28.5wt%的水以及2.5wt%的2-氨基-2-乙氧基乙醇。
6、一种用来蚀刻金属或氧化物的组合物,其包括7.5wt%到10wt%的一种或多种氟化物;为15wt%到50wt%的水;为10wt%到95wt%的有机亚砜或砜溶剂,所述有机亚砜对应于下面的结构式:
Figure C018142650003C1
其中R1和R2为H、OH或烷基,R1和R2中至少有一个是烷基,所述砜溶剂对应于下面的结构式:
Figure C018142650003C2
其中R3-R10独立地为H或烷基。
7、如权利要求1所述的组合物,其中所述组合物包括3.5wt%的氟化铵、65.5wt%的二甲基亚砜、28.5wt%的水以及2.5wt%的2-氨基-2-乙氧基乙醇。
8、如权利要求3所述的组合物,其中所述碱性胺化合物为胆碱。
9、如权利要求1所述的组合物,其进一步包括一种螯合剂,该螯合剂选自五倍子酸、邻苯二酚氢氧化四丁基鏻和二乙酯基肼。
10、如权利要求1所述的组合物,其进一步包括一种共溶剂,该共溶剂选自乙酸、乙酸甲酯、乳酸甲酯、乙酸乙酯、二乙酸乙二醇酯、乳酸乙酯、丙二醇、碳酸亚丙基酯、N-甲基吡咯烷酮、甲氧基乙氧基乙醇以及聚乙二醇单月桂酸酯。
11、一种用组合物从基片上去除光致抗蚀剂涂层的方法,该组合物包括0.01wt%到10wt%的一种或多种氟化物化合物;15wt%到50wt%的水;10wt%到95wt%的一种化合物,该化合物是有机亚砜或砜溶剂;0.1wt%到15wt%碱性胺化合物;和选自乙酸、乙酸甲酯、乳酸甲酯、乙酸乙酯、二乙酸乙二醇酯、乳酸乙酯、丙二醇、碳酸亚丙基酯、N-甲基吡咯烷酮、甲氧基乙氧基乙醇以及聚乙二醇单月桂酸酯的共溶剂;所述有机亚砜对应于下面的结构式:
Figure C018142650004C1
其中R1和R2为H、OH或烷基,R1和R2中至少有一个是烷基,所述砜溶剂对应于下面的结构式:
Figure C018142650004C2
其中R3-R10独立地为H或烷基。
12、一种用组合物从基片上去除蚀刻残余物的方法,该组合物包括0.01wt%到10wt%的一种或多种氟化物化合物;15wt%到50wt%的水;10wt%到95wt%的一种化合物,该化合物是有机亚砜或砜溶剂;0.1wt%到15wt%碱性胺化合物;和选自乙酸、乙酸甲酯、乳酸甲酯、乙酸乙酯、二乙酸乙二醇酯、乳酸乙酯、丙二醇、碳酸亚丙基酯、N-甲基吡咯烷酮、甲氧基乙氧基乙醇以及聚乙二醇单月桂酸酯的共溶剂;所述有机亚砜对应于下面的结构式:
Figure C018142650005C1
其中R1和R2为H、OH或烷基,R1和R2中至少有一个是烷基,所述砜溶剂对应于下面的结构式:
其中R3-R10独立地为H或烷基。
13、一种用组合物蚀刻二氧化硅的方法,该组合物包括20wt%到40wt%的一种或多种氟化物化合物;15wt%到50wt%的水;10wt%到95wt%的一种化合物,该化合物是有机亚砜或砜溶剂;所述有机亚砜对应于下面的结构式:
Figure C018142650005C3
其中R1和R2为H、OH或烷基,R1和R2中至少有一个是烷基,所述砜溶剂对应于下面的结构式:
其中R3-R10独立地为H或烷基。
14、一种用组合物从基片上去除光致抗蚀剂涂层的方法,该组合物包括0.01wt%到10wt%的氟化氢铵;15wt%到50wt%的水;10wt%到95wt%的一种化合物,该化合物是对应于下式的有机亚砜溶剂;
其中R1和R2为H、OH或烷基,R1和R2中至少有一个是烷基,或对应于下式的砜溶剂:
Figure C018142650006C3
其中R3-R10独立地为H或烷基。
15、一种用组合物从基片上去除蚀刻残余物的方法,该组合物包括0.01wt%到10wt%的氟化氢铵;15wt%到50wt%的水;10wt%到95wt%的一种化合物,该化合物是对应于下式的有机亚砜溶剂;
其中R1和R2为H、OH或烷基,R1和R2中至少有一个是烷基,或对应于下式的砜溶剂:
Figure C018142650007C2
其中R3-R10独立地为H或烷基。
16、一种用组合物蚀刻二氧化硅的方法,该组合物包括20wt%到40wt%的选自氟化氢铵和氟化铵的一种或多种氟化物化合物;15wt%到50wt%的水;10wt%到95wt%的一种化合物,和共溶剂;该化合物是对应于下式的有机亚砜溶剂;
其中R1和R2为H、OH或烷基,R1和R2中至少有一个是烷基,或对应于下式的砜溶剂:
其中R3-R10独立地为H或烷基。
17、一种用组合物蚀刻二氧化硅的方法,该组合物包括20wt%到40wt%的一种或多种氟化物化合物;15wt%到50wt%的水;10wt%到95wt%的一种化合物,该化合物是对应于下式的有机亚砜溶剂;
其中R1和R2为H、OH或烷基,R1和R2中至少有一个是烷基,或对应于下式的砜溶剂:
其中R3-R10独立地为H或烷基。
18.如权利要求12所述的方法,其中所述共溶剂以0.1-60wt%的量存在。
19.如权利要求12所述的方法,其中所述氟化物化合物是氟化氢铵,并且所述有机亚砜是二甲基亚砜。
20.如权利要求12所述的方法,其中所述氟化物化合物是氟化铵,并且所述有机亚砜是二甲基亚砜。
21.如权利要求12所述的方法,其进一步包括一种螯合剂,该螯合剂选自五倍子酸、邻苯二酚、氢氧化四丁基鏻以及二乙酯基肼。
22.如权利要求15所述的方法,其中所述组合物进一步包括一种共溶剂,该共溶剂选自乙酸、乙酸甲酯、乳酸甲酯、乙酸乙酯、二乙酸乙二醇酯、乳酸乙酯、丙二醇、碳酸亚丙基酯、N-甲基吡咯烷酮、甲氧基乙氧基乙醇以及聚乙二醇单月桂酸酯。
23.如权利要求15所述的方法,其中所述组合物进一步包括一种碱性胺化合物,所述碱性胺化合物选自羟胺、肼、2-氨基-2-乙氧基乙醇、单乙醇胺、二乙基羟胺、胆碱、甲酸四甲基铵、单异丙醇胺、二乙醇胺和三乙醇胺。
24、如权利要求15所述的方法,其中所述组合物进一步包括一种螯合剂,该螯合剂选自五倍子酸、邻苯二酚、氢氧化四丁基鏻以及二乙酯基肼。
25.如权利要求15所述的方法,其中所述共溶剂是乳酸乙酯,并且其含量为30-60wt%。
26.如权利要求17所述的方法,其中所述氟化物化合物是氟化铵。
27.如权利要求17所述的方法,其中所述氟化物化合物是氟化氢铵。
CN018142656A 2000-07-10 2001-07-10 用于清洁半导体设备上有机残余物和等离子蚀刻残余物的组合物 Expired - Fee Related CN1218222C (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US21765000P 2000-07-10 2000-07-10
US60/217,650 2000-07-10

Publications (2)

Publication Number Publication Date
CN1447754A CN1447754A (zh) 2003-10-08
CN1218222C true CN1218222C (zh) 2005-09-07

Family

ID=22811931

Family Applications (1)

Application Number Title Priority Date Filing Date
CN018142656A Expired - Fee Related CN1218222C (zh) 2000-07-10 2001-07-10 用于清洁半导体设备上有机残余物和等离子蚀刻残余物的组合物

Country Status (8)

Country Link
US (1) US6777380B2 (zh)
EP (1) EP1360077A4 (zh)
JP (1) JP4959095B2 (zh)
KR (1) KR100764888B1 (zh)
CN (1) CN1218222C (zh)
AU (1) AU2001278890A1 (zh)
TW (1) TW527409B (zh)
WO (1) WO2002004233A1 (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN108121175A (zh) * 2016-11-29 2018-06-05 安集微电子科技(上海)股份有限公司 一种含氟清洗液

Families Citing this family (91)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7144848B2 (en) * 1992-07-09 2006-12-05 Ekc Technology, Inc. Cleaning compositions containing hydroxylamine derivatives and processes using same for residue removal
US7135445B2 (en) * 2001-12-04 2006-11-14 Ekc Technology, Inc. Process for the use of bis-choline and tris-choline in the cleaning of quartz-coated polysilicon and other materials
US7456140B2 (en) * 2000-07-10 2008-11-25 Ekc Technology, Inc. Compositions for cleaning organic and plasma etched residues for semiconductor devices
JP2002303993A (ja) * 2001-04-04 2002-10-18 Mitsubishi Electric Corp 半導体装置およびその製造方法
MY143399A (en) * 2001-07-09 2011-05-13 Avantor Performance Mat Inc Microelectronic cleaning compositons containing ammonia-free fluoride salts for selective photoresist stripping and plasma ash residue cleaning
US20030171239A1 (en) * 2002-01-28 2003-09-11 Patel Bakul P. Methods and compositions for chemically treating a substrate using foam technology
US20040038840A1 (en) * 2002-04-24 2004-02-26 Shihying Lee Oxalic acid as a semiaqueous cleaning product for copper and dielectrics
JP2003332465A (ja) * 2002-05-14 2003-11-21 Mitsubishi Electric Corp 半導体メモリデバイスの製造方法
US7252718B2 (en) * 2002-05-31 2007-08-07 Ekc Technology, Inc. Forming a passivating aluminum fluoride layer and removing same for use in semiconductor manufacture
US6835667B2 (en) * 2002-06-14 2004-12-28 Fsi International, Inc. Method for etching high-k films in solutions comprising dilute fluoride species
US7192860B2 (en) * 2002-06-20 2007-03-20 Honeywell International Inc. Highly selective silicon oxide etching compositions
US20030235986A1 (en) * 2002-06-20 2003-12-25 Wolfgang Sievert Silicon oxide etching compositions with reduced water content
DE10239656A1 (de) * 2002-08-26 2004-03-11 Merck Patent Gmbh Ätzpasten für Titanoxid-Oberflächen
TWI282814B (en) * 2002-09-13 2007-06-21 Daikin Ind Ltd Etchant and etching method
US7166419B2 (en) 2002-09-26 2007-01-23 Air Products And Chemicals, Inc. Compositions substrate for removing etching residue and use thereof
KR100862988B1 (ko) * 2002-09-30 2008-10-13 주식회사 동진쎄미켐 포토레지스트 리무버 조성물
US6969688B2 (en) * 2002-10-08 2005-11-29 Taiwan Semiconductor Manufacturing Co., Ltd. Wet etchant composition and method for etching HfO2 and ZrO2
US20040237997A1 (en) * 2003-05-27 2004-12-02 Applied Materials, Inc. ; Method for removal of residue from a substrate
US8101025B2 (en) * 2003-05-27 2012-01-24 Applied Materials, Inc. Method for controlling corrosion of a substrate
US20040259746A1 (en) * 2003-06-20 2004-12-23 Warren Jonathan N. Concentrate composition and process for removing coatings from surfaces such as paint application equipment
US7192910B2 (en) * 2003-10-28 2007-03-20 Sachem, Inc. Cleaning solutions and etchants and methods for using same
KR100682188B1 (ko) * 2003-11-25 2007-02-12 주식회사 하이닉스반도체 포토레지스트 세정액 조성물 및 이를 이용한 패턴 형성방법
CA2590325A1 (en) * 2003-12-02 2005-06-23 Advanced Technology Materials, Inc. Resist, barc and gap fill material stripping chemical and method
JP4326928B2 (ja) * 2003-12-09 2009-09-09 株式会社東芝 フォトレジスト残渣除去液組成物及び該組成物を用いる半導体回路素子の製造方法
JP4369284B2 (ja) * 2004-04-19 2009-11-18 東友ファインケム株式会社 レジスト剥離剤
KR100606187B1 (ko) * 2004-07-14 2006-08-01 테크노세미켐 주식회사 반도체 기판 세정용 조성물, 이를 이용한 반도체 기판세정방법 및 반도체 장치 제조 방법
KR100634401B1 (ko) * 2004-08-03 2006-10-16 삼성전자주식회사 반도체 제조공정의 기판 처리 방법
US20070054482A1 (en) * 2004-08-10 2007-03-08 Takahito Nakajima Semiconductor device fabrication method
US20060094612A1 (en) * 2004-11-04 2006-05-04 Mayumi Kimura Post etch cleaning composition for use with substrates having aluminum
US20060102197A1 (en) * 2004-11-16 2006-05-18 Kang-Lie Chiang Post-etch treatment to remove residues
DE102004060315A1 (de) * 2004-12-15 2006-06-22 Basf Ag Verfahren zur Authentizitätsprüfung von Waren
JP2006169442A (ja) * 2004-12-17 2006-06-29 Tokuyama Corp 洗浄剤
US7923424B2 (en) * 2005-02-14 2011-04-12 Advanced Process Technologies, Llc Semiconductor cleaning using superacids
US20060183654A1 (en) * 2005-02-14 2006-08-17 Small Robert J Semiconductor cleaning using ionic liquids
EP1853973A1 (en) * 2005-02-25 2007-11-14 Ekc Technology, Inc. Method to remove resist, etch residue, and copper oxide from substrates having copper and low-k dielectric material
AU2005328846B2 (en) * 2005-03-09 2009-11-26 Olympus Corporation Device to be introduced into subject and system to be introduced into subject
US8044009B2 (en) * 2005-04-04 2011-10-25 Avantor Performance Materials, Inc. Compositions for cleaning ion implanted photoresist in front end of line applications
KR101088568B1 (ko) * 2005-04-19 2011-12-05 아반토르 퍼포먼스 머티리얼스, 인크. 갈바닉 부식을 억제하는 비수성 포토레지스트 스트립퍼
CN1862391B (zh) * 2005-05-13 2013-07-10 安集微电子(上海)有限公司 除光阻层的组合物及其使用方法
US8263539B2 (en) * 2005-10-28 2012-09-11 Dynaloy, Llc Dynamic multi-purpose composition for the removal of photoresists and methods for its use
US20070099806A1 (en) * 2005-10-28 2007-05-03 Stewart Michael P Composition and method for selectively removing native oxide from silicon-containing surfaces
US7632796B2 (en) 2005-10-28 2009-12-15 Dynaloy, Llc Dynamic multi-purpose composition for the removal of photoresists and method for its use
US9329486B2 (en) 2005-10-28 2016-05-03 Dynaloy, Llc Dynamic multi-purpose composition for the removal of photoresists and method for its use
US7534753B2 (en) * 2006-01-12 2009-05-19 Air Products And Chemicals, Inc. pH buffered aqueous cleaning composition and method for removing photoresist residue
JP2007211203A (ja) * 2006-02-13 2007-08-23 Three M Innovative Properties Co フッ素含有化合物を含む洗浄剤組成物及びその使用方法
US20070219105A1 (en) * 2006-03-17 2007-09-20 Georgia Tech Research Corporation Ionic Additives to Solvent-Based Strippers
WO2007140193A1 (en) * 2006-05-25 2007-12-06 Honeywell International Inc. Selective tantalum carbide etchant, methods of production and uses thereof
US7943562B2 (en) 2006-06-19 2011-05-17 Samsung Electronics Co., Ltd. Semiconductor substrate cleaning methods, and methods of manufacture using same
US20080139436A1 (en) * 2006-09-18 2008-06-12 Chris Reid Two step cleaning process to remove resist, etch residue, and copper oxide from substrates having copper and low-K dielectric material
JP4642001B2 (ja) * 2006-10-24 2011-03-02 関東化学株式会社 フォトレジスト残渣及びポリマー残渣除去液組成物
US8026201B2 (en) * 2007-01-03 2011-09-27 Az Electronic Materials Usa Corp. Stripper for coating layer
US8021490B2 (en) 2007-01-04 2011-09-20 Eastman Chemical Company Substrate cleaning processes through the use of solvents and systems
KR100891255B1 (ko) * 2007-01-05 2009-04-01 주식회사 하이닉스반도체 커패시터의 리닝 방지용 식각액 조성물 및 이를 이용한커패시터 제조 방법
JP2009014938A (ja) * 2007-07-03 2009-01-22 Toagosei Co Ltd レジスト剥離剤組成物
US20090029274A1 (en) * 2007-07-25 2009-01-29 3M Innovative Properties Company Method for removing contamination with fluorinated compositions
WO2009108474A1 (en) * 2008-02-29 2009-09-03 Mallinckrodt Baker, Inc. Microelectronic substrate cleaning compositions
TWI460557B (zh) * 2008-03-07 2014-11-11 Wako Pure Chem Ind Ltd 半導體表面用處理劑組成物及使用半導體表面用處理劑組成物之半導體表面處理方法
CN201219685Y (zh) * 2008-04-16 2009-04-15 韩广民 组装结构产品及庭院椅
TWI450052B (zh) * 2008-06-24 2014-08-21 Dynaloy Llc 用於後段製程操作有效之剝離溶液
MY160647A (en) * 2008-10-09 2017-03-15 Avantor Performance Mat Inc Aqueous acidic formulations for copper oxide etch residue removal and prevention of copper electrodeposition
WO2010098899A1 (en) 2009-02-25 2010-09-02 Mallinckrodt Baker, Inc. Multipurpose acidic, organic solvent based microelectronic cleaning composition
JP2013504782A (ja) * 2009-09-09 2013-02-07 ドンウ ファイン−ケム カンパニー.,リミティド. 銅系配線の形成のためのレジスト除去用組成物
US8101561B2 (en) 2009-11-17 2012-01-24 Wai Mun Lee Composition and method for treating semiconductor substrate surface
TWI539493B (zh) 2010-03-08 2016-06-21 黛納羅伊有限責任公司 用於摻雜具有分子單層之矽基材之方法及組合物
KR101861713B1 (ko) * 2010-08-20 2018-05-29 미쯔비시 가스 케미칼 컴파니, 인코포레이티드 트랜지스터의 제조 방법
FR2965567B1 (fr) * 2010-10-05 2013-12-27 Arkema France Composition de nettoyage de polymeres
EP2514799A1 (en) * 2011-04-21 2012-10-24 Rohm and Haas Electronic Materials LLC Improved polycrystalline texturing composition and method
CN102163011A (zh) * 2011-04-29 2011-08-24 西安东旺精细化学有限公司 一种光致抗蚀剂的剥离液组合物
CN103163745B (zh) * 2011-12-15 2015-09-02 中芯国际集成电路制造(上海)有限公司 光刻胶层的去除方法、晶体管的形成方法
US8951950B2 (en) * 2012-03-12 2015-02-10 Ekc Technology Aluminum post-etch residue removal with simultaneous surface passivation
KR102081710B1 (ko) * 2012-07-31 2020-02-28 세메스 주식회사 기판 세정 장치 및 기판 세정 방법
US9158202B2 (en) 2012-11-21 2015-10-13 Dynaloy, Llc Process and composition for removing substances from substrates
US20160322232A1 (en) * 2013-12-20 2016-11-03 Entegris, Inc. Use of non-oxidizing strong acids for the removal of ion-implanted resist
WO2016028454A1 (en) 2014-08-18 2016-02-25 3M Innovative Properties Company Conductive layered structure and methods of making same
KR20230022266A (ko) * 2015-10-02 2023-02-14 아반토르 퍼포먼스 머티리얼스, 엘엘씨 우월한 기판 상용성 및 특출한 배스 안정성을 갖는 산성 반-수성 플루오라이드 활성화 반사방지 코팅 세정제
TWI705132B (zh) * 2015-10-08 2020-09-21 日商三菱瓦斯化學股份有限公司 半導體元件之洗淨用液體組成物、半導體元件之洗淨方法及半導體元件之製造方法
TWI816635B (zh) * 2015-10-15 2023-10-01 日商三菱瓦斯化學股份有限公司 半導體元件之洗淨用液體組成物、半導體元件之洗淨方法及半導體元件之製造方法
WO2018061065A1 (ja) * 2016-09-30 2018-04-05 パナソニックIpマネジメント株式会社 レジスト剥離液
CN107980105B (zh) * 2016-11-29 2019-10-18 松下知识产权经营株式会社 抗蚀剂剥离液
CN106833962A (zh) * 2016-12-26 2017-06-13 上海申和热磁电子有限公司 用于去除半导体蚀刻腔体陶瓷涂层零件污染物的清洗剂及其制备和应用
CN108255025A (zh) * 2016-12-28 2018-07-06 安集微电子(上海)有限公司 一种清洗液
EP3602606A4 (en) 2017-03-24 2020-02-05 FUJIFILM Electronic Materials U.S.A, Inc. SURFACE TREATMENT METHODS AND COMPOSITIONS THEREFOR
CN107817656A (zh) * 2017-07-06 2018-03-20 上海新阳半导体材料股份有限公司 一种可用于去毛刺的去胶剂、其制备方法和应用
CN107589637A (zh) * 2017-08-29 2018-01-16 昆山艾森半导体材料有限公司 一种含氟铝线清洗液
EP3721297B1 (en) * 2017-12-08 2024-02-07 Henkel AG & Co. KGaA Photoresist stripper compostion
CN109971565B (zh) * 2017-12-27 2021-10-22 安集微电子(上海)有限公司 一种含氟清洗液
IL275626B1 (en) 2018-01-05 2024-03-01 Fujifilm Electronic Mat Usa Inc Preparations and methods for surface treatment
US10886249B2 (en) * 2018-01-31 2021-01-05 Ams International Ag Hybrid wafer-to-wafer bonding and methods of surface preparation for wafers comprising an aluminum metalization
CN110669597A (zh) * 2018-07-03 2020-01-10 安集微电子科技(上海)股份有限公司 一种含氟清洗液
CN109346403A (zh) * 2018-09-26 2019-02-15 广西桂芯半导体科技有限公司 一种晶圆的减薄方法
US20230340370A1 (en) 2020-08-25 2023-10-26 Basf Se Composition, Its Use And A Process For Removing Post-Etch Residues

Family Cites Families (41)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE1621510A1 (de) 1967-03-23 1971-04-29 Siemens Ag Loesungsmittelgemisch mit Salpetersaeure und Flusssaeure zum nasschemischen AEtzen von Silizium
US4395479A (en) 1981-09-23 1983-07-26 J. T. Baker Chemical Company Stripping compositions and methods of stripping resists
US4428871A (en) 1981-09-23 1984-01-31 J. T. Baker Chemical Company Stripping compositions and methods of stripping resists
US4401747A (en) 1982-09-02 1983-08-30 J. T. Baker Chemical Company Stripping compositions and methods of stripping resists
US4403029A (en) 1982-09-02 1983-09-06 J. T. Baker Chemical Company Stripping compositions and methods of stripping resists
US4508591A (en) 1984-03-08 1985-04-02 Hewlett-Packard Company Polymethyl methacrylate compatible silicon dioxide complexing agent
US4744834A (en) 1986-04-30 1988-05-17 Noor Haq Photoresist stripper comprising a pyrrolidinone, a diethylene glycol ether, a polyglycol and a quaternary ammonium hydroxide
US4770713A (en) 1986-12-10 1988-09-13 Advanced Chemical Technologies, Inc. Stripping compositions containing an alkylamide and an alkanolamine and use thereof
US5181985A (en) 1988-06-01 1993-01-26 Wacker-Chemitronic Gesellschaft Fur Elektronik-Grundstoffe Mbh Process for the wet-chemical surface treatment of semiconductor wafers
US5129955A (en) 1989-01-11 1992-07-14 Dainippon Screen Mfg. Co., Ltd. Wafer cleaning method
US5279771A (en) 1990-11-05 1994-01-18 Ekc Technology, Inc. Stripping compositions comprising hydroxylamine and alkanolamine
US5981454A (en) 1993-06-21 1999-11-09 Ekc Technology, Inc. Post clean treatment composition comprising an organic acid and hydroxylamine
US5928430A (en) * 1991-01-25 1999-07-27 Advanced Scientific Concepts, Inc. Aqueous stripping and cleaning compositions containing hydroxylamine and use thereof
TW263531B (zh) 1992-03-11 1995-11-21 Mitsubishi Gas Chemical Co
JP2857042B2 (ja) 1993-10-19 1999-02-10 新日本製鐵株式会社 シリコン半導体およびシリコン酸化物の洗浄液
JPH09511262A (ja) * 1993-12-10 1997-11-11 アーマー オール プロダクツ コーポレイション 酸フッ化物塩を含むホイール洗浄組成物
JP3264405B2 (ja) 1994-01-07 2002-03-11 三菱瓦斯化学株式会社 半導体装置洗浄剤および半導体装置の製造方法
JP3074634B2 (ja) 1994-03-28 2000-08-07 三菱瓦斯化学株式会社 フォトレジスト用剥離液及び配線パターンの形成方法
US5563119A (en) 1995-01-26 1996-10-08 Ashland Inc. Stripping compositions containing alkanolamine compounds
US5571447A (en) 1995-03-20 1996-11-05 Ashland Inc. Stripping and cleaning composition
US5695661A (en) 1995-06-07 1997-12-09 Micron Display Technology, Inc. Silicon dioxide etch process which protects metal
JP3236220B2 (ja) * 1995-11-13 2001-12-10 東京応化工業株式会社 レジスト用剥離液組成物
US5603849A (en) 1995-11-15 1997-02-18 Micron Technology, Inc. Methods and compositions for cleaning silicon wafers with a dynamic two phase liquid system with hydrofluoric acid
US5645737A (en) 1996-02-21 1997-07-08 Micron Technology, Inc. Wet clean for a surface having an exposed silicon/silica interface
JPH1055993A (ja) * 1996-08-09 1998-02-24 Hitachi Ltd 半導体素子製造用洗浄液及びそれを用いた半導体素子の製造方法
US5709756A (en) 1996-11-05 1998-01-20 Ashland Inc. Basic stripping and cleaning composition
US5698503A (en) * 1996-11-08 1997-12-16 Ashland Inc. Stripping and cleaning composition
US6048406A (en) 1997-04-08 2000-04-11 Texas Instruments Incorporated Benign method for etching silicon dioxide
JPH11282176A (ja) * 1998-03-26 1999-10-15 Toray Fine Chemical Kk フォトレジスト剥離用組成物
JPH1116882A (ja) * 1997-06-19 1999-01-22 Toray Fine Chem Co Ltd フォトレジスト剥離用組成物
JPH1167632A (ja) * 1997-08-18 1999-03-09 Mitsubishi Gas Chem Co Inc 半導体装置用洗浄剤
JP3606738B2 (ja) * 1998-06-05 2005-01-05 東京応化工業株式会社 アッシング後の処理液およびこれを用いた処理方法
US6248704B1 (en) * 1999-05-03 2001-06-19 Ekc Technology, Inc. Compositions for cleaning organic and plasma etched residues for semiconductors devices
JP3255623B2 (ja) * 1999-06-17 2002-02-12 東京応化工業株式会社 レジスト用剥離液組成物
US6235693B1 (en) * 1999-07-16 2001-05-22 Ekc Technology, Inc. Lactam compositions for cleaning organic and plasma etched residues for semiconductor devices
JP3410403B2 (ja) * 1999-09-10 2003-05-26 東京応化工業株式会社 ホトレジスト用剥離液およびこれを用いたホトレジスト剥離方法
JP3389166B2 (ja) * 1999-09-10 2003-03-24 日本電気株式会社 レジスト用剥離液組成物
JP3891768B2 (ja) * 1999-12-28 2007-03-14 株式会社トクヤマ 残さ洗浄液
JP3976160B2 (ja) * 2000-02-29 2007-09-12 東京応化工業株式会社 アッシング後の処理液およびこれを用いた処理方法
JP3431074B2 (ja) * 2000-06-28 2003-07-28 日本電気株式会社 剥離剤組成物および剥離方法
KR100720137B1 (ko) * 2005-02-21 2007-05-18 삼성전자주식회사 휴대용 단말기에서 기능실행 권유알람 이벤트 처리 장치 및방법

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN108121175A (zh) * 2016-11-29 2018-06-05 安集微电子科技(上海)股份有限公司 一种含氟清洗液

Also Published As

Publication number Publication date
JP2004502980A (ja) 2004-01-29
EP1360077A1 (en) 2003-11-12
KR20030022273A (ko) 2003-03-15
WO2002004233A8 (en) 2003-06-19
KR100764888B1 (ko) 2007-10-09
EP1360077A4 (en) 2009-06-24
US20020037820A1 (en) 2002-03-28
JP4959095B2 (ja) 2012-06-20
WO2002004233A1 (en) 2002-01-17
AU2001278890A1 (en) 2002-01-21
TW527409B (en) 2003-04-11
US6777380B2 (en) 2004-08-17
CN1447754A (zh) 2003-10-08

Similar Documents

Publication Publication Date Title
CN1218222C (zh) 用于清洁半导体设备上有机残余物和等离子蚀刻残余物的组合物
US7456140B2 (en) Compositions for cleaning organic and plasma etched residues for semiconductor devices
EP1177275B1 (en) Compositions for cleaning organic and plasma etched residues for semiconductor devices
KR102266832B1 (ko) TiN 하드 마스크 및 에치 잔류물 제거
US6235693B1 (en) Lactam compositions for cleaning organic and plasma etched residues for semiconductor devices
US20050003977A1 (en) Composition for cleaning
US20050245409A1 (en) Reducing oxide loss when using fluoride chemistries to remove post-etch residues in semiconductor processing
CN1871333A (zh) 用于高效清洁/抛光半导体晶片的组合物和方法
EP1488286A1 (en) Ph buffered compositions for cleaning semiconductor substrates
WO2012051380A2 (en) Composition for and method of suppressing titanium nitride corrosion
JP2003280219A (ja) フォトレジスト残渣除去液組成物
WO2007045269A1 (en) Method for cleaning a semiconductor structure and chemistry thereof
US20050089489A1 (en) Composition for exfoliation agent effective in removing resist residues
TWI534261B (zh) 金屬鑲嵌製程之側壁聚合物用之清洗溶液及其使用方法
JP5278434B2 (ja) 半導体ドライプロセス後の残渣除去液及びそれを用いた残渣除去方法
WO2012029938A1 (ja) フォトレジスト残渣およびポリマー残渣除去液組成物
JP2006058675A (ja) 多段階処理用剥離液およびこれを用いたエッチング残渣物の剥離方法
JP2007086689A (ja) フォトレジスト残渣及びポリマー残渣除去液
WO2007045268A1 (en) Method for removing etch residue and chemistry therefor

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
C17 Cessation of patent right
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20050907

Termination date: 20090710