CN1218276A - 超浅半导体结的制作 - Google Patents

超浅半导体结的制作 Download PDF

Info

Publication number
CN1218276A
CN1218276A CN98123993A CN98123993A CN1218276A CN 1218276 A CN1218276 A CN 1218276A CN 98123993 A CN98123993 A CN 98123993A CN 98123993 A CN98123993 A CN 98123993A CN 1218276 A CN1218276 A CN 1218276A
Authority
CN
China
Prior art keywords
depth
degree
ion
dopant
conducting material
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN98123993A
Other languages
English (en)
Other versions
CN100403493C (zh
Inventor
李锦良
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
International Business Machines Corp
Original Assignee
International Business Machines Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by International Business Machines Corp filed Critical International Business Machines Corp
Publication of CN1218276A publication Critical patent/CN1218276A/zh
Application granted granted Critical
Publication of CN100403493C publication Critical patent/CN100403493C/zh
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823814Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the source or drain structures, e.g. specific source or drain implants or silicided source or drain structures or raised source or drain structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/265Bombardment with radiation with high-energy radiation producing ion implantation
    • H01L21/26506Bombardment with radiation with high-energy radiation producing ion implantation in group IV semiconductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/265Bombardment with radiation with high-energy radiation producing ion implantation
    • H01L21/26506Bombardment with radiation with high-energy radiation producing ion implantation in group IV semiconductors
    • H01L21/26513Bombardment with radiation with high-energy radiation producing ion implantation in group IV semiconductors of electrically active species
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/265Bombardment with radiation with high-energy radiation producing ion implantation
    • H01L21/2658Bombardment with radiation with high-energy radiation producing ion implantation of a molecular ion, e.g. decaborane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/04Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their crystalline structure, e.g. polycrystalline, cubic or particular orientation of crystalline planes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/10Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode not carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/107Substrate region of field-effect devices
    • H01L29/1075Substrate region of field-effect devices of field-effect transistors
    • H01L29/1079Substrate region of field-effect devices of field-effect transistors with insulated gate
    • H01L29/1083Substrate region of field-effect devices of field-effect transistors with insulated gate with an inactive supplementary region, e.g. for preventing punch-through, improving capacity effect or leakage current

Landscapes

  • Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • High Energy & Nuclear Physics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Toxicology (AREA)
  • Health & Medical Sciences (AREA)
  • Ceramic Engineering (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Chemical & Material Sciences (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)

Abstract

提供了一种采用高能同时注入步骤、低能掺杂剂注入步骤以及快速等温退火步骤的超浅半导体结的制造方法。还提供了诸如含有所述超浅半导体结的FET和CMOS器件之类的微电子器件。

Description

超浅半导体结的制作
本发明涉及到微电子学,更确切地说是涉及到诸如互补金属氧化物半导体(CMOS)器件之类的场效应晶体管(FET)器件中的超浅结的制造方法。
CMOS器件在小型化方面的进展,是诸如ASIC高速微处理器和存储器、低功率手持计算器件、有线调制解调器以及新式多媒体声像器件之类的各种网络中心计算产品飞速增长的一个关键动力。通常,较小的CMOS器件就等于较快的开关时间,导致用户终端系统速度更高且性能更好。
CMOS器件小型化工艺涉及到减小CMOS器件结构中的各个水平和垂直尺寸。具体地说是减小p型或n型晶体管的离子注入的源/漏结的厚度,相应地提高衬底沟道掺杂。以这种方法在晶体管沟道中保持恒定的电场,这使尺寸减小了的CMOS晶体管有更高的速度性能。例如,对于0.1μm的CMOS器件,最靠近晶体管沟道的源/漏扩散结浅至50nm,而沟道掺杂浓度高达1×1018/cm3
对于具有0.25μm以下的栅临界尺寸的CMOS器件,浅结不是唯一的要求。在源/漏扩散结中的一个更重要的要求是晶体管沟道附近的结/掺杂剂分布斜率的突变性。如图1(a)所示,随着结分布斜率陡度变小,有更多的源漏掺杂剂渗透到晶体管沟道中,这就使亚四分之一微米CMOS器件的阈值电压频率响应跌落特性很差(例如见图1(b))。这样,对于小的新式的CMOS器件,源/漏扩散结分布很浅、很陡并具有很高的表面浓度,就成为至关重要的。
CMOS器件源/漏扩散结在现有技术中通常是用在具有硼(p型)或砷和磷(n型)掺杂剂的硅衬底的恰当掩蔽的源/漏区中进行离子注入的方法来制作的。为了尽量减小离子注入时的离子沟道效应(此效应会加宽注入的分布),通常用Ge、Si之类的重离子使硅衬底预先非晶化。硅的预先非晶化是这样一种工艺,其中用足够的离子剂量使硅衬底的表面区从结晶态转变成非晶态。被转变的非晶区的深度依赖于离子的性质、入射在硅衬底上的离子的能量和剂量。虽然预先非晶化工艺有助于使注入的分布变陡并改善后续热退火时的外延硅再生长过程,但在预先非晶化离子的射程末端(EOR)处也产生大范围的晶体损伤和过量的Si填隙。如本技术领域熟练人员所知,Si填隙是晶体硅衬底受离子轰击而产生的位移了的Si原子。在热退火过程中,由于过量Si填隙大大增强了(10-1000倍)掺杂剂穿过硅衬底的正常扩散,这些EOR是有害的,并使源/漏结大大加深而结分布大大变差。
由掺杂剂原子周围过量硅填隙造成的大大增强了的掺杂剂扩散,在现有技术中通常称为瞬态增强扩散(TED)。具体地说,少量硼掺杂剂的相对高的扩散率与离子沟道效应和瞬态扩散结合起来,使小尺寸p型CMOS器件的制造发生困难。上述的结合还代表了CMOS器件工艺进一步小型化之前必须克服的一个主要障碍。
为了减小浅结制作中的瞬态增强的扩散,用一些现有技术的方法曾做过尝试。在一种方法中,碳同时注入被用来降低快速热退火(RTA)过程中硼掺杂剂的瞬态扩散。用碳同时注入来制作浅结时所采用的条件如下:2keV的硼浅注入,剂量为1×1015/cm2,碳注入的剂量为2×1014/cm2(能量未报道)。快速热退火(RTA)条件分别是950℃30秒钟或1050℃30秒。虽然碳同时注入在降低硼的瞬态扩散中是有效的,但此方法仍然有缺点,即在RTA之后仍然有高密度的残留缺陷。即使用高温退火条件(1050℃30秒)也如此。高密度的残留缺陷使浅结出现大的漏电。
由T.H.Huang等人报道的另一个方法(见“氟预先非晶化在快速热退火过程中对低能注入的硼的扩散和激活的影响”,Appl.Phys.Lett.,(1994),Vol.65,No.14,p.1829)采用氟同时注入来降低快速热退火过程中的硼掺杂剂的瞬态扩散。此文献所使用的制作浅结的条件如下:氟注入的离子能量为40keV,剂量为2×1015/cm2,浅结注入为5keV硼或23keVBF2。在Huang等人公开的工艺中,晶片在1000℃、1050℃和1100℃下被快速退火30秒钟。虽然氟注入剂的存在降低了RTA过程中硼的瞬态增强扩散,但这一现有技术方法也有缺点,亦即在1000℃30秒钟退火之后仍然有残留缺陷。只有用1100℃30秒钟退火才能清除残留缺陷。但在这种更高的温度下会出现显著的掺杂剂运动,从而无法制作超浅结。
由S.Saito等人报道的题为“用MeV离子注入降低浅结制作中的缺陷”(见Appl.Phys.Lett.,(1993)Vol.63,No.2,p.197)的另一种方法采用氟注入剂作为预先非晶化(40keV,1×1015/cm2)浅结注入剂,硼为10keV,5×1015/cm2。接着离子注入能量为1MeV的氟或硅或者能量为2MeV的砷。用于MeV注入的剂量在5×1014-5×1015/cm2之间。样品在1000℃或1100℃下被快速热退火110秒钟。在这些实验条件下,Saito证实了MeV注入剂在有和没有氟预先非晶化的情况下,对降低硼的瞬态扩散是有效的。此文献还证实,当氟预先非晶化和MeV氟注入剂都被采用时,可得到硼注入剂扩散的最大降低。但如现有技术早先指出的那样,氟注入剂的使用会产生残留缺陷,并需要用高达1100℃的温度来制作低漏电的结。
在上述各个现有技术文献中,高的能量被用来将硼(2-10keV)或BF2(23keV)注入到半导体材料中。但这样的能量范围是不适合用来产生50nm以下的超浅硼掺杂剂结的。由现有技术方法产生的所有的结的深度都在60-100nm之间。虽然高温(>1000℃)与长退火时间(10-30秒)的结合将碳或氟同时注入剂造成的残留缺陷减到了最小,但却阻止了超浅结的形成。而且,所有的现有技术方法都高估了晶片达到所需退火温度(亦即1000-1100℃)以及晶片从退火温度冷却下来的速度的重要性。
尽管在微电子领域中当前有进展,但仍然需要提供新的和改进的方法,以便在克服上述所有缺点的情况下制作FET器件的超浅结。
本发明的一个目的是提供一种制造微电子器件中的超浅结的方法。
本发明的另一目的是提供一种克服了现有技术工艺的所有上述缺点的方法。
本发明的又一目的是提供一种方法,使得到的微电子器件具有边界呈现掺杂剂浓度尖锐变化的浅扩散结。
用本发明的方法达到了这些目的以及其它的目的和优点,其中用高能离子注入步骤随之以掺杂剂离子的低能注入和后续退火来制作超浅结。具体地说,本发明涉及到在半导体材料中制作超浅结的方法,它包含下列步骤:
(a)用第一离子,在足以将所述第一离子注入到第一深度的第一辐照能量下,辐照半导体材料的表面;
(b)用掺杂剂离子,在足以将所述掺杂剂离子注入到第二深度(此第二深度小于所述第一离子的所述第一深度)的第二辐照能量下,辐照所述半导体表面;以及
(c)在能够引起所述半导体材料中的所述掺杂剂离子明显退火的条件下,对所述半导体材料加热一段时间,以防止所述掺杂剂离子明显地扩散到大于所述第二深度的深度。
根据本发明的最佳实施例,在进行步骤(b)之前,用第二离子,在足以使所述半导体材料基本上变成非晶并足以达到大于所述第二深度而小于所述第一深度的第三深度的第三辐照能量下,辐照半导体表面。
本发明的另一最佳实施例包含在所述半导体材料的所述表面上,制作一薄层非晶绝缘材料。通常是在进行步骤(a)或(b)之前,执行本发明的这一实施例。
在本发明的再一实施例中,步骤(b)可以先于步骤(a)。亦即,本方法的执行可以先进行步骤(b),再进行步骤(a),即先用掺杂剂离子辐照,再用第一离子的高能深度注入剂辐照。
半导体材料最好是硅,高能深度注入剂的第一辐照能量最好是在约200keV到约2000keV之间,而浅掺杂剂注入的第二辐照能量最好是在约100eV到约5keV之间。
在本发明的另一种情况下,提供了一种可用来制造诸如FET或CMOS器件之类的微电子器件的结构。根据本发明的这一种情况,公开了一种具有突变p-n结的结构。此结构包含一个由制作在第二导电类型的半导体衬底表面中的第一导电类型的掺杂剂材料所确定的浅注入剂区,所述半导体衬底包含一个基本上排列在第一深度处的高浓度填隙第一离子的聚集区,所述浅注入剂区具有小于所述第一深度的第二深度,其中所述掺杂剂离子的浓度,在所述浅注入剂区与所述半导体衬底的边界结处,在所述边界和垂直于所述边界处测得的小于60埃的距离内改变10倍。
此处还提供了一种含有微电子器件的场效应晶体管,此微电子器件具有制作在所述半导体衬底的所述表面内相互隔开的毗邻中的源区和漏区、一对排列在其间且制作成源区和漏区的分隔开的延伸以便在分隔开的浅注入剂区之间形成沟道区的所述浅注入剂区、以及一个覆盖所述沟道区的栅电极。
图1(a)是沿沟道的净掺杂浓度(Nd-Na)(×1018/cm3)与距离(μm)的关系曲线。
图1(b)是阈值电压跌落(V)与Leff(μm)的关系曲线。
图2(a)-2(e)示出了用于本发明的半导体材料的各个实施例。
图3示出了可用于本发明的在有源器件区之间含有浅槽氧化物隔离区的一种变通的半导体材料。
图4是根据本发明制备的具有超浅结的半导体结构的剖面图。
图5是具有超浅源区和漏区的FET器件的剖面图。
图6是硼浓度(原子/cc)与深度(埃)的关系曲线(左轴)以及Ge相对密度与深度(埃)的关系曲线(右轴)。
图7是硼浓度(原子/cc)与深度(埃)的关系曲线。
图8是硼浓度(原子/cm3)与离硅衬底表面距离(埃)的关系曲线。
以下参照附图来详细描述提供了具有超浅结的微电子结构和器件制造方法的本发明,附图中的相同参考号被用来表示相应的元件。应该指出的是,术语“超浅”表示结深度约为50nm或更小的结。本发明所制作的结,其深度最好约为10-45nm。
首先参照图2(a),其中示出了在足以将所述第一离子注入到半导体材料10中第一深度的条件下被辐照的半导体材料10。
如图2(a)所示,半导体材料10包含半导体衬底12以及氧化物隔离区14、14’和14”。半导体衬底12由通常用来制作微电子器件的常规半导体材料组成。这种半导体衬底的例子包括(但不局限于)硅、锗、砷化镓、硅锗或它们的混合物。半导体衬底12的最佳材料是硅。
采用本技术领域熟练人员熟知的常规方法,将氧化物隔离区制作在半导体衬底12的表面中。在半导体衬底12中制作氧化物隔离区的例子包括(但不局限于)热氧化或化学汽相淀积。
作为变通,半导体材料10可包含其中制作有浅槽氧化物隔离区14、14’和14”的半导体衬底12。图3示出了这种结构。具体地说,这种结构用例如Nag等人论文“亚四分之一微米IC工艺的浅槽隔离”(见Solid StateTechnology,1997年9月,pp.129-136)所述的常规方法来制作。它包含下列步骤:在半导体材料上生长热氧化层,在所述热氧化层上提供氮化物层,腐蚀所述结构以便在半导体材料中提供沟槽,在所述沟槽中淀积热氧化物衬里层,在所述沟槽中淀积氧化物材料,以及整平此结构。
虽然下面的描述是有关图2(a)所示的半导体材料,但要强调的是,也同样涉及到图3所示的结构。
在进行本发明的任一步骤之前,可以在半导体衬底表面上制作图2(b)所示的有源器件区中的栅16和16’。栅16和16’由绝缘材料18和导电材料20组成。适用的绝缘材料包括(但不局限于)SiO2、Si3N4、TiO2、Al2O3之类。此处这些绝缘材料的混合物也在预期之中。形成栅16和16’的导体20包括常规导电材料,例如多晶硅、W、Cr、Cu之类。要强调的是,若栅不在制造超浅结之前制作在半导体材料表面上,则可在本发明的退火步骤之后,用本技术领域熟练人员熟知的常规方法来进行制作。
在半导体衬底12上制作氧化物隔离区或沟槽氧化物隔离区之前,可清洗半导体衬底表面以清除其上可能存在的任何表面沾污。虽然在本发明中可以采用本技术领域熟练人员熟知的任何一种清洗方法,但最好采用Huang清洗方法。
如上所述,用高能注入方法将第一离子注入到半导体衬底12的表面。可以用高能注入方法注入的适用的第一离子有Si、Ge、As、In、Ga等等。第一离子可以与半导体衬底相同或不同。用在本发明这一步骤中的最佳第一离子是Si。应该指出的是,注入到半导体衬底中的第一离子会在半导体衬底中产生损伤区,亦即缺陷。
用工作于高能下的常规离子注入设备将第一离子注入到半导体衬底中。“高能”意味着离子注入是在约200-2000keV的能量下进行的。第一离子最好在约500-1000keV的能量下注入。这一高能注入步骤所注入的第一离子的剂量亦即浓度,通常约为1×1013/cm2-1×1016/cm2。第一离子剂量约为1×1014/cm2-1×1015/cm2更好。此高能离子注入步骤在约-200℃~23℃的温度下进行。用上述的参数,第一离子被注入到约0.2-2.0μm的深度。第一离子注入深度约为0.5-1.0μm更好。
当半导体材料的表面首先被重离子掺杂即被预先非晶化时,在低能掺杂剂注入步骤之前,用本技术领域熟练人员熟知的常规方法,对半导体材料进行处理以清除有源器件区中的屏蔽或固有氧化物。清除这些氧化物的一种方法是用稀释的氢氟酸处理半导体材料。本发明的这一实施例没有在附图中示出。
在本发明的下一步骤中,借助于在可将所述掺杂剂离子注入到比高能注入的第一离子更小的深度的条件下进行的低能离子注入步骤,将掺杂剂离子注入到半导体材料的表面中。用于本发明这一步骤中的掺杂剂离子取决于正在制作的结的类型。例如,若正在制作p型结,则掺杂剂离子为硼。当制作n型结时,则掺杂剂离子为As、P或Sb。掺杂剂离子源通常处于由BF2、B10H14或As2气态源产生的分子络合物离子的形式。
这一低能掺杂剂离子注入步骤,用工作于约100eV-5keV低能下的离子注入设备进行。在约200eV-1keV的能量范围内进行低能掺杂剂离子注入则更好。本发明这一步骤所注入的掺杂剂离子的剂量约为1×1013/cm2-1×1015/cm2,剂量约为1×1014/cm2-1×1015/cm2更好。此低能掺杂剂离子注入步骤在约-200℃~23℃的温度下进行。
用上述的参数,掺杂剂离子被注入到浅于注入的第一离子的深度。具体地说,此掺杂剂离子被注入到约为10-500埃的深度。此掺杂剂离子注入深度约为10-250埃则更好。
应该指出的是,上述高能离子注入和低能掺杂剂离子注入可以按任何顺序进行。亦即,可按上述方式进行这些步骤,也可按变通实施例那样,在高能离子注入步骤之前进行低能掺杂剂离子注入步骤。
当栅区16和16’制作在半导体材料的有源器件区上时,在低能离子注入之前,可用常规的光刻胶阻挡掩模22覆盖氧化物隔离区之间的有源器件区。在特定掺杂剂离子(n或p型)的低能注入之后,用常规方法清除此光刻胶掩模,然后如前所述覆盖含有低能注入的掺杂剂离子的区域,并用不同于第一区域的掺杂剂离子辐照未被覆盖的区域。图2(c)和(c’)分别示出了这一点。
在本发明的另一情况下,用能够使半导体材料基本上变成非晶的第三辐照能量,将第二离子注入到半导体衬底的表面中。当采用这样一种预先非晶化步骤时,通常是在注入掺杂剂离子之前进行。第二离子通常是(但不局限于)诸如Ge、Si之类的重离子。预先非晶化的最佳离子是Ge。
用于此预先非晶化步骤中的条件足以将所述第二离子注入到大于所述掺杂剂离子但小于第一离子的深度。具体地说,预先非晶化步骤是在能量约为2-40keV而剂量约为1×1013/cm2-5×1015/cm2,以及温度约为-200℃~23℃下进行的。
采用上述的条件,第二离子可被注入到约为100-1000埃的深度,深度约为150-500埃则更好。
在本发明的又一种情况下,在进行注入步骤之前,可用本技术领域熟练人员熟知的常规方法,例如热氧化或化学汽相淀积,在半导体材料表面上淀积一个薄的非晶绝缘层。本发明这一步骤中所用的绝缘材料与前面制作栅区时的相同。淀积的绝缘层的厚度通常约为10-70埃。绝缘层厚度约为15-50埃更好。
在进行上述各种注入步骤之后,在能够引起掺杂剂离子明显退火的条件下,对半导体材料进行加热,加热的时间长度要足以防止掺杂剂离子扩散到大于其起始注入深度。为了获得这一结果,采用了具有高的上升和下降速率的快速热退火(RTA)工艺。具体地说,在约为每秒50-1000℃的上升速率下,将半导体材料在惰性气氛中从室温加热到约为850-1100℃。在约为每秒50-500℃的上升速率下,将半导体材料从室温加热到约为950-1050℃则更好。
在达到所需的温度之后,将温度保持大约1毫秒-5秒,保持大约10毫秒-5秒则更好。一旦经过了所需的保持时间,则在大约每秒50-1000℃的下降速率下,将半导体材料冷却到室温。约为每秒50-500℃的冷却速率则更好。
上述的RTA步骤用含有例如卤素灯、弧光灯、CO2激光器或微波腔或回转器的设备来进行。含有规定的加热区的稳态炉只要具有沿所述加热区快速转移半导体材料的装置,也能够采用。
图2(d)和2(e)示出了用本发明获得的含有超浅结的最终结构。在这二个图中,超浅结示作24。应该指出的是,用上述方法形成的结的深度比用现有技术工艺制作的结的深度要浅得多。而且,本发明的结在浅结处的掺杂剂分布斜率中呈现很陡的变化。
如上所述,这一应用还提供了可用来制造诸如FET或CMOS之类的含有超浅结的微电子器件的结构。图4示出了一种这样的结构。具体地说,图4所示的结构包含一个制作在半导体衬底12表面中的浅的注入剂区36。此半导体材料含有分布在第一深度处的填隙第一离子的高浓度聚集区32。浅的注入剂区36有一个比所述第一离子深度更浅的第二深度,且所述浅注入剂区中的掺杂剂离子的浓度使具有所述半导体衬底12的所述浅注入剂区36的边界结,在小于60埃的距离之内变化10倍(在边界处及垂直于边界测得的)。
在上述的结构中,第二深度通常小于500埃;所述掺杂剂材料的浓度在边界结处的变化约为1×1018/cm2;而第一离子深度约为10000埃。
图5示出了一种能够用本发明制造的器件。具体地说,图5示出了一种FET器件,它包含具有制作在半导体衬底12表面中的相互隔开的相邻区中的源区42和漏区44的微电子器件。半导体衬底12还含有排列在第一深度处的填隙第一离子的高浓度聚集区32。其间分别排列一对浅的注入剂区36和36’,组成分开源区42与漏区44的延伸区以形成沟道48。栅极50覆盖着沟道区48。在图5中,浅的注入剂区位于比所述第一离子深度更小的第二深度处,且所述浅的注入剂区中的掺杂剂浓度使具有所述半导体衬底12的所述浅注入剂区的边界结,在小于60埃的距离之内变化10倍(在边界处及垂直于边界测得的)。在图5所示的FET器件中,分隔开的浅注入区之间的距离小于2500埃。
下列各个例子用来说明本发明的范围。由于这些例子仅仅是为了说明而给出的,故此处体现的本发明应该不局限于此。
例1
在本例中,用电阻率约为10Ωcm的<100>n型硅片做实验,首先用Huang清洗液处理晶片表面以清除任何表面沾污。然后在大约850℃的温度下,于表面上生长热氧化物(厚度为5nm)。此氧化层即通常所知的屏蔽氧化物,主要用来在离子注入过程中减少沾污。
然后对某些硅晶片注入一系列阱注入剂,而对另一些硅晶片不注入。阱注入剂的细节由下列逐个进行的离子注入系列组成:锑(束能190keV,剂量6×1012/cm2),锑(束能145keV,剂量1×1013/cm2),锑(束能120keV,剂量1×1013/cm2),磷(束能190keV,剂量1×1013/cm2),磷(束能120keV,剂量1×1012/cm2),磷(束能75keV,剂量1×1012/cm2),磷(束能50keV,剂量1×1012/cm2),磷(束能20keV,剂量1×1012/cm2)。
阱注入之后,再用锗离子注入剂在大约25keV的束能和2×1014/cm2的剂量下,倾斜7度,对具有和不具有阱注入剂的硅片进行预先非晶化。应该指出的是,锗预先非晶化使硅衬底无序化并防止了后续注入中掺杂剂注入剂的沟道作用。此外,锗注入剂还提供了最佳的预先非晶化特性,使受到损伤的硅表面能够快速地恢复或再生长为晶体序列。
在预先非晶化之后,所有的硅片都在5keV的束能和1×1015/cm2的剂量下,倾斜7度,用BF2注入剂进行注入。BF2是在高得多的BF2注入剂能量下提供低能硼离子的分子离子源。例如5keV的BF2束能量转换成1.25keV的硼注入剂能量,用来使热退火之前的注入深度变浅。图6示出了注入的硼掺杂剂分布的尖锐性。如所示,在1×1018/cm3的硼掺杂剂浓度处测得的注入结深度为22nm,而在同一掺杂剂浓度处的结斜率在掺杂剂浓度每改变一个数量级时约为6nm。在这样的注入条件下,未观察到明显的硼离子沟道作用。在BF2浅注入剂之后,所有的硅片都在670、870或1000keV的束能和1×1015/cm2的剂量下,用高能硅注入剂进行注入。然后用修改的Huang清洗液处理所有的硅片以清除离子注入过程中引入的任何沾污。
然后用AG Associates Rapid热退火炉对硅片进行退火。AG退火炉装配有足以将晶片温度升高到1000℃或更高的卤钨灯(tungsten halogenlamp)。然后每次退火一个硅片。快速热退火条件如下且对每个晶片完全相同:晶片开始时处于室温,晶片温度以每秒125℃上升,退火温度为1000℃,在退火温度下的保持时间为1秒钟,品片的降温速率也是每秒125℃。晶片在氩气氛中退火。在退火之后制作超浅结。
图6示出了具有和不具有以870keV束能和1×1015/cm2剂量的高能Si同时注入剂的硅片在RTA退火之后的硼掺杂剂分布的比较。二种晶片都具有相同的BF2浅注入剂和锑/磷阱注入剂。如从图6可见,不具有高能Si同时注入剂的退过火的样品,在低于1×1018的掺杂剂浓度以下,呈现明显的尾部移动,这是锗预先非晶化损伤边沿处过量Si填隙造成的瞬态硼掺杂剂扩散的征兆。在1×1018/cm3的掺杂剂浓度处的结斜率约为每一个数量级90埃。如图6所示,在另一端,具有高能硅同时注入剂的硅片在低于1×1018/cm3的掺杂剂浓度以下,不呈现明显的尾部移动。此结果清楚地显示了由高能硅同时注入产生的缺陷填隙环对减少损伤区边沿处过量硅填隙和抑制硼掺杂剂瞬态扩散的效能。
然后进行透射显微镜观察,显示出离硅衬底表面约30nm的超浅结以及位于硅衬底表面以下约1μm的由高能Si同时注入剂产生的缺陷环。在RTA退火之后,在超浅结区未观察到缺陷,硅的完全结晶性得到了恢复。在RTA退火之后测得的晶片薄层电阻为500Ω/□,这是硼掺杂剂被完好激活的征兆。表1示出了所有实验条件,亦即分别具有或不具有不同的Si注入剂能量670keV、870keV或1000keV的高能硅同时注入剂的总结。还示出了具有和不具有阱注入剂的硅片的二种情况。如可看到,在所有情况下,高能硅同时注入剂都减小了结深度并使结斜率变得尖锐。这清楚地显示了本发明制作超浅和尖锐结的方法的用处。表1高能Si同时注入剂与超浅注入剂
硅同时注入剂能量(keV) 同时注入剂范围Rp(μm) 表面浓度Sc(cm-3) 1×1018处的结深度Xj(埃) 1×1018处的Xj斜率(埃/数量级)
                              不具有阱注入剂
    0      -     1.5×1020     365     105
    670     1.0     1.8×1020     320     65
    870     1.2     1.8×1020     345     70
    1000     1.29     1.8×1020     355     70
                              具有阱注入剂
    0      -     1.5×1020     345     90
    670     1.0     1.8×1020     300     60
    870     1.2     1.8×1020     320     60
    1000     1.29     1.8×1020     320     65
例2
在本例子中,本发明的方法被用来得到浅而尖锐的结。用Huang清洗液对<100>n型硅片进行处理以清除表面沾污。在硅衬底表面上生长5nm厚的热氧化物。然后用15keV和1.5×1014剂量的锗注入剂将硅预先非晶化以产生浅的非晶层(20nm)。随之以低能BF2注入。由于低能BF2注入在氧化物中的范围小,亦即大约2nm,故此热氧化物在低能注入之前被稀释的(即50∶1)氢氟酸剥离。低能BF2注入剂为1keV(等价于250eV的硼掺杂剂能量)和1×1015/cm2剂量,7度倾斜。
然后在670keV和1×1015/cm2剂量下,7度倾斜,用高能Si注入剂对硅片进行注入。注入之后,用修改的Huang清洗液处理硅片以清除离子注入造成的任何表面损伤。再用下列快速退火条件对晶片进行RTA退火:晶片开始时处于室温,以每秒125℃升温到退火温度1000℃,1000℃下的退火时间为1秒,退火之后的降温速率为每秒125℃。
图7示出了浅(在1×1018/cm3处的结深度为10nm)而尖锐(亦即在1×1018/cm3处的结斜率为每一个数量级25埃)的掺杂剂注入分布。图8示出了退火之后具有和不具有高能硅同时注入的掺杂剂分布的比较。如可看出,具有高能同时注入剂的硅片的掺杂剂分布比不具有高能同时注入剂的硅片的分布更似方形。而且,这一方形掺杂剂分布在1×1018的掺杂剂浓度处提供了更尖锐的结斜率(亦即每一个数量级48埃与无高能同时注入剂时每一个数量级60埃)以及在1×1018/cm3浓度处的可比拟的超浅结深度(亦即20nm)。方形掺杂剂分布还提供了超浅结电阻更低的额外优点。锗预先非晶化、超低能分子注入剂、高能同时注入剂以及快速RTA退火的结合,产生了任何现有技术方法未曾得到过的最浅和最尖锐的硼p+结。
虽然相对于其最佳实施例对本发明进行了具体的描述,但对本技术领域的熟练人员来说,显然可作出形式和内容上的前述的和其它的改变而不超越本发明的构思与范围。

Claims (36)

1.一种制作半导体材料中的浅结的方法,它包含下列步骤:
(a)用第一离子,在足以将所述第一离子注入到第一深度的第一辐照能量下,辐照半导体材料的表面,
(b)用掺杂剂离子,在足以将所述掺杂剂离子注入到小于所述第一深度的深度的第二辐照能量下,辐照所述表面,以及
(c)在足以引起所述半导体材料中的所述掺杂剂离子显著退火的条件下,对所述半导体材料进行一定时间的加热,以防止所述掺杂剂离子显著扩散到大于所述第二深度的深度。
2.权利要求1的方法,其特征是在进行步骤(b)之前,在足以使所述半导体材料在直到大于所述第二深度而小于所述第一深度的第三深度基本上变成非晶的第三辐照能量下,用第二离子辐照所述半导体材料的表面。
3.权利要求1的方法,其特征是在进行步骤(a)和(b)之前,在所述半导体材料的所述表面上制作一个绝缘材料薄层。
4.权利要求1的方法,其特征是在进行步骤(a)之前进行步骤(b)。
5.权利要求1的方法,其特征是所述的半导体材料是硅、锗、砷化镓或硅锗。
6.权利要求5的方法,其特征是所述的半导体材料由硅构成。
7.权利要求1的方法,其特征是所述的半导体材料包括氧化物隔离区和可选的栅区。
8.权利要求1的方法,其特征是所述的半导体材料包括浅槽氧化物隔离区。
9.权利要求1的方法,其特征是步骤(a)在大约200-2000keV的能量下进行。
10.权利要求9的方法,其特征是步骤(a)在大约500-1000keV的能量下进行。
11.权利要求1的方法,其特征是步骤(a)在大约-200℃~23℃的温度下进行。
12.权利要求1的方法,其特征是步骤(a)用大约1×1013-1×1016/cm2的所述第一离子剂量进行。
13.权利要求12的方法,其特征是步骤(a)用大约1×1014-1×1015/cm2的所述第一离子剂量进行。
14.权利要求1的方法,其特征是所述第一离子被注入到约为0.2-2.0μm的深度。
15.权利要求14的方法,其特征是所述第一离子被注入到约为0.5-1.0μm的深度。
16.权利要求7的方法,其特征是所述氧化物区在进行步骤(b)之前被清除。
17.权利要求1的方法,其特征是所述掺杂剂离子为硼、砷、磷或锑。
18.权利要求1的方法,其特征是步骤(b)在大约100eV-5keV的能量下进行。
19.权利要求18的方法,其特征是步骤(b)在大约200eV-2keV的能量下进行。
20.权利要求1的方法,其特征是步骤(b)在大约-200℃~23℃的温度下进行。
21.权利要求1的方法,其特征是所述掺杂剂离子被注入到约为50-500埃的深度。
22.权利要求21的方法,其特征是所述掺杂剂离子被注入到约为50-250埃的深度。
23.权利要求1的方法,其特征是在进行步骤(b)之前,用能够使半导体材料基本上变成非晶的第三辐照能量注入第二离子。
24.权利要求23的方法,其特征是所述第二离子是选自Si、Ge和氟的重离子。
25.权利要求1的方法,其特征是步骤(c)是在惰性气氛中进行的快速热退火。
26.权利要求25的方法,其特征是所述快速热退火包含:
(ⅰ)以大约每秒50-1000℃的速率,将所述半导体材料从室温加热到大约850-1100℃。
(ⅱ)保持所述温度大约1毫秒至5秒,以及
(ⅲ)以大约每秒50-1000℃的冷却速率,将所述被加热的半导体材料冷却到室温。
27.权利要求26的方法,其特征是步骤(ⅰ)在大约950-1050℃温度下以大约每秒50-500℃的速率进行;步骤(ⅱ)进行大约10毫秒至1秒;而步骤(ⅲ)以大约每秒50-500℃的冷却速率进行。
28.一种具有突变p-n结的结构,所述结构包含由第一导电类型的掺杂剂材料确定的制作在第二导电类型的半导体衬底表面中的浅注入区,所述衬底包含基本上排列于第一深度的所述半导体衬底的高浓度填隙离子聚集区,所述浅注入区具有小于所述第一深度的第二深度,其中在所述浅注入区与所述阻挡层的边界结处的在所述边界处和垂直于所述边界测得的所述掺杂剂材料的浓度,在小于60埃的距离内变化10倍。
29.权利要求28的结构,其特征是所述第二深度小于500埃。
30.权利要求28的结构,其特征是在1×1018/cm2的掺杂剂浓度处测量边界结处的所述掺杂剂材料的浓度改变。
31.权利要求28的结构,其特征是所述第一深度约为10000埃。
32.一种含有微电子器件的场效应晶体管,此微电子器件包含制作在半导体衬底表面相互分开的邻接区中的源区和漏区;排列在所述源区和漏区之间的一对所述浅注入区,构成源区和漏区相互隔开的延伸以形成分隔开的浅注入区之间的沟道区;以及覆盖所述沟道区的栅电极,其中所述半导体衬底含有基本上排列在第一深度的所述半导体衬底的高浓度填隙离子聚集区,而所述浅注入区具有小于所述第一深度的第二深度,其中在所述浅注入区的边界结处的所述掺杂剂材料的浓度,在所述边界处和垂直于所述边界测量时在小于60埃的距离内变化10倍。
33.权利要求32的场效应晶体管,其特征是所述分隔开的浅注入区之间的距离小于大约2500埃。
34.权利要求32的场效应晶体管,其特征是所述第二深度小于500埃。
35.权利要求32的场效应晶体管,其特征是在1×1018/cm2的掺杂剂浓度处测量边界结处的所述掺杂剂材料的浓度变化。
36.权利要求32的场效应晶体管,其特征是所述第一深度约为10000埃。
CNB981239935A 1997-11-12 1998-11-11 超浅半导体结的制作 Expired - Lifetime CN100403493C (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US968684 1992-10-30
US96868497A 1997-11-12 1997-11-12

Publications (2)

Publication Number Publication Date
CN1218276A true CN1218276A (zh) 1999-06-02
CN100403493C CN100403493C (zh) 2008-07-16

Family

ID=25514622

Family Applications (1)

Application Number Title Priority Date Filing Date
CNB981239935A Expired - Lifetime CN100403493C (zh) 1997-11-12 1998-11-11 超浅半导体结的制作

Country Status (5)

Country Link
US (2) US6037640A (zh)
KR (1) KR100301273B1 (zh)
CN (1) CN100403493C (zh)
SG (1) SG76570A1 (zh)
TW (1) TW401625B (zh)

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102543873A (zh) * 2010-12-27 2012-07-04 无锡华润上华科技有限公司 自对准p+浅结掺杂工艺方法
CN101894749B (zh) * 2009-05-20 2013-03-20 中芯国际集成电路制造(北京)有限公司 半导体器件的栅极掺杂方法
CN103077927A (zh) * 2013-01-11 2013-05-01 无锡华润上华科技有限公司 一种nor闪存器件的退火工艺及nor闪存器件
CN103972102A (zh) * 2014-04-22 2014-08-06 上海华力微电子有限公司 超浅结的形成方法及半导体器件的形成方法
CN105762116A (zh) * 2014-12-16 2016-07-13 中芯国际集成电路制造(上海)有限公司 硅衬底、其制作方法及包括其的ipd器件

Families Citing this family (64)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6136673A (en) * 1998-02-12 2000-10-24 Lucent Technologies Inc. Process utilizing selective TED effect when forming devices with shallow junctions
JP3054123B2 (ja) * 1998-06-08 2000-06-19 アプライド マテリアルズ インコーポレイテッド イオン注入方法
US6432802B1 (en) * 1999-09-17 2002-08-13 Matsushita Electronics Corporation Method for fabricating semiconductor device
US6399458B1 (en) * 1999-09-21 2002-06-04 International Business Machines Corporation Optimized reachthrough implant for simultaneously forming an MOS capacitor
US6514833B1 (en) * 1999-09-24 2003-02-04 Advanced Micro Devices, Inc. Method of inhibiting lateral diffusion between adjacent wells by introducing carbon or fluorine ions into bottom of STI groove
KR100348702B1 (ko) * 1999-12-28 2002-08-13 주식회사 루밴틱스 급속 열처리 방법에 의한 도전성 투명 박막의 제조방법 및 그 방법에 의해 제조된 도전성 투명 박막
US6472232B1 (en) 2000-02-22 2002-10-29 International Business Machines Corporation Semiconductor temperature monitor
US6287925B1 (en) * 2000-02-24 2001-09-11 Advanced Micro Devices, Inc. Formation of highly conductive junctions by rapid thermal anneal and laser thermal process
US6368947B1 (en) * 2000-06-20 2002-04-09 Advanced Micro Devices, Inc. Process utilizing a cap layer optimized to reduce gate line over-melt
US6361874B1 (en) * 2000-06-20 2002-03-26 Advanced Micro Devices, Inc. Dual amorphization process optimized to reduce gate line over-melt
US6630386B1 (en) 2000-07-18 2003-10-07 Advanced Micro Devices, Inc CMOS manufacturing process with self-amorphized source/drain junctions and extensions
US6521502B1 (en) 2000-08-07 2003-02-18 Advanced Micro Devices, Inc. Solid phase epitaxy activation process for source/drain junction extensions and halo regions
US6518136B2 (en) 2000-12-14 2003-02-11 International Business Machines Corporation Sacrificial polysilicon sidewall process and rapid thermal spike annealing for advance CMOS fabrication
US20020187614A1 (en) * 2001-04-16 2002-12-12 Downey Daniel F. Methods for forming ultrashallow junctions with low sheet resistance
US6582995B2 (en) 2001-07-11 2003-06-24 Taiwan Semiconductor Manufacturing Co., Ltd. Method for fabricating a shallow ion implanted microelectronic structure
US6632728B2 (en) 2001-07-16 2003-10-14 Agere Systems Inc. Increasing the electrical activation of ion-implanted dopants
US6812523B1 (en) 2001-09-21 2004-11-02 Wei-Kan Chu Semiconductor wafer with ultra thin doping level formed by defect engineering
US6555451B1 (en) * 2001-09-28 2003-04-29 The United States Of America As Represented By The Secretary Of The Navy Method for making shallow diffusion junctions in semiconductors using elemental doping
JP4212018B2 (ja) * 2001-11-30 2009-01-21 富士通マイクロエレクトロニクス株式会社 半導体装置の製造方法
US6849528B2 (en) * 2001-12-12 2005-02-01 Texas Instruments Incorporated Fabrication of ultra shallow junctions from a solid source with fluorine implantation
US6764909B2 (en) * 2002-01-14 2004-07-20 Texas Instruments Incorporated Structure and method of MOS transistor having increased substrate resistance
GB0200879D0 (en) * 2002-01-16 2002-03-06 Univ Surrey Ion implanted junctions in silicon wafers
US20030186519A1 (en) * 2002-04-01 2003-10-02 Downey Daniel F. Dopant diffusion and activation control with athermal annealing
JP3746246B2 (ja) * 2002-04-16 2006-02-15 株式会社東芝 半導体装置の製造方法
US6682980B2 (en) 2002-05-06 2004-01-27 Texas Instruments Incorporated Fabrication of abrupt ultra-shallow junctions using angled PAI and fluorine implant
US7135423B2 (en) 2002-05-09 2006-11-14 Varian Semiconductor Equipment Associates, Inc Methods for forming low resistivity, ultrashallow junctions with low damage
US20050260838A1 (en) * 2002-05-10 2005-11-24 Varian Semiconductor Equipment Associates, Inc. Methods and systems for dopant profiling
US7679398B2 (en) * 2002-07-17 2010-03-16 Osann Jr Robert Reprogrammable instruction DSP
US7093225B2 (en) 2002-07-17 2006-08-15 Osann Robert Jr FPGA with hybrid interconnect
US20050260836A1 (en) * 2002-07-22 2005-11-24 Wei-Kan Chu Method to overcome instability of ultra-shallow semiconductor junctions
US6897131B2 (en) * 2002-09-20 2005-05-24 Applied Materials, Inc. Advances in spike anneal processes for ultra shallow junctions
CN1286157C (zh) * 2002-10-10 2006-11-22 松下电器产业株式会社 半导体装置及其制造方法
US6727136B1 (en) * 2002-10-18 2004-04-27 Advanced Micro Devices, Inc. Formation of ultra-shallow depth source/drain extensions for MOS transistors
US20040115889A1 (en) * 2002-12-17 2004-06-17 Amitabh Jain Ultra shallow junction formation
US6746944B1 (en) * 2003-01-14 2004-06-08 Advanced Micro Devices, Inc. Low nisi/si interface contact resistance with preamorphizing and laser thermal annealing
US6803270B2 (en) * 2003-02-21 2004-10-12 International Business Machines Corporation CMOS performance enhancement using localized voids and extended defects
US6808997B2 (en) * 2003-03-21 2004-10-26 Texas Instruments Incorporated Complementary junction-narrowing implants for ultra-shallow junctions
DE10339991A1 (de) * 2003-08-29 2005-03-31 Advanced Micro Devices, Inc., Sunnyvale Verbesserte Technik zum Einstellen einer Eindringtiefe während der Implantation von Ionen in ein Halbleitergebiet
EP1665386A1 (en) * 2003-09-03 2006-06-07 Koninklijke Philips Electronics N.V. Method of fabricating a double gate field effect transistor device, and such a double gate field effect transistor device
US7071069B2 (en) * 2003-12-22 2006-07-04 Chartered Semiconductor Manufacturing, Ltd Shallow amorphizing implant for gettering of deep secondary end of range defects
US7491616B2 (en) * 2004-03-15 2009-02-17 Nxp B.V. Method of manufacturing a semiconductor device including dopant introduction
US7846822B2 (en) * 2004-07-30 2010-12-07 The Board Of Trustees Of The University Of Illinois Methods for controlling dopant concentration and activation in semiconductor structures
TWI237857B (en) * 2004-10-21 2005-08-11 Nanya Technology Corp Method of fabricating MOS transistor by millisecond anneal
US7172954B2 (en) * 2005-05-05 2007-02-06 Infineon Technologies Ag Implantation process in semiconductor fabrication
US20070037326A1 (en) * 2005-08-09 2007-02-15 Taiwan Semiconductor Manufacturing Company, Ltd. Shallow source/drain regions for CMOS transistors
US7795101B2 (en) * 2006-04-03 2010-09-14 United Microelectronics Corp. Method of forming a MOS transistor
CN101460654A (zh) * 2006-05-01 2009-06-17 应用材料股份有限公司 使用含碳的硅薄膜形成超浅接合区的方法
US7582547B2 (en) 2006-08-04 2009-09-01 Interuniversitair Microelektronica Centrum Vzw (Imec) Method for junction formation in a semiconductor device and the semiconductor device made thereof
EP1884985A1 (en) * 2006-08-04 2008-02-06 Interuniversitair Microelektronica Centrum Method for junction formation in a semiconductor device and the semiconductor device thereof
JP2008108891A (ja) * 2006-10-25 2008-05-08 Toshiba Corp 半導体装置の製造方法
US7700450B2 (en) * 2006-10-25 2010-04-20 United Microelectronics Corp. Method for forming MOS transistor
US7833886B2 (en) * 2007-05-14 2010-11-16 Infineon Technologies Ag Method of producing a semiconductor element in a substrate
US7968440B2 (en) * 2008-03-19 2011-06-28 The Board Of Trustees Of The University Of Illinois Preparation of ultra-shallow semiconductor junctions using intermediate temperature ramp rates and solid interfaces for defect engineering
US7838887B2 (en) * 2008-04-30 2010-11-23 Taiwan Semiconductor Manufacturing Company, Ltd. Source/drain carbon implant and RTA anneal, pre-SiGe deposition
CN101621006B (zh) * 2008-07-03 2011-01-12 中芯国际集成电路制造(上海)有限公司 利用锗预非晶处理来形成p-型轻度掺杂的漏极区的方法
US8178430B2 (en) 2009-04-08 2012-05-15 International Business Machines Corporation N-type carrier enhancement in semiconductors
SG10201401235YA (en) * 2009-04-10 2014-09-26 Applied Materials Inc Use special ion source apparatus and implant with molecular ions to process hdd (high density magnetic disks) with patterned magnetic domains
US8871670B2 (en) 2011-01-05 2014-10-28 The Board Of Trustees Of The University Of Illinois Defect engineering in metal oxides via surfaces
KR20120133652A (ko) * 2011-05-31 2012-12-11 삼성전자주식회사 반도체 소자의 제조 방법
US8648412B1 (en) 2012-06-04 2014-02-11 Semiconductor Components Industries, Llc Trench power field effect transistor device and method
US9558973B2 (en) 2012-06-11 2017-01-31 Ultratech, Inc. Laser annealing systems and methods with ultra-short dwell times
US9324867B2 (en) 2014-05-19 2016-04-26 International Business Machines Corporation Method to controllably etch silicon recess for ultra shallow junctions
US10083843B2 (en) 2014-12-17 2018-09-25 Ultratech, Inc. Laser annealing systems and methods with ultra-short dwell times
US10529832B2 (en) 2016-12-19 2020-01-07 International Business Machines Corporation Shallow, abrupt and highly activated tin extension implant junction

Family Cites Families (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4617066A (en) 1984-11-26 1986-10-14 Hughes Aircraft Company Process of making semiconductors having shallow, hyperabrupt doped regions by implantation and two step annealing
CA1216962A (en) 1985-06-28 1987-01-20 Hussein M. Naguib Mos device processing
US4835112A (en) 1988-03-08 1989-05-30 Motorola, Inc. CMOS salicide process using germanium implantation
NL8802219A (nl) * 1988-09-09 1990-04-02 Philips Nv Werkwijze voor het vervaardigen van een halfgeleiderinrichting met een siliciumlichaam waarin door ionenimplantaties halfgeleidergebieden worden gevormd.
DE4035842A1 (de) * 1990-11-10 1992-05-14 Telefunken Electronic Gmbh Verfahren zur rekristallisierung voramorphisierter halbleiteroberflaechenzonen
US5091763A (en) * 1990-12-19 1992-02-25 Intel Corporation Self-aligned overlap MOSFET and method of fabrication
US5489675A (en) * 1992-06-25 1996-02-06 E. I. Du Pont De Nemours And Company Disaccharide sialidase substrates and inhibitors
JP2760709B2 (ja) * 1992-07-15 1998-06-04 株式会社東芝 高耐圧のldd構造を有する半導体装置及びその製造方法
US5352914A (en) * 1992-08-03 1994-10-04 Hughes Aircraft Company Field-effect transistor with structure for suppressing hot-electron effects, and method of fabricating the transistor
KR0164072B1 (ko) 1995-11-13 1999-02-01 김주용 반도체 소자의 얕은 접합 형성방법
US5897363A (en) * 1996-05-29 1999-04-27 Micron Technology, Inc. Shallow junction formation using multiple implant sources
US5908307A (en) * 1997-01-31 1999-06-01 Ultratech Stepper, Inc. Fabrication method for reduced-dimension FET devices
US5770485A (en) 1997-03-04 1998-06-23 Advanced Micro Devices, Inc. MOSFET device with an amorphized source and fabrication method thereof
JPH10270687A (ja) * 1997-03-27 1998-10-09 Mitsubishi Electric Corp 電界効果トランジスタおよびその製造方法

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101894749B (zh) * 2009-05-20 2013-03-20 中芯国际集成电路制造(北京)有限公司 半导体器件的栅极掺杂方法
CN102543873A (zh) * 2010-12-27 2012-07-04 无锡华润上华科技有限公司 自对准p+浅结掺杂工艺方法
CN102543873B (zh) * 2010-12-27 2015-08-19 无锡华润上华科技有限公司 自对准p+浅结掺杂工艺方法
CN103077927A (zh) * 2013-01-11 2013-05-01 无锡华润上华科技有限公司 一种nor闪存器件的退火工艺及nor闪存器件
CN103972102A (zh) * 2014-04-22 2014-08-06 上海华力微电子有限公司 超浅结的形成方法及半导体器件的形成方法
CN105762116A (zh) * 2014-12-16 2016-07-13 中芯国际集成电路制造(上海)有限公司 硅衬底、其制作方法及包括其的ipd器件
CN105762116B (zh) * 2014-12-16 2018-09-18 中芯国际集成电路制造(上海)有限公司 硅衬底、其制作方法及包括其的ipd器件

Also Published As

Publication number Publication date
SG76570A1 (en) 2000-11-21
US20010041432A1 (en) 2001-11-15
US6537886B2 (en) 2003-03-25
US6037640A (en) 2000-03-14
KR100301273B1 (ko) 2001-11-05
CN100403493C (zh) 2008-07-16
TW401625B (en) 2000-08-11
KR19990045244A (ko) 1999-06-25

Similar Documents

Publication Publication Date Title
CN100403493C (zh) 超浅半导体结的制作
CN1237592C (zh) 半导体器件中晶体管的形成方法
EP0090940B1 (en) Method of forming emitter and intrinsic base regions of a bipolar transistor
US5279976A (en) Method for fabricating a semiconductor device having a shallow doped region
KR100232206B1 (ko) 반도체 소자의 제조방법
CN1222986C (zh) 半导体装置的制造方法和半导体装置
CN100552974C (zh) 半导体元件及其形成方法
CN1855540A (zh) 半导体元件及其制造方法
CN1574226A (zh) 形成绝缘体上硅锗衬底材料的方法、衬底材料及异质结构
US6362063B1 (en) Formation of low thermal budget shallow abrupt junctions for semiconductor devices
CN1290203C (zh) 半导体器件的结构及其制造方法
CN1885557A (zh) 半导体元件及形成半导体元件的方法
US6130144A (en) Method for making very shallow junctions in silicon devices
CN1741281A (zh) 半导体器件及其生产方法
CN1645568A (zh) 用于提供具有活性掺杂剂层结构的半导体衬底的方法
JP3405766B2 (ja) 半導体デバイスの製造方法
US20080308904A1 (en) P-doped region with improved abruptness
US7749875B2 (en) Method of manufacturing a semiconductor element and semiconductor element
CN1753183A (zh) 半导体器件及半导体器件的制造方法
CN1087498C (zh) 半导体器件的制造方法
JP3161379B2 (ja) 半導体装置及び半導体装置の製造方法
CN104616993B (zh) 一种制作半导体器件的方法
JP3574613B2 (ja) 半導体装置の製造方法
JP4629809B2 (ja) SiCの半導体層を有する半導体素子を製造する方法
JPH08298319A (ja) 半導体装置

Legal Events

Date Code Title Description
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C06 Publication
PB01 Publication
C14 Grant of patent or utility model
GR01 Patent grant
CX01 Expiry of patent term
CX01 Expiry of patent term

Granted publication date: 20080716