CN1293719A - 在工件上电镀金属的装置和方法 - Google Patents

在工件上电镀金属的装置和方法 Download PDF

Info

Publication number
CN1293719A
CN1293719A CN99804128A CN99804128A CN1293719A CN 1293719 A CN1293719 A CN 1293719A CN 99804128 A CN99804128 A CN 99804128A CN 99804128 A CN99804128 A CN 99804128A CN 1293719 A CN1293719 A CN 1293719A
Authority
CN
China
Prior art keywords
layer
copper
workpiece
complexing agent
ultra
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN99804128A
Other languages
English (en)
Other versions
CN1246504C (zh
Inventor
陈林林
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Semitool Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US09/045,245 external-priority patent/US6197181B1/en
Application filed by Semitool Inc filed Critical Semitool Inc
Publication of CN1293719A publication Critical patent/CN1293719A/zh
Application granted granted Critical
Publication of CN1246504C publication Critical patent/CN1246504C/zh
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D7/00Electroplating characterised by the article coated
    • C25D7/12Semiconductors
    • C25D7/123Semiconductors first coated with a seed layer or a conductive layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/20Deposition of semiconductor materials on a substrate, e.g. epitaxial growth solid phase epitaxy
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C28/00Coating for obtaining at least two superposed coatings either by methods not provided for in a single one of groups C23C2/00 - C23C26/00 or by combinations of methods provided for in subclasses C23C and C25C or C25D
    • C23C28/30Coatings combining at least one metallic layer and at least one inorganic non-metallic layer
    • C23C28/32Coatings combining at least one metallic layer and at least one inorganic non-metallic layer including at least one pure metallic layer
    • C23C28/322Coatings combining at least one metallic layer and at least one inorganic non-metallic layer including at least one pure metallic layer only coatings of metal elements only
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C28/00Coating for obtaining at least two superposed coatings either by methods not provided for in a single one of groups C23C2/00 - C23C26/00 or by combinations of methods provided for in subclasses C23C and C25C or C25D
    • C23C28/30Coatings combining at least one metallic layer and at least one inorganic non-metallic layer
    • C23C28/34Coatings combining at least one metallic layer and at least one inorganic non-metallic layer including at least one inorganic non-metallic material layer, e.g. metal carbide, nitride, boride, silicide layer and their mixtures, enamels, phosphates and sulphates
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D3/00Electroplating: Baths therefor
    • C25D3/02Electroplating: Baths therefor from solutions
    • C25D3/38Electroplating: Baths therefor from solutions of copper
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D5/00Electroplating characterised by the process; Pretreatment or after-treatment of workpieces
    • C25D5/10Electroplating with more than one layer of the same or of different metals
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D5/00Electroplating characterised by the process; Pretreatment or after-treatment of workpieces
    • C25D5/627Electroplating characterised by the visual appearance of the layers, e.g. colour, brightness or mat appearance
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/288Deposition of conductive or insulating materials for electrodes conducting electric current from a liquid, e.g. electrolytic deposition
    • H01L21/2885Deposition of conductive or insulating materials for electrodes conducting electric current from a liquid, e.g. electrolytic deposition using an external electrical current, i.e. electro-deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76868Forming or treating discontinuous thin films, e.g. repair, enhancement or reinforcement of discontinuous thin films
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76871Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • H01L21/76873Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers for electroplating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/10Applying interconnections to be used for carrying current between separate components within a device
    • H01L2221/1068Formation and after-treatment of conductors
    • H01L2221/1073Barrier, adhesion or liner layers
    • H01L2221/1084Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • H01L2221/1089Stacks of seed layers
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05KPRINTED CIRCUITS; CASINGS OR CONSTRUCTIONAL DETAILS OF ELECTRIC APPARATUS; MANUFACTURE OF ASSEMBLAGES OF ELECTRICAL COMPONENTS
    • H05K3/00Apparatus or processes for manufacturing printed circuits
    • H05K3/40Forming printed elements for providing electric connections to or between printed circuits
    • H05K3/42Plated through-holes or plated via connections
    • H05K3/423Plated through-holes or plated via connections characterised by electroplating method

Abstract

本发明使用了一种新的方法来形成工件的铜镀层。按照本发明,使用一碱性电镀液(35)来在种层(30)上电镀铜、直接在一阻挡层上电镀铜、或强化已经用PVD等法沉积在阻挡层上的超薄铜种层。所获得的铜层是优良的共形铜镀层,填充了工件中的沟槽、转接电路和其他微结构。用于强化种层时,所获得的铜种层是优良的共形铜镀层,它允许微结构用均匀性优良的铜镀层使用电化学沉积技术填充。另外,按照所公开的方法电镀的铜层显示出低的薄层电阻,并可在低温下退火。

Description

在工件上电镀金属的装置和方法
背景技术
在微电子器件的制造中,整个制造过程中涂镀一个或多个导电层常是一个重要步骤。导电层可用在单个微电子元件如读/写头的制作中,但更为经常地用于互连形成于工件如半导体工件上的元件。例如,用这样的结构互连集成电路的各器件。
对本说明使用的某些术语的基本了解,将有助于理解本发明公开的主要内容。为此,下面列出在本发明中使用的某些术语的基本定义。
单导电层定义为基片外工件的复合层。该复合层包括一个或多个金属结构。
基片定义为基底材料层,在其上沉积一或多层导电层。例如基片可以是半导体晶片、陶瓷块等。
工件定义为至少包括一个基片的物体,并可包括设在基片上的其它材料层或制造元件,如一个或多个导电层。
集成电路是一个在半导体材料内和半导体表面上覆盖的绝缘材料内形成的相互连接的器件群。可在半导体内形成的器件包括MOS晶体管、双极型晶体管、二极管和扩散电阻。可在绝缘材料内形成的器件包括薄膜电阻和电容。一般来说,在一个8英寸直径的硅晶片上排列100个以上的集成电路小片(IC芯片)。在每个小片中用的器件由在绝缘材料内形成的导电电路互连。一般来说,用作互连的是两层或多层导电电路,由绝缘层分开相邻层。在目前的作法中,一般分别用铝合金和氧化硅作导体和绝缘材料。
在单个小片上器件之间电信号传播的延迟限制了集成电路的性能。更具体地是,这些延迟限制集成电路可处理这些电信号的速度。较大的传播延迟降低集成电路可处理电信号的速度,而较小的延迟增加这个速度。因此,集成电路制造商寻求减小传播延迟的方法。
对每个互连电路,信号传播延迟的特性可用时间延迟τ表示。参见E.H.Stevens撰写的“互连技术(Interconnect Technology)”,QMC公司,1993年7月。下面给出涉及一个集成电路上晶体管间信号传输的时间延迟τ的近似表达式。
τ=RC[1+(VSAT/RISAT)]
在此方程式中,R和C分别是互连电路的等效电阻和电容,而ISAT和VSAT分别是向互连电路供信号的晶体管的饱和(最大)电流和电流饱和开始时的漏极-源极电位。电路电阻与导电材料的电阻率ρ成正比。电路电容与绝缘材料的相对介电常数Ke成比例。小的τ值要求互连线输送的电流密度大到足以使VSAT/RISAT的比值小。因此随之而来的是,在制造高性能的集成电路中必须用可输送高电流密度的低ρ导体和低Ke的绝缘材料。
为了满足上述标准,在低Ke的绝缘材料中的铜互连线,作为最好的互连结构,可能代替在氧化硅绝缘材料中的铝合金线。见“铜成为主流:低k值随之而来(Copper Goes Maistream:Low-k to Follow)”,国际半导体(Seiconductor International),1997年11月,页67-70。铜膜的电阻率范围是1.7-2.0μΩcm;铝合金膜的电阻率范围是3.0-3.5μΩcm。
尽管铜有优越的性能,但它并未象人们所期待的那样广泛地用作互连材料。这至少部分地是由于沉积镀铜导电层的困难,并且还由于需要存在阻挡层材料。铜倾向于扩散到硅结中,并改变在基片中形成的半导体器件的电特性,因而造成需要阻挡层。在沉积铜层前,例如由氮化钛、氮化钽等制造的阻挡层必须覆盖在硅结和任何隔离层上,以防这种扩散。
近年来开发了向半导体工件上镀铜导电层的多个方法。一个方法是化学气相沉积(CVD),其中由气相的铜化合物的热分解和/或反应,在阻挡层的表面上形成一个薄铜膜。CVD方法能在各种布局外形上产生共形的铜覆层,但是,这种方法在用于形成一个整个导电层时是昂贵的。
另一个已知技术是物理气相沉积(PVD),与CVD相比,PVD已经能使铜沉积在阻挡层上具有较好的附着力。但PVD法的一个缺点是,在用于半导体工件的表面中的微型结构,如转接电路和沟槽上的填充时,它们会造成不良(不共形)的台阶覆层。例如,在半导体器件中,这样的不共形覆层在底部,特别是在沟槽的侧壁上几乎不产生铜的沉积。
图1示出用PVD法在沟槽内产生的铜镀层,不足以形成在一个导电层平面内的互连线。如图所示,在沟槽的下部内沉积上足够量的铜前,沟槽的上部实际上被“夹堵”。这产生一个开放的空穴区,它严重地影响导电线实现所设计输送电信号的能力。
业已发现铜的电化学沉积是形成铜导电层的最经济有效的方式。除了经济上可行外,这种沉积技术提供基本共形的铜膜,它在机械上和电学上适合于互连结构。但是,这些技术一般仅适用于向导电层涂镀铜。因此,一般在进行电化学沉积处理前,向工件涂镀基底导电种层。迄今,在阻挡层材料上电镀铜的技术尚未达到可大量生产的程度。
本发明人认为,有必要提供铜导电层处理技术,它第一,提供与阻挡层有足够附着力的共形铜覆层;第二,具有足够的沉积速度;及第三,大量生产可行。下述本发明的装置和方法满足了这些要求。
发明的技术方案
本发明对工件,如半导体工件的铜导电层提供了一种新型方法。根据本发明,使用一碱性电解铜镀液在一个种层上电镀铜,在阻挡层材料上直接电镀铜,或将用PVD等沉积法在阻挡层上已沉积的超薄铜种层强化。产生的铜层提供填充工件中沟槽、转接电路和其它微形结构的良好共形铜覆层。在用于种层强化时,最终的铜种层提供一种优良的共形铜覆层,它使微型结构通过使用电化学沉积技术,填充十分均匀的铜层。而且,以本公开的方式电镀的铜层显示出低的薄层电阻,并可在低温下退火。
如上所述,本公开的方法可应用于在工件中制造导电层用的广泛工序范围内。例如,工件可以是经处理形成集成电路或其它微电子器件的半导体工件。所介绍的强化种层的方法,对本公开的发明适用性没有限制。
本说明书也说明了一种向在表面上有阻挡层的工件涂镀导电层互连结构的方法。这个方法包括在阻挡层上形成一个超薄金属种层,超薄种层的厚度小于或等于约500埃,并可由能起到随后金属沉积的种层作用的任何材料形成。例如,这样的金属包括:铜、铜合金、铝、铝合金、镍、镍合金等。然后,通过在一个单独的沉积步骤中在其上镀以附加金属,强化超薄种层,形成一个适于在主金属沉积中用的强化的种层。强化的种层在工件内分布的基本所有凹结构侧壁上各点的厚度,等于或大于在工件外分布表面上标定种层厚度的约10%。
根据本方法的一个具体实施例,形成了一种含铜的导电互连结构。为此,通过使半导体工件进行一种电化学沉积镀处理强化一个超薄种层,在此处理中,使用有络合剂的碱性镀液。该铜络合剂可以是从由EDTA、ED、和柠檬酸等多羧酸或它们的盐等组成的一组络合剂中选出的至少一种。
也说明了适于覆层镀、凹入微结构填充镀和种层强化镀的各种镀液的成份。种层强化电镀铜的一种优选溶液包括:硫酸铜、硼酸和一种络合剂。该络合剂最好选自EDTA、ED、和柠檬酸等的多羧酸组成的一组物质之中。这个溶液也适于覆层镀和凹入微结构填充镀。
还说明了改善最终铜膜电阻率的镀液。这镀液最好包括硫酸铜、硫酸铵和乙二醇。该镀液也适于覆层镀和凹入微结构填充镀。
附图简述
图1是示意完全由PVD法形成的铜互连线剖面图;
图2A-2E是示意根椐本发明的一个实施例涂镀各种材料层的通过半导体工件的剖面图;
图3是说明适于强化一个超薄种层的器件的示意图;
图4A是示意用如柠檬酸等的多羧酸作为络合剂的镀液的电流-电位曲线图;
图4B是示意用EDTA,一种含胺镀液作为络合剂的一种镀液的电流-电位曲线图;
图4C是用带硫酸铵和不带硫酸铵的镀液所镀的铜膜其薄膜电阻随退火温度变化的曲线图;
图4D是示意在带硫酸铵和不带硫酸铵的整理镀液中,镀液的导电率作为乙二醇浓度函数的曲线图;
图5是超薄种层的扫描电子显微图片;
图6A是在柠檬酸液中强化的超薄种层的扫描电子显微图片;
图6B是示意在EDTA镀液中强化的超薄种层的扫描电子显微图片;
图7是说明适于本实施公开的种层强化步骤的半导体制造作业线的一部分的示意图。
本发明详述
本发明使用一种新方法向工件例如半导体工件等涂镀铜导电层。根椐本发明,使用一种碱性电解铜镀液向种层上电镀铜,或直接向阻挡层材料上电镀铜、或强化用例如PVD等方法已沉积在阻挡层上的超薄铜种层。此外,公开了一种涂镀导电层的方法。虽然公开的方法可相关的用于相当多种不同金属成分的镀层,但本文中公开的具体实施例是针对涂镀含铜的导电层。为此,用一种碱性电解铜镀液强化已用例如PVD等沉积方法在阻挡层上所沉积的超薄铜种层。这个强化的铜种层具有优良共形铜覆层,它允许沟槽和转接电路随后用电化学沉积技术来充填十分均匀的铜层。
图2A示出用铜导电层填充的例如沟5等的微结构的剖面图,并将用此图说明本发明的种层强化的这一方面。如图所示,例如一个氮化钛或氮化钽的薄阻挡层10沉积在半导体器件的表面上,如图2A所示,或者沉积在例如二氧化硅等的绝缘层8上。阻挡层10的作用是阻止铜迁移到在基片中形成的任意半导体器件上。取决于所用的具体阻挡层材料,可以用例如CVD或PVD等的几种已知技术沉积这个阻挡层。最好是,阻挡层的厚度约为100-300埃。
在沉积阻挡层后,在该阻挡层10上沉积一层超薄铜种层15。在图2B中示出制成的结构。最好是,用一种气相沉积技术,例如CVD或PVD形成铜种层15。为了具有足够的附着力和铜覆层,通常要求一种较厚(1000埃)铜种层。但用PVD沉积法涂镀这样的种层时,这样厚的种层导致封闭小尺寸的沟槽的问题。
与种层的常规想法相反,实施例示出的铜种层15是超薄的,其厚度约为50-500埃,约100-250埃更好,最好是约200埃。可用CVD或PVD法,或两者结合来沉积该超薄铜种层。但PVD是首选的方法,因为它能容易地在阻挡层10上以较高附着力沉积铜。通过沉积一层超薄铜种层,而不是现有技术所用的较厚铜种层,可避免夹堵沟槽的现象。
使用超薄种层15一般引出它自身的一系列问题。其中最要紧的问题之一是,超薄种层实际上一般不是均匀地覆盖阻挡层10,而是常在超薄种层15中存在如在标号20处所示的侧壁上的空穴或不连续的种层区,从而导致在区20中不能正确地涂镀随后的电化学镀铜层。而且,超薄种层有包括尖部21的倾向,它影响随后电解沉积的金属层的均匀性。这些尖部21造成高电位区,在这些区铜以比其它较平区更高的速度沉积。因此,种层15不完全适于在涂镀了一个种层后通常用的常规电镀技术。
本发明人发现,如果与一个随后的电化学种层强化技术相结合,就能够使用一个超薄种层。为此,对半导体工件进行一个后续的处理步骤,在其中,向超薄种层涂镀附加铜量18,从而强化该种层。图2C示出由附加沉积的铜强化的种层。如图2C所示,图2B的空穴或不连续区20已被填充,从而使铜基本覆盖全部阻挡层10。
最好是,使种层强化过程继续进行直到侧壁台阶覆盖的程度,即在工件底侧壁区22上的种层厚度与在外部处理侧23上的种层的标定厚度比值达到至少10%;侧壁台阶覆盖层达到至少约20%更好。这样量值的侧壁台阶覆盖层,基本存在于半导体工件的所有凹结构中。但应看到,在半导体工件中分布的某些凹结构可能达不到侧壁台阶覆盖层的这种程度。例如,在半导体晶片的周边上的这些结构可能达不到这些台阶覆盖值。相似地,在某些凹结构位置上的缺陷和污物可能妨碍它们达到希望的覆盖值。在工件外露侧上强化的种层的标定厚度最好为500-1600埃之间。
虽然本文公开的实施例是就铜导电层进行说明,应理解,在进行大量电镀前的超薄种层强化的基本原理也适用于能够电镀的其它金属和合金。这些金属包括:铁、镍、钴、锌、铜锌合金、镍铁合金、钴铁合金等。
图3示出适于强化超薄种层的装置25的示意图。应看到,这个装置也适用于覆层镀和/或凹微结构的填充镀。如图所示,一个半导体工件,如半导体晶片30面向下放置在电镀液的槽35中。设置一个或多个触点40将作为电镀池阴极的晶片30连接到电镀电源45上。阳极50位于镀槽35中,并连接到电镀电源45。最好是,在阳极50和晶片/阴极30间设置一扩散器55。在强化过程中,晶片30可围绕轴线60旋转。阳极50在其背侧可带一个绝缘罩65,它面对镀液流的进入液流。
如上所述,本发明的某些方面涉及新的有效电镀溶液。这些溶液可用于覆层镀、凹微结构填充镀和种层强化等。强化种层的优选电镀液是碱性铜镀液,其中铜离子与一络合剂络合。镀液所含的各组分的优选成分和浓度范围如下:
1.硫酸铜:0.03克分子-0.25克分子(最好为0.04);
2.络合剂:络合物对金属的比值为1-4,最好是2;
3.硼酸:0.01-0.5克分子,最好是0.05克分子;且
4.pH值:5-13,最好是9.5。
硫酸铜(CuSO4)是铜离子的优选来源。镀槽中硫酸铜的浓度范围是0.03-0.25克分子为好,以0.1克分子为更好。
适用于本发明所用的络合剂与铜离子形成稳定络合物,防止氢氧化铜沉淀。业已发现乙二胺四乙酸(EDTA)、乙二胺(ED)、柠檬酸和它们的盐是特别适宜的铜络合剂。在镀液中络合剂与硫酸铜摩尔比在1-4的范围较好,最好约为2。这样的络合剂可以单独、彼此结合、或与一种或多种其它络合剂结合使用。
最好将电镀液的pH值保持在至少9.0。可用氢氧化钾、氢氧化铵、氢氧化四甲铵或氢氧化钠调节,以将pH值保持在所要求的9.0或以上水平。柠檬酸或ED镀液的pH值最好约为9.5,对EDTA镀液的pH值最好约为12.5。如上所述,络合剂有助于防止铜在高pH值水平上发生沉淀。
可向碱性铜镀液加附加组分。例如,当用柠檬酸或ED作络合剂时,硼酸(H3BO3)帮助保持pH值在9.5,并在向含有EDTA作为络合剂的电镀液加硼酸时,产生较亮的沉积铜。如果加硼酸,它在镀液中的浓度在0.01-0.5克分子的范围最好。
一般,镀液的温度可在20-35℃之间,以25℃最好。强化铜种层而沉积铜的电流密度可在1-5mA/cm2,而强化铜种层的电镀时间约1-5分钟是足够的。电镀波形例如可以是具有在50%占空比的周期为2毫秒的正向周期脉冲。
一种无胺的酸性络合剂,例如,象柠檬酸等的多羧酸和它们的盐,比使用EDTA或ED好。EDTA或ED包括胺团。在晶片冲洗和干燥后,这些胺团经常残留在半导体工件的表面上。由于这些胺团存在而产生的反应,使随后的处理过程特别是光刻处理可能受到影响。例如,这些胺团会干扰光致抗蚀材料的曝光和/或固化的化学反应。因此,无胺络合剂特别适于跟随在电镀过程后进行光刻过程的工艺。
使用如柠檬酸等多羧酸的另一个优点是,其镀铜时的电压电位的值大于在含EDTA的镀液中镀铜的电压电位。这在图4A和4B中示出。其中图4A是柠檬酸镀液的电流与电位曲线图。而图4B是EDTA镀液的电流与电位关系图。电镀发生在对应于电流突然增加处的电压上。这个电镀电压称为电镀电位,在用柠檬酸作为络合物的镀液的图4A中,其值约为-1.25伏,而在用EDTA作为络合物的镀液的图4B中,其值约为-1.0伏。电流峰值(含柠檬酸的镀液是70 70’,而含EDTA的镀液是72 72’)是极限电流,主要由在镀液中的质量转移和铜离子浓度决定。如图所示,电流和特定电镀电位的值稍与基片材料有关。在图4A和4B中示出的不同基片结果,其中70和72是铜基片材料的曲线,70’和72’是带氧化铜层的铜构成的铜基片材料的曲线。这说明,在相同电解液中在氧化铜上产生额外的峰值。这些峰值与在碱性电化学镀铜前氧化铜电化学还原成金属铜有关。
据信,在碱性镀液中以较高电镀电位电镀的铜层所具有的与下面的阻挡层的附着力,要比在酸镀液中以较低电镀电位电镀的铜层的更大。可以认为,为使铜附着在阻挡材料上,铜离子必须以足够的能量撞击阻挡层表面,穿透在屏蔽表面上的一层薄的氧化或污染层。因此可以相信,在电镀过程中,以较高电镀电位镀的铜层对暴露的阻挡层的附着,与用较小电镀电位电镀相比,效果更好。这个因素,与PVD铜和电化学镀铜间的铜内化学键相结合,形成具有良好电学性能和良好的与阻挡层附着力的强化种层。这些特征对用于覆层电镀、填充电镀、印制板电镀等中的膜也是理想的。
现已发现,镀铜膜的电阻率直接与电镀液的电阻率有关。因此有助于降低溶液电阻率的添加剂会使镀膜电阻率相应降低。
实验结果指出,加入硫酸铵会显著降低镀液的电阻率,并因而降低镀膜的电阻率。在图4C中曲线示出不同量的硫酸铵获得的薄层电阻的比较。可以见到,无论在高温下退火或没有高温下退火,不含硫酸铵的镀液中获得最高薄层电阻。如果用氢氧化铵调节pH值,其中向镀液中加入痕迹量的硫酸铵,薄层电阻从76下降到23。在硫酸铵的浓度从0.1克分子增加到0.5克分子,薄层电阻以相应方式连续降低。
虽然硫酸铵帮助降低镀铜层的薄层电阻,但实验结果指出它降低了产生的铜膜的共形性。而向含硫酸铵的溶液中加入乙二醇明显地增加最终镀层的共形性。图4D示出乙二醇的浓度与含0.2克分子硫酸铵的镀液的导电率间的关系。
配有硫酸铵的镀液的优选成份和各组分浓度范围如下:
1.硫酸铜:0.03-0.5克分子(最好是0.25克分子);
2.络合剂:络合物与金属之比为1-4,最好是2,使用ED;
3.硫酸铵:0.01-0.5克分子,最好是0.3克分子;以及
4.硼酸:0.00-0.5克分子,最好是0.2克分子。
如上所述,可用这样成份的镀液进行覆层镀、印制板镀、充填镀和种层强化。
再参看本发明的具体种层强化方面,图2C的该强化种层适合随后电化学沉积铜。这个随后的沉积铜可在用于强化种层的装置内在碱性镀液中进行。可在这之后进行低温退火处理,这有助于降低镀铜层的电阻率。这样的低温退火处理在约250℃的温度下进行较有利,最好是在100℃的温度下进行。在应用低K绝缘材料隔离铜结构时,应将退火温度上限选在绝缘材料的软化温度之下。
虽然上述碱性镀液成分可用于整个电化学沉积过程,但随后的沉积铜可在一个酸性环境进行,此时电镀速度明显高于用碱性镀液时相应的速度。为此,最好将半导体工件转移到一装置中,在其中用去离子水进行彻底洗涤,并然后转移到类似于图3所示的但其中镀液是酸性的装置中。例如,一种适宜的铜镀液包含170克/升的H2SO4、17克/升铜和70ppm的氯离子,并带有有机添加剂。有机添加剂对于电镀反应不是绝对必需的。但是,使用有机添加剂可制出所希望的镀膜特性,并较好地填充在晶片表面上的凹结构。有机添加剂可包括平整剂(leveler)、光亮剂、湿润剂和延性增强剂。在这个电镀过程中,沟槽5基本用电镀铜的另外一层22填充。图2D示出最终的充满剖面。在这样填充后,用适当的处理除去超出沟口分布的阻挡层和铜层,如图2E所示,仅留下带铜导电层和相应的阻挡材料的沟槽5。
用碱性电镀液强化铜种层比不经种层强化用酸性铜镀液具有特别的优点。在PVD铜种层沉积后,该铜种层一般暴露到含氧的环境中。氧容易将金属铜转变成氧化物。如果在种层暴露到含氧环境后,用酸性铜镀液在种层镀铜,酸性铜镀液会溶解形成的氧化铜,产生在种层中的空穴和在种层上镀的铜层的不均匀。使用根据本公开的实施例的碱性铜镀液,通过有益地将种层表面上的任何氧化铜还原成金属铜,避免此问题。碱性铜镀液的另一优点是由其所镀的铜对阻挡层具有的附着力比由酸性铜镀液镀的高的多。本发明在种层强化方面的另外的优点可从下面的例子中看到。例1带和不带种层强化的酸性镀铜之间的比较
每个半导体晶片1、2和3覆有200埃PVD铜种层。根据本发明,晶片1和2分别经柠檬酸和EDTA镀液强化,它们的成分如下:
晶片1的镀液:在温度25℃,pH值9.5的去离子水中,0.1克分子硫酸铜+0.2克分子柠檬酸+0.05克分子硼酸。
晶片2的镀液:在温度25℃,pH值12.5的去离子水中,0.1克分子硫酸铜+0.2克分子EDTA酸+0.05克分子硼酸。
晶片3没有任何的种层强化。
这三个晶片然后在相同条件下,用酸性铜镀液镀1.5微米铜层。下表将对在所镀铜层达到标定的1.5微米厚度之后,从薄层电阻测量导出的这三个晶片的均匀性进行比较。
表1
晶片 强化镀液 电流密度 不均匀性标准偏差(%,1σ)
1 柠檬酸 3分钟2mA/cm2 在7.321
2 EDTA 3分钟2mA/cm2 在6.233
3 0 46.10
由表1中结果可见,根据本公开的方法的种层强化(6~7%)与没有种层强化(46%)相比,具有优良的均匀性。这与在镀上1.5微米电镀铜后肉眼检验晶片的过程中的观察结果一致。这种晶片的肉眼检验显示在没有种层强化的晶片上,在晶片电极触点上存在缺陷。
图5、6A和6B是用SEM获取的图片。在图5中,在半导体晶片的表面上镀有超薄种层,包含有沟槽85等微结构。如图所示,在沟槽的下角部有空穴区。在图6A中,在含柠檬酸作为络合剂的镀液中,种层以上述方式得到强化。这个强化形成一个共形的铜种层,它极适合于随后的铜导电层的电化学沉积。
图6B示出在含EDTA作为络合剂的镀液中强化了的种层。产生的种层包括从沟槽侧壁伸出尖部的较大颗粒度。这些侧壁的颗粒突出使沟槽的随后电化学沉积填充更困难,因为,它们使局部产生较高电镀速度,造成随后电化学沉积的不均匀。这个作用在小尺寸的凹微结构中特别明显。因此,在填充小的微结构时,象柠檬酸那样的络合剂更有效。用ED络合剂,也能达到可与含柠檬酸的铜镀液相比的结果。
图7是表示适合进行上述工艺的半导体生产线90的一个区域的示意图。作业线90包括一个气相沉积工具或工具组95和电化学沉积工具或工具组100。在工具组95和100间的晶片传送可由人工或通过自动转移机构105进行。最好是,自动转移机构105传送在一个容器或相似环境中的工件。另外,自动转移机构105也可以单个地,或通过加入到工具/工具组的清洁气氛的一个开放的转运器转移晶片。
操作中,用气相沉积工具/工具组在作业线90上处理的至少部分半导体工件上,沉积铜超薄种层。最好这是用PVD法完成。然后带超薄种层的工件单个或成批量地转移到工具/工具组100,在此对其进行电化学种层强化,例如在处理台站110。处理台站110可按前文本图3方式构成。强化完成后,对工件进行完全电镀,铜导电层涂镀到工件上,达到希望的互连镀层的厚度。这后面的处理可在台站110上进行,但最好在酸性镀槽中镀铜导电层的另一处理台站115上进行。在向台站115转移前,最好工件在台站112用去离子水洗涤。在台站110、112和115间的晶片转移可由一晶片输送系统120自动完成。电化学沉积工具组100,可用例如蒙大拿州Kalispell的Semitool公司供的Lt-210TM或EquinoxTM型电镀工具实现。
不偏离上述系统的基本原理可对上述系统进行多种改变。虽然已参照一个或多个特定实施例对本发明进行了基本的详述,但本领域技术人员应明白,本发明所附的权利要求中所提到的改变不会偏离本发明的范围和实质。

Claims (59)

1.一种向工件涂镀金属结构的方法,包括步骤:用一种电镀液向工件的表面电镀一铜层,其中所述电镀液包括硫酸铜、硫酸铵、一种络合剂和乙二醇。
2.根据权利要求1所述的方法,其中电镀液还包括硼酸。
3.根据权利要求2所述的方法,其中络合剂选自:ED、EDTA和多羧酸。
4.一种向工件涂镀金属结构的方法,包括步骤:用一种电镀液向工件的表面电镀一铜层,其中所述电镀液包括硫酸铜、硼酸和一种络合剂。
5.根据权利要求4所述的方法,其中络合剂选自:ED、EDTA和多羧酸。
6.根据权利要求4所述的方法,其中络合剂是柠檬酸。
7.一种向工件涂镀导电层互连结构的方法,该工件包含有在其表面上沉积的阻挡层,所述方法包括以下步骤:
(a)在阻挡层上形成超薄金属种层,该种层的厚度小于或等于约500埃;
(b)通过沉积附加金属强化超薄种层,产生一种强化种层,该强化种层分布在工件的大体所有凹结构的侧壁上所有点上的厚度,等于或大于在工件外表面上的种层标定厚度的约10%。
8.根据权利要求7所述的方法,其中附加的金属是铜。
9.根据权利要求7所述的方法,其中强化超薄种层的方法包括一个电化学沉积步骤。
10.根据权利要求9所述的方法,其中所述电化学沉积步骤是在碱性镀液中进行。
11.根据权利要求10所述的方法,其中所述碱性镀液包括金属离子和有效络合金属离子的络合剂。
12.根据权利要求7所述的方法,其中在步骤(a)中形成的超薄种层是由物理气相沉积形成。
13.根据权利要求7所述的方法,其中在步骤(a)中形成的超薄种层的厚度约50-500埃。
14.根据权利要求13所述的方法,其中在步骤(a)中形成的超薄种层的厚度约100-250埃。
15.根据权利要求7所述的方法,其中络合剂是由EDTA、ED和多羧酸中选出的一种或多种络合剂组成。
16.根据权利要求11所述的方法,其中络合剂由EDTA组成,且在镀液中EDTA的浓度范围是0.03-1.0克分子。
17.根据权利要求15所述的方法,其中络合剂由ED组成,且在镀液中ED的浓度范围是0.03-1.0克分子。
18.根据权利要求16所述的方法,其中络合剂由EDTA组成,且EDTA的浓度范围是0.1-04克分子。
19.根据权利要求15所述的方法,其中络合剂由柠檬酸组成,且在镀液中柠檬酸的浓度范围是0.03-1.0克分子。
20.根据权利要求10所述的方法,还包括在酸性电解液中对工件进行另一次电化学沉积处理的步骤,使沉积的金属达到形成互连结构所需的厚度。
21.根据权利要求20所述的方法,还包括在所述镀液中电化学沉积后,在酸性电解液中进一步铜镀处理前,对工件进行洗涤处理的步骤。
22.在一生产作业线中,包括一组用于制造集成电路的装置,该组装置中的一个或多个装置用于向用来形成集成电路的工件的表面涂镀铜导电层互连结构,该一种和多种装置包括:
向工件表面涂镀导电超薄种层的装置:
电化学强化导电超薄种层的装置,使该种层适于随后进行涂镀互连铜导电层的电化学镀,并达到代表铜导电互连结构的主要部分的预定厚度。
23.根据权利要求22所述的一个或多个装置,其中涂镀装置进一步限定为向工件的阻挡层表面涂镀导电超薄铜种层的装置。
24.根据权利要求22所述的一个或多个装置,其中涂镀装置进一步限定为使用PVD法向工件的阻挡层表面涂镀导电超薄铜种层的装置。
25.根据权利要求22所述的一个或多个装置,其中涂镀装置进一步限定为使用CVD法向工件的阻挡层表面涂镀导电超薄铜种层的装置。
26.根据权利要求23所述的一个或多个装置,其中电化学强化导电超薄种层的装置进一步限定为使用一种带有络合剂的碱性铜镀液,通过电化学沉积铜来电化学强化导电超薄种层的装置。
27.根据权利要求26所述的一个或多个装置,其中超薄种层电化学强化在大于或至少约为1.1伏的电镀电压上进行。
28.根据权利要求26所述的一个或多个装置,其中碱性镀液的pH值大于或等于约9.0。
29.根据权利要求26所述的一个或多个装置,其中络合剂由EDTA组成。
30.根据权利要求26所述的一个或多个装置,其中络合剂由ED组成。
31.根据权利要求26所述的一个或多个装置,其中络合剂由羧酸或它的盐组成。
32.根据权利要求31所述的一个或多个装置,其中络合剂由柠檬酸或它的盐组成。
33.根据权利要求26所述的一个或多个装置,还包括使用一种酸性铜镀液,通过电化学沉积铜在导电超薄种层上涂镀另一铜层的装置。
34.据权利要求33所述的一个或多个装置,其中进行超薄种层的电化学强化的电镀电压值高于在酸性铜镀液中电镀的电压值。
35.根据权利要求34所述的一个或多个装置,还包括用来在工件引入到电化学涂镀另一铜层的装置前,洗涤该工件的装置。
36.一种向工件涂镀导电互连结构的方法,工件包括在其表面上沉积的阻挡层,所述方法包括以下步骤:
(a)在阻挡层上形成一超薄种层,所述种层的厚度小于或等于约500埃;
(b)在备有铜离子由络合剂络合的碱性电镀液中,对工件进行电化学镀铜处理,使得附加的铜镀在超薄种层上,从而强化种层。
37.根据权利要求36所述的方法,其中在步骤(a)形成的超薄种层是由物理气相沉积形成的。
38.根据权利要求36所述的方法,其中在步骤(a)形成的超薄种层的厚度约50-500埃。
39.根据权利要求38所述的方法,其中在步骤(a)形成的超薄种层的厚度约100-250埃。
40.根据权利要求39所述的方法,其中在步骤(a)形成的超薄种层的厚度约200埃。
41.根据权利要求36所述的方法,其中碱性电镀液的pH值为至少9.0。
42.根据权利要求36所述的方法,其中电镀液中的铜离子是由硫酸铜提供的。
43.根据权利要求42所述的方法,其中电镀液中的硫酸铜的浓度范围是0.03-0.25克分子。
44.根据权利要求42所述的方法,其中硫酸铜的浓度约是0.1克分子。
45.根据权利要求36所述的方法,其中铜络合剂是从EDTA、ED和柠檬酸中选出的一种铜络合剂。
46.根据权利要求45所述的方法,其中络合剂由EDTA组成,并且在电镀液中EDTA的浓度范围为0.03-1.0克分子。
47.根据权利要求45所述的方法,其中络合剂由ED组成,并且在电镀液中ED的浓度范围为0.03-1.0克分子。
48.根据权利要求45所述的方法,其中络合剂由EDTA组成,并且EDTA的浓度范围为0.1-0.4克分子。
49.根据权利要求45所述的方法,其中络合剂由柠檬酸组成,并且在电镀液中柠檬酸的浓度范围为0.03-1.0克分子。
50.根据权利要求49所述的方法,其中柠檬酸具有的浓度范围为0.1-0.4克分子。
51.根据权利要求36所述的方法,还包括在酸性电解液中对工件进行进一步电化学镀铜处理的步骤,使沉积的铜达到形成铜互连连结构所需的厚度。
52.根据权利要求51所述的方法,还包括在步骤(b)后但在酸性电解液中进一步电化学镀铜处理前,对工件进行洗涤处理的步骤。
53.一种工件,包括:
在工件的面上分布的多个凹结构;
一强化的种层,其厚度在工件内分布的基本上所有凹结构的侧壁的所有点上等于或大于在工件外表面上的标定种层厚度的约10%。
54.根据权利要求54所述的工件,其中基本上所有凹结构的侧壁的厚度等于或大于约20%。
55.一种用于电镀铜的溶液,该溶液包括硫酸铜、硫酸铵和乙二醇。
56.根据权利要求55所述的溶液,还包括一种络合剂。
57.一种用于电镀铜的溶液,该溶液包括硫酸铜、硼酸和一种络合剂。
58.根据权利要求57所述的溶液,其中络合剂选自ED、EDTA和多羧酸。
59.根据权利要求57所述的溶液,其中络合剂是柠檬酸。
CNB998041289A 1998-03-20 1999-03-22 在工件上电镀金属的装置和方法 Expired - Fee Related CN1246504C (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US09/045,245 US6197181B1 (en) 1998-03-20 1998-03-20 Apparatus and method for electrolytically depositing a metal on a microelectronic workpiece
US09/045,245 1998-03-20
US8567598P 1998-05-15 1998-05-15
US60/085,675 1998-05-15

Publications (2)

Publication Number Publication Date
CN1293719A true CN1293719A (zh) 2001-05-02
CN1246504C CN1246504C (zh) 2006-03-22

Family

ID=26722541

Family Applications (1)

Application Number Title Priority Date Filing Date
CNB998041289A Expired - Fee Related CN1246504C (zh) 1998-03-20 1999-03-22 在工件上电镀金属的装置和方法

Country Status (7)

Country Link
US (6) US6290833B1 (zh)
EP (1) EP1064417A4 (zh)
JP (1) JP4642229B2 (zh)
KR (1) KR100420157B1 (zh)
CN (1) CN1246504C (zh)
TW (2) TWI223678B (zh)
WO (1) WO1999047731A1 (zh)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101512048B (zh) * 2006-02-21 2011-12-28 埃其玛公司 在半导体器件制造中用于直接镀铜和填充而形成互连的方法和组合物
CN104313657A (zh) * 2014-11-10 2015-01-28 临安振有电子有限公司 Hdi印制线路板通孔的电沉积装置
CN105696034A (zh) * 2014-12-12 2016-06-22 英飞凌科技股份有限公司 电解质、形成铜层的方法以及形成芯片的方法
CN111315146A (zh) * 2020-02-27 2020-06-19 清华大学 柔性复合电路的制造方法

Families Citing this family (166)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7126195B1 (en) * 1996-06-03 2006-10-24 Micron Technology, Inc. Method for forming a metallization layer
US6429120B1 (en) 2000-01-18 2002-08-06 Micron Technology, Inc. Methods and apparatus for making integrated-circuit wiring from copper, silver, gold, and other metals
US6752584B2 (en) * 1996-07-15 2004-06-22 Semitool, Inc. Transfer devices for handling microelectronic workpieces within an environment of a processing machine and methods of manufacturing and using such devices in the processing of microelectronic workpieces
US6921467B2 (en) * 1996-07-15 2005-07-26 Semitool, Inc. Processing tools, components of processing tools, and method of making and using same for electrochemical processing of microelectronic workpieces
US7244677B2 (en) 1998-02-04 2007-07-17 Semitool. Inc. Method for filling recessed micro-structures with metallization in the production of a microelectronic device
TWI223678B (en) 1998-03-20 2004-11-11 Semitool Inc Process for applying a metal structure to a workpiece, the treated workpiece and a solution for electroplating copper
US6197181B1 (en) 1998-03-20 2001-03-06 Semitool, Inc. Apparatus and method for electrolytically depositing a metal on a microelectronic workpiece
US6565729B2 (en) 1998-03-20 2003-05-20 Semitool, Inc. Method for electrochemically depositing metal on a semiconductor workpiece
EP1091024A4 (en) 1998-04-30 2006-03-22 Ebara Corp METHOD AND DEVICE FOR COATING SUBSTRATES
US7338908B1 (en) 2003-10-20 2008-03-04 Novellus Systems, Inc. Method for fabrication of semiconductor interconnect structure with reduced capacitance, leakage current, and improved breakdown voltage
CA2359816C (en) * 1999-01-06 2010-08-03 Genenews Inc. Method for the detection of gene transcripts in blood and uses thereof
US6221763B1 (en) 1999-04-05 2001-04-24 Micron Technology, Inc. Method of forming a metal seed layer for subsequent plating
US6582578B1 (en) 1999-04-08 2003-06-24 Applied Materials, Inc. Method and associated apparatus for tilting a substrate upon entry for metal deposition
EP1192298A4 (en) 1999-04-13 2006-08-23 Semitool Inc APPENDIX FOR THE ELECTROCHEMICAL TREATMENT OF A WORKPIECE
US8852417B2 (en) 1999-04-13 2014-10-07 Applied Materials, Inc. Electrolytic process using anion permeable barrier
US20060157355A1 (en) * 2000-03-21 2006-07-20 Semitool, Inc. Electrolytic process using anion permeable barrier
US6916412B2 (en) * 1999-04-13 2005-07-12 Semitool, Inc. Adaptable electrochemical processing chamber
US8236159B2 (en) 1999-04-13 2012-08-07 Applied Materials Inc. Electrolytic process using cation permeable barrier
US6159853A (en) * 1999-08-04 2000-12-12 Industrial Technology Research Institute Method for using ultrasound for assisting forming conductive layers on semiconductor devices
US6413858B1 (en) 1999-08-27 2002-07-02 Micron Technology, Inc. Barrier and electroplating seed layer
JP3498306B2 (ja) * 1999-09-16 2004-02-16 石原薬品株式会社 ボイドフリー銅メッキ方法
US6423200B1 (en) * 1999-09-30 2002-07-23 Lam Research Corporation Copper interconnect seed layer treatment methods and apparatuses for treating the same
US6440849B1 (en) * 1999-10-18 2002-08-27 Agere Systems Guardian Corp. Microstructure control of copper interconnects
EP1111096A3 (en) 1999-12-15 2004-02-11 Shipley Company LLC Seed layer repair method
US6650957B1 (en) * 2000-01-03 2003-11-18 Advanced Micro Devices, Inc. Method and apparatus for run-to-run control of deposition process
US6420262B1 (en) 2000-01-18 2002-07-16 Micron Technology, Inc. Structures and methods to enhance copper metallization
US6376370B1 (en) * 2000-01-18 2002-04-23 Micron Technology, Inc. Process for providing seed layers for using aluminum, copper, gold and silver metallurgy process for providing seed layers for using aluminum, copper, gold and silver metallurgy
US20060189129A1 (en) * 2000-03-21 2006-08-24 Semitool, Inc. Method for applying metal features onto barrier layers using ion permeable barriers
US6582579B1 (en) * 2000-03-24 2003-06-24 Nutool, Inc. Methods for repairing defects on a semiconductor substrate
US8475636B2 (en) * 2008-11-07 2013-07-02 Novellus Systems, Inc. Method and apparatus for electroplating
EP1139396A3 (en) * 2000-03-31 2003-08-27 Texas Instruments Incorporated Fixture and method for uniform electroless metal deposition on integrated circuit bond pads
US6913680B1 (en) 2000-05-02 2005-07-05 Applied Materials, Inc. Method of application of electrical biasing to enhance metal deposition
EP1337693A2 (en) * 2000-05-23 2003-08-27 Applied Materials, Inc. Method and apparatus to overcome anomalies in copper seed layers and to tune for feature size and aspect ratio
US20050006245A1 (en) * 2003-07-08 2005-01-13 Applied Materials, Inc. Multiple-step electrodeposition process for direct copper plating on barrier metals
US20050109627A1 (en) * 2003-10-10 2005-05-26 Applied Materials, Inc. Methods and chemistry for providing initial conformal electrochemical deposition of copper in sub-micron features
US20050145499A1 (en) * 2000-06-05 2005-07-07 Applied Materials, Inc. Plating of a thin metal seed layer
US20050284751A1 (en) * 2004-06-28 2005-12-29 Nicolay Kovarsky Electrochemical plating cell with a counter electrode in an isolated anolyte compartment
US7273535B2 (en) * 2003-09-17 2007-09-25 Applied Materials, Inc. Insoluble anode with an auxiliary electrode
TW562878B (en) * 2000-06-30 2003-11-21 Ebara Corp Copper-plating liquid, plating method and plating apparatus
US20020090484A1 (en) * 2000-10-20 2002-07-11 Shipley Company, L.L.C. Plating bath
US6660153B2 (en) * 2000-10-20 2003-12-09 Shipley Company, L.L.C. Seed layer repair bath
US6824665B2 (en) * 2000-10-25 2004-11-30 Shipley Company, L.L.C. Seed layer deposition
US6660154B2 (en) 2000-10-25 2003-12-09 Shipley Company, L.L.C. Seed layer
US6797146B2 (en) 2000-11-02 2004-09-28 Shipley Company, L.L.C. Seed layer repair
DE60044362D1 (de) 2000-12-13 2010-06-17 Imec Verfahren zur Herstellung eines Elektroplattierungsbad und zugehöriges Kupfer-Plattierungsverfahren
US20040072423A1 (en) * 2001-01-12 2004-04-15 Jacob Jorne Methods and systems for electro-or electroless-plating of metal in high-aspect ratio features
JP2002289559A (ja) * 2001-02-01 2002-10-04 Texas Instr Inc <Ti> 集積回路の製造方法
JP2004519557A (ja) * 2001-02-23 2004-07-02 株式会社荏原製作所 銅めっき液、めっき方法及びめっき装置
US20050081744A1 (en) * 2003-10-16 2005-04-21 Semitool, Inc. Electroplating compositions and methods for electroplating
US6730857B2 (en) 2001-03-13 2004-05-04 International Business Machines Corporation Structure having laser ablated features and method of fabricating
US6740221B2 (en) 2001-03-15 2004-05-25 Applied Materials Inc. Method of forming copper interconnects
TW529090B (en) 2001-04-04 2003-04-21 Koninkl Philips Electronics Nv Back-end metallisation process
US6506668B1 (en) * 2001-06-22 2003-01-14 Advanced Micro Devices, Inc. Utilization of annealing enhanced or repaired seed layer to improve copper interconnect reliability
US6664122B1 (en) 2001-10-19 2003-12-16 Novellus Systems, Inc. Electroless copper deposition method for preparing copper seed layers
US20050051432A1 (en) * 2001-12-13 2005-03-10 Mitsuhiko Shirakashi Electrolytic processing apparatus and method
WO2003060959A2 (en) * 2002-01-10 2003-07-24 Semitool, Inc. Method for applying metal features onto barrier layers using electrochemical deposition
US7239747B2 (en) * 2002-01-24 2007-07-03 Chatterbox Systems, Inc. Method and system for locating position in printed texts and delivering multimedia information
TWI275436B (en) * 2002-01-31 2007-03-11 Ebara Corp Electrochemical machining device, and substrate processing apparatus and method
US20030188974A1 (en) * 2002-04-03 2003-10-09 Applied Materials, Inc. Homogeneous copper-tin alloy plating for enhancement of electro-migration resistance in interconnects
US20030201185A1 (en) * 2002-04-29 2003-10-30 Applied Materials, Inc. In-situ pre-clean for electroplating process
US6911136B2 (en) * 2002-04-29 2005-06-28 Applied Materials, Inc. Method for regulating the electrical power applied to a substrate during an immersion process
US6893505B2 (en) * 2002-05-08 2005-05-17 Semitool, Inc. Apparatus and method for regulating fluid flows, such as flows of electrochemical processing fluids
US6875331B2 (en) * 2002-07-11 2005-04-05 Applied Materials, Inc. Anode isolation by diffusion differentials
US7128823B2 (en) * 2002-07-24 2006-10-31 Applied Materials, Inc. Anolyte for copper plating
US7247222B2 (en) * 2002-07-24 2007-07-24 Applied Materials, Inc. Electrochemical processing cell
US20040217005A1 (en) * 2002-07-24 2004-11-04 Aron Rosenfeld Method for electroplating bath chemistry control
US7223323B2 (en) * 2002-07-24 2007-05-29 Applied Materials, Inc. Multi-chemistry plating system
US7025866B2 (en) 2002-08-21 2006-04-11 Micron Technology, Inc. Microelectronic workpiece for electrochemical deposition processing and methods of manufacturing and using such microelectronic workpieces
US7897198B1 (en) 2002-09-03 2011-03-01 Novellus Systems, Inc. Electroless layer plating process and apparatus
US20040118699A1 (en) * 2002-10-02 2004-06-24 Applied Materials, Inc. Homogeneous copper-palladium alloy plating for enhancement of electro-migration resistance in interconnects
DE10254815A1 (de) * 2002-11-23 2004-06-09 Infineon Technologies Ag Verfahren zum galvanischen Aufbringen eines Metalls, insbesondere von Kupfer, Verwendung dieses Verfahrens und integrierte Schaltungsanordnung
US7902062B2 (en) 2002-11-23 2011-03-08 Infineon Technologies Ag Electrodepositing a metal in integrated circuit applications
US6884338B2 (en) * 2002-12-16 2005-04-26 3M Innovative Properties Company Methods for polishing and/or cleaning copper interconnects and/or film and compositions therefor
US6858124B2 (en) * 2002-12-16 2005-02-22 3M Innovative Properties Company Methods for polishing and/or cleaning copper interconnects and/or film and compositions therefor
US7147767B2 (en) * 2002-12-16 2006-12-12 3M Innovative Properties Company Plating solutions for electrochemical or chemical deposition of copper interconnects and methods therefor
US7198705B2 (en) * 2002-12-19 2007-04-03 Texas Instruments Incorporated Plating-rinse-plating process for fabricating copper interconnects
US20040154926A1 (en) * 2002-12-24 2004-08-12 Zhi-Wen Sun Multiple chemistry electrochemical plating method
US20040200725A1 (en) * 2003-04-09 2004-10-14 Applied Materials Inc. Application of antifoaming agent to reduce defects in a semiconductor electrochemical plating process
US7311810B2 (en) * 2003-04-18 2007-12-25 Applied Materials, Inc. Two position anneal chamber
US7473339B2 (en) * 2003-04-18 2009-01-06 Applied Materials, Inc. Slim cell platform plumbing
US20040206628A1 (en) * 2003-04-18 2004-10-21 Applied Materials, Inc. Electrical bias during wafer exit from electrolyte bath
US7297247B2 (en) * 2003-05-06 2007-11-20 Applied Materials, Inc. Electroformed sputtering target
US20040253386A1 (en) 2003-06-13 2004-12-16 Sarojini Deevi Preparation of intermetallics by metallo-organic decomposition
KR100545192B1 (ko) * 2003-06-19 2006-01-24 동부아남반도체 주식회사 증착 중단 시기 검출 장치 및 이를 이용한 반도체 소자의구리 배선 형성 방법
US20070125657A1 (en) * 2003-07-08 2007-06-07 Zhi-Wen Sun Method of direct plating of copper on a substrate structure
US20060283716A1 (en) * 2003-07-08 2006-12-21 Hooman Hafezi Method of direct plating of copper on a ruthenium alloy
US7654221B2 (en) 2003-10-06 2010-02-02 Applied Materials, Inc. Apparatus for electroless deposition of metals onto semiconductor substrates
US7827930B2 (en) 2004-01-26 2010-11-09 Applied Materials, Inc. Apparatus for electroless deposition of metals onto semiconductor substrates
US20050085031A1 (en) * 2003-10-15 2005-04-21 Applied Materials, Inc. Heterogeneous activation layers formed by ionic and electroless reactions used for IC interconnect capping layers
US7972970B2 (en) 2003-10-20 2011-07-05 Novellus Systems, Inc. Fabrication of semiconductor interconnect structure
US8372757B2 (en) 2003-10-20 2013-02-12 Novellus Systems, Inc. Wet etching methods for copper removal and planarization in semiconductor processing
US20050092616A1 (en) * 2003-11-03 2005-05-05 Semitool, Inc. Baths, methods, and tools for superconformal deposition of conductive materials other than copper
US20050092611A1 (en) * 2003-11-03 2005-05-05 Semitool, Inc. Bath and method for high rate copper deposition
US7205233B2 (en) 2003-11-07 2007-04-17 Applied Materials, Inc. Method for forming CoWRe alloys by electroless deposition
US7214297B2 (en) 2004-06-28 2007-05-08 Applied Materials, Inc. Substrate support element for an electrochemical plating cell
US20060045666A1 (en) * 2004-07-09 2006-03-02 Harris Randy A Modular tool unit for processing of microfeature workpieces
DE602005026333D1 (de) 2005-01-25 2011-03-24 Nippon Mining Co Kupferelektrolyselösung mit einer verbindung mit speziellem gerüst als additiv und daraus hergestelltes elektrolytkupferblech
US7438949B2 (en) * 2005-01-27 2008-10-21 Applied Materials, Inc. Ruthenium containing layer deposition method
US20060162658A1 (en) * 2005-01-27 2006-07-27 Applied Materials, Inc. Ruthenium layer deposition apparatus and method
US7651934B2 (en) 2005-03-18 2010-01-26 Applied Materials, Inc. Process for electroless copper deposition
TW200734482A (en) 2005-03-18 2007-09-16 Applied Materials Inc Electroless deposition process on a contact containing silicon or silicide
TW200707640A (en) 2005-03-18 2007-02-16 Applied Materials Inc Contact metallization scheme using a barrier layer over a silicide layer
FR2890983B1 (fr) * 2005-09-20 2007-12-14 Alchimer Sa Composition d'electrodeposition destinee au revetement d'une surface d'un substrat par un metal.
FR2890984B1 (fr) * 2005-09-20 2009-03-27 Alchimer Sa Procede d'electrodeposition destine au revetement d'une surface d'un substrat par un metal.
WO2007035880A2 (en) * 2005-09-21 2007-03-29 Applied Materials, Inc. Method and apparatus for forming device features in an integrated electroless deposition system
US7456102B1 (en) 2005-10-11 2008-11-25 Novellus Systems, Inc. Electroless copper fill process
US7605082B1 (en) 2005-10-13 2009-10-20 Novellus Systems, Inc. Capping before barrier-removal IC fabrication method
US9127362B2 (en) 2005-10-31 2015-09-08 Applied Materials, Inc. Process kit and target for substrate processing chamber
US8790499B2 (en) 2005-11-25 2014-07-29 Applied Materials, Inc. Process kit components for titanium sputtering chamber
US7655126B2 (en) 2006-03-27 2010-02-02 Federal Mogul World Wide, Inc. Fabrication of topical stopper on MLS gasket by active matrix electrochemical deposition
EP1845554A3 (en) * 2006-04-10 2011-07-13 Imec A method to create super secondary grain growth in narrow trenches
US9822461B2 (en) 2006-08-16 2017-11-21 Novellus Systems, Inc. Dynamic current distribution control apparatus and method for wafer electroplating
US7799684B1 (en) 2007-03-05 2010-09-21 Novellus Systems, Inc. Two step process for uniform across wafer deposition and void free filling on ruthenium coated wafers
US20080264774A1 (en) * 2007-04-25 2008-10-30 Semitool, Inc. Method for electrochemically depositing metal onto a microelectronic workpiece
US8968536B2 (en) 2007-06-18 2015-03-03 Applied Materials, Inc. Sputtering target having increased life and sputtering uniformity
JP5358145B2 (ja) * 2007-09-28 2013-12-04 富士フイルム株式会社 導電性材料の製造方法及び導電性材料の製造装置
US7901552B2 (en) 2007-10-05 2011-03-08 Applied Materials, Inc. Sputtering target with grooves and intersecting channels
US7830592B1 (en) * 2007-11-30 2010-11-09 Sipix Imaging, Inc. Display devices having micro-reflectors
US8237892B1 (en) 2007-11-30 2012-08-07 Sipix Imaging, Inc. Display device with a brightness enhancement structure
US8118988B2 (en) * 2008-01-31 2012-02-21 Eci Technology, Inc. Analysis of copper ion and complexing agent in copper plating baths
US7964506B1 (en) * 2008-03-06 2011-06-21 Novellus Systems, Inc. Two step copper electroplating process with anneal for uniform across wafer deposition and void free filling on ruthenium coated wafers
US8513124B1 (en) 2008-03-06 2013-08-20 Novellus Systems, Inc. Copper electroplating process for uniform across wafer deposition and void free filling on semi-noble metal coated wafers
US8703615B1 (en) 2008-03-06 2014-04-22 Novellus Systems, Inc. Copper electroplating process for uniform across wafer deposition and void free filling on ruthenium coated wafers
WO2009114361A1 (en) 2008-03-11 2009-09-17 Sipix Imaging, Inc. Luminance enhancement structure for reflective display devices
US8437069B2 (en) * 2008-03-11 2013-05-07 Sipix Imaging, Inc. Luminance enhancement structure for reflective display devices
US8107254B2 (en) * 2008-11-20 2012-01-31 International Business Machines Corporation Integrating capacitors into vias of printed circuit boards
US8441414B2 (en) * 2008-12-05 2013-05-14 Sipix Imaging, Inc. Luminance enhancement structure with Moiré reducing design
US9025234B2 (en) * 2009-01-22 2015-05-05 E Ink California, Llc Luminance enhancement structure with varying pitches
US20120028073A1 (en) 2009-02-12 2012-02-02 Technion Research & Development Foundation Ltd. Process for electroplating of copper
US8120836B2 (en) * 2009-03-09 2012-02-21 Sipix Imaging, Inc. Luminance enhancement structure for reflective display devices
US8714780B2 (en) * 2009-04-22 2014-05-06 Sipix Imaging, Inc. Display devices with grooved luminance enhancement film
JP5388191B2 (ja) * 2009-05-26 2014-01-15 Jx日鉱日石金属株式会社 貫通シリコンビアを有するめっき物及びその形成方法
US8797633B1 (en) 2009-07-23 2014-08-05 Sipix Imaging, Inc. Display device assembly and manufacture thereof
US8456589B1 (en) 2009-07-27 2013-06-04 Sipix Imaging, Inc. Display device assembly
WO2011028667A2 (en) 2009-09-02 2011-03-10 Novellus Systems, Inc. Reduced isotropic etchant material consumption and waste generation
US8242384B2 (en) 2009-09-30 2012-08-14 International Business Machines Corporation Through hole-vias in multi-layer printed circuit boards
JP2011097038A (ja) * 2009-10-02 2011-05-12 Ibiden Co Ltd セラミック配線基板およびその製造方法
US8432027B2 (en) * 2009-11-11 2013-04-30 International Business Machines Corporation Integrated circuit die stacks with rotationally symmetric vias
US8258619B2 (en) 2009-11-12 2012-09-04 International Business Machines Corporation Integrated circuit die stacks with translationally compatible vias
US8315068B2 (en) * 2009-11-12 2012-11-20 International Business Machines Corporation Integrated circuit die stacks having initially identical dies personalized with fuses and methods of manufacturing the same
US8310841B2 (en) 2009-11-12 2012-11-13 International Business Machines Corporation Integrated circuit die stacks having initially identical dies personalized with switches and methods of making the same
US9646947B2 (en) * 2009-12-22 2017-05-09 Lenovo Enterprise Solutions (Singapore) Pte. Ltd. Integrated circuit with inductive bond wires
US8168540B1 (en) * 2009-12-29 2012-05-01 Novellus Systems, Inc. Methods and apparatus for depositing copper on tungsten
US20110192462A1 (en) * 2010-01-03 2011-08-11 Alchimer, S.A. Solar cells
US20110162701A1 (en) * 2010-01-03 2011-07-07 Claudio Truzzi Photovoltaic Cells
JP5750626B2 (ja) * 2010-03-19 2015-07-22 石原ケミカル株式会社 電気銅メッキ方法
US9714474B2 (en) * 2010-04-06 2017-07-25 Tel Nexx, Inc. Seed layer deposition in microscale features
TWI550139B (zh) 2011-04-04 2016-09-21 諾菲勒斯系統公司 用於裁整均勻輪廓之電鍍裝置
US9017528B2 (en) 2011-04-14 2015-04-28 Tel Nexx, Inc. Electro chemical deposition and replenishment apparatus
US9005409B2 (en) 2011-04-14 2015-04-14 Tel Nexx, Inc. Electro chemical deposition and replenishment apparatus
US8575028B2 (en) 2011-04-15 2013-11-05 Novellus Systems, Inc. Method and apparatus for filling interconnect structures
US8496790B2 (en) * 2011-05-18 2013-07-30 Applied Materials, Inc. Electrochemical processor
CN102251269B (zh) * 2011-08-19 2013-07-03 银都餐饮设备股份有限公司 电化学表面处理设备
JP6021441B2 (ja) 2012-05-25 2016-11-09 ラピスセミコンダクタ株式会社 半導体装置
US9909228B2 (en) 2012-11-27 2018-03-06 Lam Research Corporation Method and apparatus for dynamic current distribution control during electroplating
US9670588B2 (en) 2013-05-01 2017-06-06 Lam Research Corporation Anisotropic high resistance ionic current source (AHRICS)
TWI653367B (zh) * 2013-06-11 2019-03-11 美商應用材料股份有限公司 具有高薄片電阻之工件上的電化學沉積
US9303329B2 (en) 2013-11-11 2016-04-05 Tel Nexx, Inc. Electrochemical deposition apparatus with remote catholyte fluid management
US20150197870A1 (en) * 2014-01-15 2015-07-16 The Board Of Trustees Of The Leland Stanford Junior University Method for Plating Fine Grain Copper Deposit on Metal Substrate
KR102041646B1 (ko) * 2014-05-13 2019-11-07 삼성전기주식회사 전극 구조체
US9752248B2 (en) 2014-12-19 2017-09-05 Lam Research Corporation Methods and apparatuses for dynamically tunable wafer-edge electroplating
US9816194B2 (en) 2015-03-19 2017-11-14 Lam Research Corporation Control of electrolyte flow dynamics for uniform electroplating
DE102016113641A1 (de) * 2016-07-25 2018-01-25 Christian-Albrechts-Universität Zu Kiel Aluminium-Kupfer-Konnektor aufweisend eine Heterostruktur und Verfahren zur Herstellung der Heterostruktur
JP6272431B2 (ja) * 2016-10-04 2018-01-31 ラピスセミコンダクタ株式会社 半導体装置およびその製造方法
US10930511B2 (en) 2018-03-30 2021-02-23 Lam Research Corporation Copper electrodeposition sequence for the filling of cobalt lined features
JP6926294B2 (ja) * 2018-11-29 2021-08-25 ラピスセミコンダクタ株式会社 半導体装置の製造方法

Family Cites Families (168)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US1526644A (en) * 1922-10-25 1925-02-17 Williams Brothers Mfg Company Process of electroplating and apparatus therefor
US2411674A (en) * 1943-03-04 1946-11-26 Little Inc A Art of electrodeposition of copper
NL170871B (nl) * 1952-07-05 Nippon Electric Co Werkwijze voor het verwijderen van zware en/of giftige metalen uit afvalgas.
NL291575A (zh) 1962-04-16
US3309263A (en) * 1964-12-03 1967-03-14 Kimberly Clark Co Web pickup and transfer for a papermaking machine
US3328273A (en) 1966-08-15 1967-06-27 Udylite Corp Electro-deposition of copper from acidic baths
US3495940A (en) * 1967-09-28 1970-02-17 Celanese Corp Production of high temperature resistant continuous filaments
US3664933A (en) * 1969-06-19 1972-05-23 Udylite Corp Process for acid copper plating of zinc
US3684666A (en) * 1970-03-19 1972-08-15 Pfizer & Co C Copper electroplating in a citric acid bath
US3716462A (en) * 1970-10-05 1973-02-13 D Jensen Copper plating on zinc and its alloys
US3930963A (en) * 1971-07-29 1976-01-06 Photocircuits Division Of Kollmorgen Corporation Method for the production of radiant energy imaged printed circuit boards
BE791401A (fr) * 1971-11-15 1973-05-14 Monsanto Co Compositions et procedes electrochimiques
US3770598A (en) 1972-01-21 1973-11-06 Oxy Metal Finishing Corp Electrodeposition of copper from acid baths
US3798003A (en) * 1972-02-14 1974-03-19 E Ensley Differential microcalorimeter
DE2244434C3 (de) * 1972-09-06 1982-02-25 Schering Ag, 1000 Berlin Und 4619 Bergkamen Wäßriges Bad zur galvanischen Abscheidung von Gold und Goldlegierungen
US4022679A (en) * 1973-05-10 1977-05-10 C. Conradty Coated titanium anode for amalgam heavy duty cells
US4001094A (en) * 1974-09-19 1977-01-04 Jumer John F Method for incremental electro-processing of large areas
US4072557A (en) * 1974-12-23 1978-02-07 J. M. Voith Gmbh Method and apparatus for shrinking a travelling web of fibrous material
US4000046A (en) 1974-12-23 1976-12-28 P. R. Mallory & Co., Inc. Method of electroplating a conductive layer over an electrolytic capacitor
JPS5216433A (en) 1975-07-30 1977-02-07 Furukawa Electric Co Ltd Method of producing very thin copper foil
US4137867A (en) * 1977-09-12 1979-02-06 Seiichiro Aigo Apparatus for bump-plating semiconductor wafers
US4134802A (en) 1977-10-03 1979-01-16 Oxy Metal Industries Corporation Electrolyte and method for electrodepositing bright metal deposits
US4279948A (en) 1978-05-25 1981-07-21 Macdermid Incorporated Electroless copper deposition solution using a hypophosphite reducing agent
US4246088A (en) * 1979-01-24 1981-01-20 Metal Box Limited Method and apparatus for electrolytic treatment of containers
SU921124A1 (ru) 1979-06-19 1982-04-15 Институт Физико-Химических Основ Переработки Минерального Сырья Со Ан Ссср Способ металлизации отверстий печатных плат
US4272335A (en) 1980-02-19 1981-06-09 Oxy Metal Industries Corporation Composition and method for electrodeposition of copper
US4259166A (en) * 1980-03-31 1981-03-31 Rca Corporation Shield for plating substrate
US4437943A (en) * 1980-07-09 1984-03-20 Olin Corporation Method and apparatus for bonding metal wire to a base metal substrate
EP0047132B1 (en) * 1980-09-02 1985-07-03 Heraeus Quarzschmelze Gmbh Method of and apparatus for transferring semiconductor wafers between carrier members
US4323433A (en) * 1980-09-22 1982-04-06 The Boeing Company Anodizing process employing adjustable shield for suspended cathode
US4443117A (en) * 1980-09-26 1984-04-17 Terumo Corporation Measuring apparatus, method of manufacture thereof, and method of writing data into same
JPS57198315U (zh) * 1981-06-12 1982-12-16
JPS584382A (ja) * 1981-06-26 1983-01-11 ファナック株式会社 工業用ロボツトの制御方式
US4378283A (en) * 1981-07-30 1983-03-29 National Semiconductor Corporation Consumable-anode selective plating apparatus
US4384930A (en) * 1981-08-21 1983-05-24 Mcgean-Rohco, Inc. Electroplating baths, additives therefor and methods for the electrodeposition of metals
JPS58149189A (ja) * 1982-03-01 1983-09-05 セイコーインスツルメンツ株式会社 工業用ロボツトの旋回昇降機構
US4440597A (en) * 1982-03-15 1984-04-03 The Procter & Gamble Company Wet-microcontracted paper and concomitant process
US4449885A (en) * 1982-05-24 1984-05-22 Varian Associates, Inc. Wafer transfer system
US4451197A (en) * 1982-07-26 1984-05-29 Advanced Semiconductor Materials Die Bonding, Inc. Object detection apparatus and method
US4585539A (en) * 1982-08-17 1986-04-29 Technic, Inc. Electrolytic reactor
US4500394A (en) * 1984-05-16 1985-02-19 At&T Technologies, Inc. Contacting a surface for plating thereon
US4673469A (en) * 1984-06-08 1987-06-16 Mcgean-Rohco, Inc. Method of plating plastics
US4634503A (en) * 1984-06-27 1987-01-06 Daniel Nogavich Immersion electroplating system
US4639028A (en) * 1984-11-13 1987-01-27 Economic Development Corporation High temperature and acid resistant wafer pick up device
DE3500005A1 (de) * 1985-01-02 1986-07-10 ESB Elektrostatische Sprüh- und Beschichtungsanlagen G.F. Vöhringer GmbH, 7758 Meersburg Beschichtungskabine zum ueberziehen der oberflaeche von werkstuecken mit beschichtungspulver
US4576685A (en) * 1985-04-23 1986-03-18 Schering Ag Process and apparatus for plating onto articles
US4648944A (en) * 1985-07-18 1987-03-10 Martin Marietta Corporation Apparatus and method for controlling plating induced stress in electroforming and electroplating processes
US4624749A (en) 1985-09-03 1986-11-25 Harris Corporation Electrodeposition of submicrometer metallic interconnect for integrated circuits
FR2587915B1 (fr) * 1985-09-27 1987-11-27 Omya Sa Dispositif pour la mise en contact de fluides se presentant sous la forme de phases differentes
JPH088723B2 (ja) * 1985-11-02 1996-01-29 日立機電工業株式会社 リニアモ−タを用いた搬送装置
ATE92544T1 (de) * 1985-12-24 1993-08-15 Gould Inc Verfahren und vorrichtung zur elektroplattierung eines kupferblattes.
JP2624703B2 (ja) * 1987-09-24 1997-06-25 株式会社東芝 バンプの形成方法及びその装置
DE3735449A1 (de) * 1987-10-20 1989-05-03 Convac Gmbh Fertigungssystem fuer halbleitersubstrate
US4902398A (en) * 1988-04-27 1990-02-20 American Thim Film Laboratories, Inc. Computer program for vacuum coating systems
US5235995A (en) * 1989-03-27 1993-08-17 Semitool, Inc. Semiconductor processor apparatus with dynamic wafer vapor treatment and particulate volatilization
US4988533A (en) * 1988-05-27 1991-01-29 Texas Instruments Incorporated Method for deposition of silicon oxide on a wafer
DE3818757A1 (de) * 1988-05-31 1989-12-07 Mannesmann Ag Portal eines industrieroboters
US4959278A (en) * 1988-06-16 1990-09-25 Nippon Mining Co., Ltd. Tin whisker-free tin or tin alloy plated article and coating technique thereof
US4990224A (en) 1988-12-21 1991-02-05 International Business Machines Corporation Copper plating bath and process for difficult to plate metals
US5021129A (en) 1989-09-25 1991-06-04 International Business Machines Corporation Multilayer structures of different electroactive materials and methods of fabrication thereof
JPH03125453A (ja) * 1989-10-09 1991-05-28 Toshiba Corp 半導体ウエハ移送装置
US5000827A (en) * 1990-01-02 1991-03-19 Motorola, Inc. Method and apparatus for adjusting plating solution flow characteristics at substrate cathode periphery to minimize edge effect
DE69102553T2 (de) * 1990-02-09 1994-10-20 Nihon Parkerizing Verfahren zur Oberflächebehandlung von Titanium enthaltenden Metallgegenständen.
JPH04120290A (ja) * 1990-02-26 1992-04-21 Ishihara Chem Co Ltd 電気銅めっき液
US5186594A (en) * 1990-04-19 1993-02-16 Applied Materials, Inc. Dual cassette load lock
US5370741A (en) * 1990-05-15 1994-12-06 Semitool, Inc. Dynamic semiconductor wafer processing using homogeneous chemical vapors
KR0153250B1 (ko) * 1990-06-28 1998-12-01 카자마 겐쥬 종형 열처리 장치
US5368711A (en) * 1990-08-01 1994-11-29 Poris; Jaime Selective metal electrodeposition process and apparatus
JP2524436B2 (ja) * 1990-09-18 1996-08-14 インターナショナル・ビジネス・マシーンズ・コーポレイション 表面処理方法
US5115430A (en) 1990-09-24 1992-05-19 At&T Bell Laboratories Fair access of multi-priority traffic to distributed-queue dual-bus networks
US5151168A (en) * 1990-09-24 1992-09-29 Micron Technology, Inc. Process for metallizing integrated circuits with electrolytically-deposited copper
US5078852A (en) * 1990-10-12 1992-01-07 Microelectronics And Computer Technology Corporation Plating rack
US5096550A (en) * 1990-10-15 1992-03-17 The United States Of America As Represented By The United States Department Of Energy Method and apparatus for spatially uniform electropolishing and electrolytic etching
JP2768390B2 (ja) 1990-12-11 1998-06-25 インターナショナル・ビジネス・マシーンズ・コーポレイション 無電解金属付着のために基体をコンディショニングする方法
EP0502475B1 (en) * 1991-03-04 1997-06-25 Toda Kogyo Corporation Method of plating a bonded magnet and a bonded magnet carrying a metal coating
US5306895A (en) * 1991-03-26 1994-04-26 Ngk Insulators, Ltd. Corrosion-resistant member for chemical apparatus using halogen series corrosive gas
US5178512A (en) * 1991-04-01 1993-01-12 Equipe Technologies Precision robot apparatus
JPH0544075A (ja) * 1991-08-15 1993-02-23 Nippon Riironaale Kk 無電解銅めつき代替銅ストライクめつき方法
US5209817A (en) * 1991-08-22 1993-05-11 International Business Machines Corporation Selective plating method for forming integral via and wiring layers
US5399564A (en) * 1991-09-03 1995-03-21 Dowelanco N-(4-pyridyl or 4-quinolinyl) arylacetamide and 4-(aralkoxy or aralkylamino) pyridine pesticides
JP3057186B2 (ja) 1991-11-22 2000-06-26 横河電機株式会社 波形解析装置
US5252196A (en) * 1991-12-05 1993-10-12 Shipley Company Inc. Copper electroplating solutions and processes
US5301700A (en) * 1992-03-05 1994-04-12 Tokyo Electron Limited Washing system
US5501768A (en) * 1992-04-17 1996-03-26 Kimberly-Clark Corporation Method of treating papermaking fibers for making tissue
DE69205573T2 (de) * 1992-08-04 1996-06-13 Ibm Fertigungsstrasse Architektur mit vollautomatisierten und rechnergesteuerten Fördereinrichtungen geeignet für abdichtbaren tragbaren unter Druck stehenden Behältern.
US5372848A (en) * 1992-12-24 1994-12-13 International Business Machines Corporation Process for creating organic polymeric substrate with copper
US5302278A (en) * 1993-02-19 1994-04-12 Learonal, Inc. Cyanide-free plating solutions for monovalent metals
US5284548A (en) 1993-03-03 1994-02-08 Microelectronics And Computer Technology Corporation Process for producing electrical circuits with precision surface features
US5719447A (en) 1993-06-03 1998-02-17 Intel Corporation Metal alloy interconnections for integrated circuits
JPH06349952A (ja) * 1993-06-14 1994-12-22 Oki Electric Ind Co Ltd 配線形成方法
US5489341A (en) * 1993-08-23 1996-02-06 Semitool, Inc. Semiconductor processing with non-jetting fluid stream discharge array
US5472502A (en) 1993-08-30 1995-12-05 Semiconductor Systems, Inc. Apparatus and method for spin coating wafers and the like
US5391517A (en) * 1993-09-13 1995-02-21 Motorola Inc. Process for forming copper interconnect structure
US5409587A (en) 1993-09-16 1995-04-25 Micron Technology, Inc. Sputtering with collinator cleaning within the sputtering chamber
EP0653512B1 (en) * 1993-11-16 1998-02-25 Scapa Group Plc Papermachine clothing
JPH07193214A (ja) * 1993-12-27 1995-07-28 Mitsubishi Electric Corp バイアホール及びその形成方法
US5391285A (en) * 1994-02-25 1995-02-21 Motorola, Inc. Adjustable plating cell for uniform bump plating of semiconductor wafers
DE9404771U1 (de) * 1994-03-21 1994-06-30 Thyssen Aufzuege Gmbh Verriegelungsvorrichtung
JPH07283077A (ja) * 1994-04-11 1995-10-27 Ngk Spark Plug Co Ltd 薄膜コンデンサ
US5405518A (en) * 1994-04-26 1995-04-11 Industrial Technology Research Institute Workpiece holder apparatus
JP3621151B2 (ja) * 1994-06-02 2005-02-16 株式会社半導体エネルギー研究所 半導体装置の作製方法
US5472592A (en) * 1994-07-19 1995-12-05 American Plating Systems Electrolytic plating apparatus and method
US5512319A (en) * 1994-08-22 1996-04-30 Basf Corporation Polyurethane foam composite
JP3143770B2 (ja) * 1994-10-07 2001-03-07 東京エレクトロン株式会社 基板搬送装置
US5625233A (en) * 1995-01-13 1997-04-29 Ibm Corporation Thin film multi-layer oxygen diffusion barrier consisting of refractory metal, refractory metal aluminide, and aluminum oxide
US5593545A (en) * 1995-02-06 1997-01-14 Kimberly-Clark Corporation Method for making uncreped throughdried tissue products without an open draw
US5482891A (en) 1995-03-17 1996-01-09 Motorola, Inc. VCSEL with an intergrated heat sink and method of making
US5549808A (en) * 1995-05-12 1996-08-27 International Business Machines Corporation Method for forming capped copper electrical interconnects
US5741435A (en) * 1995-08-08 1998-04-21 Nano Systems, Inc. Magnetic memory having shape anisotropic magnetic elements
TW340139B (en) * 1995-09-16 1998-09-11 Moon Sung-Soo Process for plating palladium or palladium alloy onto iron-nickel alloy substrate
US5807469A (en) * 1995-09-27 1998-09-15 Intel Corporation Flexible continuous cathode contact circuit for electrolytic plating of C4, tab microbumps, and ultra large scale interconnects
KR0182006B1 (ko) * 1995-11-10 1999-04-15 김광호 반도체 패키지 장치 및 몰딩물질에 의해 발생하는 기생용량의 산출방법
US5597460A (en) * 1995-11-13 1997-01-28 Reynolds Tech Fabricators, Inc. Plating cell having laminar flow sparger
US5620581A (en) * 1995-11-29 1997-04-15 Aiwa Research And Development, Inc. Apparatus for electroplating metal films including a cathode ring, insulator ring and thief ring
JPH09157846A (ja) * 1995-12-01 1997-06-17 Teisan Kk 温度調節装置
US6065424A (en) 1995-12-19 2000-05-23 Cornell Research Foundation, Inc. Electroless deposition of metal films with spray processor
US6709562B1 (en) * 1995-12-29 2004-03-23 International Business Machines Corporation Method of making electroplated interconnection structures on integrated circuit chips
US5824599A (en) 1996-01-16 1998-10-20 Cornell Research Foundation, Inc. Protected encapsulation of catalytic layer for electroless copper interconnect
US5891513A (en) 1996-01-16 1999-04-06 Cornell Research Foundation Electroless CU deposition on a barrier layer by CU contact displacement for ULSI applications
US5674787A (en) 1996-01-16 1997-10-07 Sematech, Inc. Selective electroless copper deposited interconnect plugs for ULSI applications
US5683568A (en) * 1996-03-29 1997-11-04 University Of Tulsa Electroplating bath for nickel-iron alloys and method
US5871805A (en) * 1996-04-08 1999-02-16 Lemelson; Jerome Computer controlled vapor deposition processes
US5576052A (en) 1996-04-22 1996-11-19 Motorola, Inc. Method of metallizing high aspect ratio apertures
US6051284A (en) * 1996-05-08 2000-04-18 Applied Materials, Inc. Chamber monitoring and adjustment by plasma RF metrology
US5730854A (en) 1996-05-30 1998-03-24 Enthone-Omi, Inc. Alkoxylated dimercaptans as copper additives and de-polarizing additives
US5731678A (en) * 1996-07-15 1998-03-24 Semitool, Inc. Processing head for semiconductor processing machines
US6672820B1 (en) * 1996-07-15 2004-01-06 Semitool, Inc. Semiconductor processing apparatus having linear conveyer system
US6168695B1 (en) * 1999-07-12 2001-01-02 Daniel J. Woodruff Lift and rotate assembly for use in a workpiece processing station and a method of attaching the same
US5723387A (en) 1996-07-22 1998-03-03 Industrial Technology Research Institute Method and apparatus for forming very small scale Cu interconnect metallurgy on semiconductor substrates
US5695810A (en) 1996-11-20 1997-12-09 Cornell Research Foundation, Inc. Use of cobalt tungsten phosphide as a barrier material for copper metallization
EP0849983B1 (en) * 1996-12-20 2001-10-24 Alcatel Process to create metallic stand-offs on a circuit board
US5913147A (en) 1997-01-21 1999-06-15 Advanced Micro Devices, Inc. Method for fabricating copper-aluminum metallization
AUPO473297A0 (en) * 1997-01-22 1997-02-20 Industrial Automation Services Pty Ltd Coating thickness control
DE69703798T2 (de) * 1997-02-03 2001-08-02 Okuno Chem Ind Co Verfahren zum elektrobeschichten nichtleitender materialien
US6174425B1 (en) * 1997-05-14 2001-01-16 Motorola, Inc. Process for depositing a layer of material over a substrate
DE19821781C2 (de) * 1997-05-15 2002-07-18 Toyoda Gosei Kk Beschichtungsverfahren und Beschichtungsgerät zur Herstellung dreidimensionaler Metallgegenstände
US5969422A (en) 1997-05-15 1999-10-19 Advanced Micro Devices, Inc. Plated copper interconnect structure
US6069068A (en) 1997-05-30 2000-05-30 International Business Machines Corporation Sub-quarter-micron copper interconnections with improved electromigration resistance and reduced defect sensitivity
US6565731B1 (en) * 1997-06-03 2003-05-20 Shipley Company, L.L.C. Electroplating process
US5972192A (en) 1997-07-23 1999-10-26 Advanced Micro Devices, Inc. Pulse electroplating copper or copper alloys
US6053687A (en) * 1997-09-05 2000-04-25 Applied Materials, Inc. Cost effective modular-linear wafer processing
US5882498A (en) 1997-10-16 1999-03-16 Advanced Micro Devices, Inc. Method for reducing oxidation of electroplating chamber contacts and improving uniform electroplating of a substrate
US5897368A (en) 1997-11-10 1999-04-27 General Electric Company Method of fabricating metallized vias with steep walls
US6159354A (en) * 1997-11-13 2000-12-12 Novellus Systems, Inc. Electric potential shaping method for electroplating
US6179983B1 (en) * 1997-11-13 2001-01-30 Novellus Systems, Inc. Method and apparatus for treating surface including virtual anode
US6027631A (en) * 1997-11-13 2000-02-22 Novellus Systems, Inc. Electroplating system with shields for varying thickness profile of deposited layer
JP3501937B2 (ja) * 1998-01-30 2004-03-02 富士通株式会社 半導体装置の製造方法
US5932077A (en) 1998-02-09 1999-08-03 Reynolds Tech Fabricators, Inc. Plating cell with horizontal product load mechanism
WO1999041434A2 (en) * 1998-02-12 1999-08-19 Acm Research, Inc. Plating apparatus and method
US6197688B1 (en) 1998-02-12 2001-03-06 Motorola Inc. Interconnect structure in a semiconductor device and method of formation
TWI223678B (en) 1998-03-20 2004-11-11 Semitool Inc Process for applying a metal structure to a workpiece, the treated workpiece and a solution for electroplating copper
US6565729B2 (en) * 1998-03-20 2003-05-20 Semitool, Inc. Method for electrochemically depositing metal on a semiconductor workpiece
US6197181B1 (en) 1998-03-20 2001-03-06 Semitool, Inc. Apparatus and method for electrolytically depositing a metal on a microelectronic workpiece
US6113771A (en) * 1998-04-21 2000-09-05 Applied Materials, Inc. Electro deposition chemistry
US6497801B1 (en) * 1998-07-10 2002-12-24 Semitool Inc Electroplating apparatus with segmented anode array
EP1099012A4 (en) 1998-07-10 2006-11-15 Semitool Inc METHOD AND APPARATUS FOR COPPER TEMPERATURE USING CURRENT COATING AND ELECTRIC COATING
US6303010B1 (en) * 1999-07-12 2001-10-16 Semitool, Inc. Methods and apparatus for processing the surface of a microelectronic workpiece
US6017820A (en) * 1998-07-17 2000-01-25 Cutek Research, Inc. Integrated vacuum and plating cluster system
DE19840109A1 (de) * 1998-09-03 2000-03-09 Agfa Gevaert Ag Farbfotografisches Silberhalogenidmaterial
US6319831B1 (en) 1999-03-18 2001-11-20 Taiwan Semiconductor Manufacturing Company Gap filling by two-step plating
US20030038035A1 (en) * 2001-05-30 2003-02-27 Wilson Gregory J. Methods and systems for controlling current in electrochemical processing of microelectronic workpieces
EP1192298A4 (en) * 1999-04-13 2006-08-23 Semitool Inc APPENDIX FOR THE ELECTROCHEMICAL TREATMENT OF A WORKPIECE
US7020537B2 (en) * 1999-04-13 2006-03-28 Semitool, Inc. Tuning electrodes used in a reactor for electrochemically processing a microelectronic workpiece
US6277607B1 (en) * 1999-05-24 2001-08-21 Sanjay Tyagi High specificity primers, amplification methods and kits
EP1111096A3 (en) * 1999-12-15 2004-02-11 Shipley Company LLC Seed layer repair method
WO2003018874A2 (en) * 2001-08-31 2003-03-06 Semitool, Inc. Apparatus and methods for electrochemical processing of microelectronic workpieces
US6678055B2 (en) * 2001-11-26 2004-01-13 Tevet Process Control Technologies Ltd. Method and apparatus for measuring stress in semiconductor wafers
WO2003060959A2 (en) * 2002-01-10 2003-07-24 Semitool, Inc. Method for applying metal features onto barrier layers using electrochemical deposition

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101512048B (zh) * 2006-02-21 2011-12-28 埃其玛公司 在半导体器件制造中用于直接镀铜和填充而形成互连的方法和组合物
CN104313657A (zh) * 2014-11-10 2015-01-28 临安振有电子有限公司 Hdi印制线路板通孔的电沉积装置
CN105696034A (zh) * 2014-12-12 2016-06-22 英飞凌科技股份有限公司 电解质、形成铜层的方法以及形成芯片的方法
US10648096B2 (en) 2014-12-12 2020-05-12 Infineon Technologies Ag Electrolyte, method of forming a copper layer and method of forming a chip
CN111315146A (zh) * 2020-02-27 2020-06-19 清华大学 柔性复合电路的制造方法

Also Published As

Publication number Publication date
JP4642229B2 (ja) 2011-03-02
WO1999047731A1 (en) 1999-09-23
US20010042689A1 (en) 2001-11-22
TW593731B (en) 2004-06-21
EP1064417A4 (en) 2006-07-05
US6290833B1 (en) 2001-09-18
US20050150770A1 (en) 2005-07-14
US6811675B2 (en) 2004-11-02
US20050139478A1 (en) 2005-06-30
US6277263B1 (en) 2001-08-21
EP1064417A1 (en) 2001-01-03
US20050173252A1 (en) 2005-08-11
TWI223678B (en) 2004-11-11
CN1246504C (zh) 2006-03-22
JP2002506927A (ja) 2002-03-05
KR20010034468A (ko) 2001-04-25
KR100420157B1 (ko) 2004-03-02

Similar Documents

Publication Publication Date Title
CN1246504C (zh) 在工件上电镀金属的装置和方法
US6197181B1 (en) Apparatus and method for electrolytically depositing a metal on a microelectronic workpiece
US7135404B2 (en) Method for applying metal features onto barrier layers using electrochemical deposition
CN1173612C (zh) 印刷电路用铜箔及其制造方法
US6627052B2 (en) Electroplating apparatus with vertical electrical contact
JP2007508461A (ja) 電気鍍金組成物及び電気鍍金方法
US6858121B2 (en) Method and apparatus for filling low aspect ratio cavities with conductive material at high rate
CN1076154C (zh) 铜箔及采用该铜箔制作内层电路的高密度多层印刷电路板
CN1250545A (zh) 半导体装置的布线形成方法及半导体装置
WO2003085713A1 (en) Homogeneous copper-tin alloy plating for enhancement of electro-migration resistance in interconnects
CN1537971A (zh) 电镀预处理溶液和电镀预处理方法
OYAMADA et al. Via-filling by Copper Electroplating using Stepwise Current Control
CN1714438A (zh) 电涂布金属,特别是铜的方法、该方法的使用及集成电路

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
ASS Succession or assignment of patent right

Owner name: APPLIED MATERIALS INC.

Free format text: FORMER OWNER: SEMITOOL, INC.

Effective date: 20120518

C41 Transfer of patent application or patent right or utility model
TR01 Transfer of patent right

Effective date of registration: 20120518

Address after: American California

Patentee after: Applied Materials Inc.

Address before: Montana

Patentee before: Semitool, INC.

C17 Cessation of patent right
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20060322

Termination date: 20140322