CN1309073C - 高密度芯片载体及其构成方法 - Google Patents

高密度芯片载体及其构成方法 Download PDF

Info

Publication number
CN1309073C
CN1309073C CNB2003101169729A CN200310116972A CN1309073C CN 1309073 C CN1309073 C CN 1309073C CN B2003101169729 A CNB2003101169729 A CN B2003101169729A CN 200310116972 A CN200310116972 A CN 200310116972A CN 1309073 C CN1309073 C CN 1309073C
Authority
CN
China
Prior art keywords
semiconductor element
carrier
interface
substrate layer
interconnect
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Lifetime
Application number
CNB2003101169729A
Other languages
English (en)
Other versions
CN1507046A (zh
Inventor
M·P·胡齐克
R·H·德纳尔德
R·迪瓦卡茹尼
B·K·弗曼
R·詹米
C·纳拉扬
S·普鲁肖特哈曼
J·F·小谢泼德
A·W·托波尔
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Core Usa Second LLC
GlobalFoundries Inc
Original Assignee
International Business Machines Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by International Business Machines Corp filed Critical International Business Machines Corp
Publication of CN1507046A publication Critical patent/CN1507046A/zh
Application granted granted Critical
Publication of CN1309073C publication Critical patent/CN1309073C/zh
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05KPRINTED CIRCUITS; CASINGS OR CONSTRUCTIONAL DETAILS OF ELECTRIC APPARATUS; MANUFACTURE OF ASSEMBLAGES OF ELECTRICAL COMPONENTS
    • H05K1/00Printed circuits
    • H05K1/16Printed circuits incorporating printed electric components, e.g. printed resistor, capacitor, inductor
    • H05K1/162Printed circuits incorporating printed electric components, e.g. printed resistor, capacitor, inductor incorporating printed capacitors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/12Mountings, e.g. non-detachable insulating substrates
    • H01L23/14Mountings, e.g. non-detachable insulating substrates characterised by the material or its electrical properties
    • H01L23/147Semiconductor insulating substrates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/481Internal lead connections, e.g. via connections, feedthrough structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/488Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of soldered or bonded constructions
    • H01L23/498Leads, i.e. metallisations or lead-frames on insulating substrates, e.g. chip carriers
    • H01L23/49827Via connections through the substrates, e.g. pins going through the substrate, coaxial cables
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/50Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor for integrated circuit devices, e.g. power bus, number of leads
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L2224/16Structure, shape, material or disposition of the bump connectors after the connecting process of an individual bump connector
    • H01L2224/161Disposition
    • H01L2224/16151Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive
    • H01L2224/16221Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked
    • H01L2224/16225Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01019Potassium [K]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01055Cesium [Cs]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01077Iridium [Ir]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01078Platinum [Pt]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/15Details of package parts other than the semiconductor or other solid state devices to be connected
    • H01L2924/151Die mounting substrate
    • H01L2924/153Connection portion
    • H01L2924/1531Connection portion the connection portion being formed only on the surface of the substrate opposite to the die mounting surface
    • H01L2924/15311Connection portion the connection portion being formed only on the surface of the substrate opposite to the die mounting surface being a ball array, e.g. BGA
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/15Details of package parts other than the semiconductor or other solid state devices to be connected
    • H01L2924/151Die mounting substrate
    • H01L2924/156Material
    • H01L2924/157Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/30Technical effects
    • H01L2924/301Electrical effects
    • H01L2924/30105Capacitance
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05KPRINTED CIRCUITS; CASINGS OR CONSTRUCTIONAL DETAILS OF ELECTRIC APPARATUS; MANUFACTURE OF ASSEMBLAGES OF ELECTRICAL COMPONENTS
    • H05K1/00Printed circuits
    • H05K1/16Printed circuits incorporating printed electric components, e.g. printed resistor, capacitor, inductor
    • H05K1/167Printed circuits incorporating printed electric components, e.g. printed resistor, capacitor, inductor incorporating printed resistors
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05KPRINTED CIRCUITS; CASINGS OR CONSTRUCTIONAL DETAILS OF ELECTRIC APPARATUS; MANUFACTURE OF ASSEMBLAGES OF ELECTRICAL COMPONENTS
    • H05K2201/00Indexing scheme relating to printed circuits covered by H05K1/00
    • H05K2201/09Shape and layout
    • H05K2201/09209Shape and layout details of conductors
    • H05K2201/09654Shape and layout details of conductors covering at least two types of conductors provided for in H05K2201/09218 - H05K2201/095
    • H05K2201/09809Coaxial layout
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05KPRINTED CIRCUITS; CASINGS OR CONSTRUCTIONAL DETAILS OF ELECTRIC APPARATUS; MANUFACTURE OF ASSEMBLAGES OF ELECTRICAL COMPONENTS
    • H05K3/00Apparatus or processes for manufacturing printed circuits
    • H05K3/46Manufacturing multilayer circuits
    • H05K3/4602Manufacturing multilayer circuits characterized by a special circuit board as base or central core whereon additional circuit layers are built or additional circuit boards are laminated

Abstract

本发明提供一种用于半导体元件的载体,它具有集成在衬底中的无源元件。所述无源元件包括去耦元件,例如:电容器和电阻器。集成一组连接以提供到支持的元件的紧密的电接近。

Description

高密度芯片载体及其构成方法
技术领域
本发明涉及将无源元件集成到用于连接集成电路、元件及其它半导体元件的硅载体结构内。
背景技术
在先进的电子计算系统中,去耦电容器作为电荷储存器以维持总是伴随电路开关同时产生的瞬间电流冲击。它们用在芯片上以及所有平面的封装,包括单芯片和多芯片组件、板和背面。除此之外,在用于集成电路(IC)的供电系统中需要无源元件以减小电路开关同时的噪声或ΔI(德耳塔I)噪声。然而,人们越来越多的考虑在芯片上和芯片外系统中支持高频下足够的去耦电容容量的能力。在芯片的层面上,不断地缩小到更小的器件尺寸和更快的电路速度推动了对更高封装密度的需求,但也需要针对逐渐增加的去耦困境的新的解决方案。
特别是,去耦电容器不仅要有足够的电容量,而且由于电路速度的增加,也必须能够在更短的时钟脉冲周期的时间内访问。在微处理器单元中要求提高电源效率,特别是对于便携式的计算和通讯需求,进一步加剧了该问题。一个提出的解决方案是时钟选通(关断芯片中不使用部分的时钟电路的能力);然而,这显著增加了开关事件的数量,并且由于极大地增加了I噪声带来了新的复杂因素。为了获得所需的与ΔI噪声有关的电压波动的衰减,需要与去耦容性元件同时引入阻尼电阻元件。因此,需要解决这些问题并能将多种容性和阻性元件更有效地集成得更靠近处理器电路的新的解决方案。在一个解决方案中,去耦电容被结合到芯片的衬底内,如共同拥有的美国专利5,811,868中介绍的。目前使用的无源去耦元件通常基于薄或厚膜陶瓷技术、硅上薄膜、或形成到一个大封装内的几个小的分立的表面安装器件。对于电子封装应用,目前使用的分立电容器不具有足够低的寄生电感以用在为不远的将来提出的高频或高速电路中。将无源器件(集成的无源器件[IP])埋置在印刷布线板或组件内的能力,使得以前放置分离无源元件的空间现在可以安装其它的元件。基于薄膜的IP器件显示出更好的高频性能并提供了更容易的元件集成方案。这些元件必须使用与载体材料和制造工艺兼容的技术制造。此外,为了满足进一步高性能的需要,去耦元件必须在与器件芯片的时钟脉冲周期时间相当的时帧内被电访问。
将这些元件形成在处理器芯片上提供了可接受的访问时间,但这会占用芯片的空间,与需要形成在这些高性能芯片上的有源电路冲突。因此,显然需要一种更好的解决方案以提供具有合适性能的去耦电容器和电阻器,并将它们放置在接近芯片时钟周期的时间内能访问芯片上器件电路的位置处。
发明内容
本发明涉及能够将如电容器和电阻器的无源电路元件集成到非常靠近芯片上相关电路元件附近内的设计、制造及所得结构。更具体地,本发明克服了与安装在微电子芯片封装上的分立无源元件的较慢访问时间有关的困难。本发明提供了有源电路元件与无源元件的低电感和低电阻集成。特别是,本发明的一个目的是提供一种用于集成的载体的结构,包括用于高频和高速计算应用的无源元件。本发明的另一目的是将电容器和/或电阻器与硅基芯片载体内的集成的无源元件结合形成完整的高密度互连结构。本发明的再一个目的是依靠如面分布突点的低电感输入/输出装置的优点,实现芯片上电路与载体上无源元件之间的快速电访问。
根据本发明的一个方面,提供了一种用于互连半导体元件的载体,包括:具有到至少一个半导体元件的连接的第一接口;具有到其它封装层的连接的第二接口;在所述第一和第二接口之间的衬底层,具有在其中构成的至少一个过孔,用于连接所述第一和第二接口的所述连接;在所述衬底层中构成的至少一个无源元件;以及与所述第一接口相关的导电元件组,用于将所述至少一个无源元件连接到所述半导体元件和/或所述封装层。
根据本发明的另一个方面,一种构成用于互连半导体元件的高密度芯片载体的方法,包括以下步骤:构造具有到至少一个半导体元件的连接的第一接口;构造具有到封装层的连接的第二接口;在所述第一和第二接口之间构成衬底层,其具有至少一个过孔,以提供用于连接所述第一和第二接口的所述连接的通路;在所述衬底层中形成至少一个无源元件,所述无源元件连接到所述第一接口和所述第二接口,到所述第二接口的所述连接通过所述至少一个过孔。
下面参考这里列出的示例性附图详细介绍这些目的和相关的优选实施例。
附图说明
下面参考附图更详细地介绍本发明的载体基板,其中:
图1为结合了阻性和容性去耦元件的增强的芯片载体的等效电路;
图2为现有技术的硅互连载体结构的示意性表示;
图3a为形成在载体的顶部表面区上的深沟槽电容器形式的去耦电容器阵列的示意性表示;
图3b为形成在载体的顶部表面区上的深沟槽电容器形式的金属绝缘体金属(MIM)的去耦电容器阵列的示意性表示;
图3c为形成在载体的顶部表面区上深沟槽电容器形式的金属绝缘体硅(MIS)的去耦电容器阵列的示意性表示;
图4a为使用一些载体过孔(through via)的金属绝缘体硅(MIS)的基于过孔的去耦电容器;
图4b为使用一些载体过孔的金属绝缘体金属(MIM)的基于过孔的去耦电容器;
图4c为与过孔MIS电容器结合的载体的顶部表面区上深沟槽电容器形式的去耦电容器阵列的示意性表示;
图4d为与过孔MIM电容器结合的载体的顶部表面区上深沟槽电容器形式的去耦电容器阵列的示意性表示OD;
图5a为包括集成的阻性元件的增强的载体结构的示意性表示;
图5b为包括集成的无源元件、电阻器和过孔电容器的增强的载体结构的示意性表示;
图5c为包括集成的无源元件(电阻器和深沟槽电容器)的增强的载体结构的示意性表示;
图5d为包括集成的无源元件(电阻器、深沟槽电容器和过孔电容器)的增强的载体结构的示意性表示;
图6为形成在载体的顶部表面区上的功能元件(光学或电元件)的示意性表示,底部电极通过过孔技术(从载体的底部)形成。
具体实施方式
形成高性能计算系统的一些部分的高速微电子芯片含有大量的晶体管,并且同样需要很大数量的输入/输出(I/O)连接以及高密度互连布线以实现芯片与芯片的通讯。虽然多层陶瓷基板和印刷布线板为低性能到中等性能芯片提供了这种连通性,但是目前已提出了基于硅的载体,它允许很高的芯片与芯片的布线密度(<5μm间距),具有使用微连接输入/输出接触互连不同技术的芯片。微连接接触在共同拥有下列待审专利申请中进行了介绍,它们是1月18日申请的IBM案号为YOR 9-2001-0216-US1,YOR 92001-0217-US1以及YOR92001-0249的申请,这些申请所公开的内容被结合在这里作为参考。本发明的一个目的还使用Si载体作为无源元件的支撑。与封装上的远程的分立容性元件相比,这种解决方案使耦合电容器集成得在电气上更靠近芯片,同时使它们位于芯片外,不占用用于有源电路的芯片空间。
图1中示出了结合阻性和容性耦合元件的增强的芯片载体的等效电路。由两个垂直虚线包围的电路部分表示硅载体100的区域。硅载体的元件包括与结构元件(互连布线、过孔以及I/O焊盘)和本发明方法引入的载体无源元件(容性元件Cc和阻性元件Rc)的几何和物理特性相关的寄生电阻Rp和电感Lp。在图1中,图中最左边的区域表示具有其远处的去耦电容Cr和其固有电感L的封装101。在硅载体区的左边,芯片102由总的非开关电容Cn(例如:芯片上的去耦和SRAM元件)以及开关电容表示。由于要考虑时钟选通和谐振效应(持续许多周期),在给定周期的总的开关电容会改变。因此,CS1,CS2,...CSn表示变化的各种电路元件的开关电容(例如:与激活某些时钟缓冲器及它们相应的门闩线路有关)。
载体具有硅过孔,使得能够连接到能容纳许多这种载体和其它器件并将电源提供给它们的封装的第二级。制造具有完全金属化过孔的这种封装界面基板晶片的方法的例子在2002年11月7日申请的共同拥有的等待审申请(IBM案号YOR920010510US1)中进行了介绍。仅仅是芯片之间互连基板的这种现有技术的硅载体结构的示意性表示显示在图2中。它包括具有过孔210的硅基板200,过孔210由绝缘材料220钝化并填充有导电材料230。这种过孔的制造方法在共同拥有的美国专利6,221,769中进行了介绍。由焊料制成的可控塌陷芯片连接(C4)球240设置在这些过孔的底面以易于连接到下一级封装。设置顶面互连布线250和由如铝或铜的导电材料制成的互连过孔260,并用于提供与借助微接合焊盘270的阵列附着到载体上的集成电路芯片102之间的通讯。由此可以易于实现芯片和载体之间的高密度输入/输出(I/O)以及芯片之间的高密度布线。可以使用常规的处理方法构成载体中的多种结构,常规的处理方法包括例如光刻、反应离子蚀刻、通过旋转涂覆淀积电介质、等离子体增强淀积、通过物理或化学汽相沉积的导电金属淀积、电镀和反应烧结、通过化学机械抛光(CMP)的平面化。
我们创造性的设计的第一实施例通过添加集成的去耦电容器并允许安装在载体上的芯片通过微连接I/O连接访问这些无源元件改进了现有技术的硅载体。深沟槽电容器形式的去耦电容器形成在还没有被过孔结构占据硅载体的顶部表面区上。图3a示出了这种结构的示意性表示。这个实施例包括在图2中示出的所有现有技术的结构,但附加地包括与过孔210相邻形成的深沟槽去耦电容器结构3010。在这些深沟槽3010的制造中使用的现有的处理技术,例如:光刻和反应离子蚀刻允许高达40∶1的纵横比,这意味着沟槽深度为宽度的40倍。在本发明的工艺中使用的沟槽宽度在100nm和1000nm之间,深度与宽度的比例在2和50之间。
因此,使用沟槽电容器是利用小面积基板实现高电容值的独特解决方案。本实施例的另一重要特征是去耦电容器通过微连接I/O焊盘在很短的时间周期内访问安装在载体上的芯片上的电路。由于微连接焊盘的低电感和载体上短互连线实现的快的信号速度,与访问前面介绍的其它类型的片外无源元件的时间相比,访问时间可以更快。两个可能的沟槽电容器更详细地显示在图3b和3c中。
由金属绝缘体金属或MIM表示的第一沟槽电容器示意性地显示在图3b中。具有良好导电性并与硅兼容的金属被淀积衬在沟槽表面和沟槽顶部以形成底部电极3080。目前,可以借助原子层淀积(ALD)和化学汽相沉积(CVD)在该深沟槽结构中淀积如W、Ti、Ta、Co、它们的氮化物和硅化物、Zr、Hf、SiGe等材料。也可以使用其它的导电材料。然而,膜的保形性取决于沟槽的宽度和纵横比。然后,通过本领域中如CVD、ALD、阳极氧化等公知的方法淀积高k介质膜3020,例如:氮化硅、氮氧化硅、氧化钽、氧化钛、氧化铝、氧化锆、氧化铪、钛酸锶钡、钛酸锆钡等。然后,淀积沟槽电容器的顶部导电电极3030。可以使用如W、Ti、Ta、Cu、Ni、Pt、Co、Nb、Mo、V、Zr、Pt、Ir、Re、Rh等金属或它们的组合或它们的合金、或者仅是多晶硅(目前的工艺状态),并且可以通过ALD、CVD、镀覆、物理汽相沉积(PVD)或这些方法的组合来淀积。通过光刻和蚀刻构图到层3080(底部电极)和3030(顶部电极)的接触3090,形成完成的去耦结构并提供到过孔210或互连过孔260的连接,使电容器阵列导线连接到互连结构内。
在第二实施例中,使用了图3C示意性示出的金属绝缘体硅(MIS)表示的第二沟槽电容器。如图3b的MIM中,图3c的MIS沟槽电容器也位于过孔之间空间中的硅载体的顶面上。在MIS方案中,形成沟槽3010之后,进行注入和退火步骤以提供高导电性的沟槽表面和顶部,创造密集掺杂的“阱”区3080’。该阱3080’作为本结构中的底部电极。注入的掺杂剂的掺杂浓度在10-18到10-21atom/cm2的范围内,以获得低电阻率(<0.01ohm-cm)。掺杂区的深度可以在50到500nm的范围内改变。形成底部导电层使用的掺杂剂可以是As、P、B以及它们的组合。
除了必须选择兼容性的金属工艺以接触掺杂的硅之外,其余的工艺流程与MIM工艺流程相同。MIS方案消除了对保形的第一金属层的需要,并简化了工艺,但由于图3c的密集掺杂硅3080’的电阻率高于图3b中使用的金属层3080’,导致稍低的性能结构。根据应用,可以采用其它方法以产生基于掺杂硅的底部电极,即密集掺杂的Si晶片可以用做载体的本体同时作为底部电极。其它选择可以在每个沟槽周围产生密集掺杂的Si区的“表面”(借助注入和退火),如果需要,可以包括连接所有深沟槽的密集掺杂的“带”。这种带可以在硅表面的某一深度下形成。
制备(第一和第二实施例中的)沟槽电容器和硅载体互连结构(即,过孔、互连过孔以及布线等)的顺序取决于处理的方便程度、不同步骤需要的热预算等。一般来说,优选首先进行沟槽电容器的形成步骤,由于它们需要更高的温度和专门的淀积设备(例如,对于高k绝缘体淀积),这些是与互连层中使用的导体不兼容。如果执行较低温度工艺以产生深沟槽层,那么工艺步骤相反,首先处理过孔。本公开的重要特征在于产生的结构,即具有附加功能的过孔载体使用了铸造工艺(例如,存储器芯片通常使用的深沟槽工艺),与前沿的CMOS BEOL相比基于相当宽松的基准,由此实现了低成本制造。
图4a示出了本发明的第三实施例,其中去耦电容器是使用Si载体过孔形成的。在该增强的硅载体结构的设计中,载体互连布线中连接到特定的接触位置4090’的过孔410’用于构成集成的去耦电容器。这可以通过以下实现:在这些过孔410’的内壁中提供高介电常数(k~7或更多)绝缘体420’的涂层用做电容器的电介质,使用连接到接触位置4090’的导电填料430’作为电容器的一个极板,密集掺杂的硅衬底区4080’作为另一极板形成集成的电容器。当然,图4b中示出了包括基于过孔的电容器的可能的第四实施例,该实施例可以采用金属接触4080”作为底部电极,代替图4a的密集掺杂的硅区4080’。图4a和4b中所示的这些基于过孔的电容器可以单独形成,或者在前面实施例中介绍的深沟槽耦合电容器之外形成,分别参见图4c和4d。因此,本发明的第五实施例在本发明的第一或第二实施例中将深沟槽电容器结构添加到基于过孔的去耦电容器。
图5a示出了包括电阻性元件的增强的硅载体结构的示意性表示,代表了本发明设计的第六实施例。集成的电阻元件的目的是衰减与ΔI噪声有关的电压波动。通常,当分立的局部的电阻器被用于电路中衰减电压波动时,产生与功耗有关的问题。通过使用整个载体(掺杂的硅基板)作为电阻性元件,本发明消除了与局部电阻器、它们的局部加热以及功耗有关的问题。更具体地,在本实施例中,用特定掺杂的硅基板500代替现有技术载体的硅基板200(图2),以便它具有的电阻率适合于在使用的芯片中不同的电压参考电平之间形成电阻性元件。晶片500的顶面涂覆有绝缘体5020’,制出开口以在阻性硅基板和特定的互连过孔560’之间形成接触5090”。类似地,产生Si基板和特定的C4焊料球540’之间的接触5090,允许连接到下一级封装(未示出)中的电压参考面。特定的C4焊料球540’和对应的顶部接触5090”之间的硅晶片部分形成集成的电阻元件。如图5b所示,该电阻性元件可以结合到硅载体中,硅载体具有基于过孔设计的容性元件、或基于图5c所示深沟槽设计的容性元件、或如图5d所示的两种容性元件的组合。(这些容性结构的具体内容与前面本发明的第一到第四实施例中介绍的相同)。可以通过增加电源电压值补偿由于引入电阻性元件观察到的压降,以保持芯片中电路的正常操作。
电阻性元件结构可以有其它的变化。C4接触5090和互连过孔接触5090”之间的Si载体区可以具有递变的电阻率剖面(贯穿载体厚度的不同掺杂级别),最高的电阻率靠近C4连接。对于这种类型结构的几何形状,这种解决方案导致引入了与电源串联的高电阻率元件(~0.2ohm-cm)以及与电容器串联的低电阻元件(0.1-0.01ohm)。例如,外延Si层(1-5μm)可以结合到设计中。选择的结构需要满足低掺杂区与金属良好欧姆接触的要求。由,如氮化钽、钽、Ni-Cr合金、Cr-SiO2组合物等的阻性膜制成的终端电阻器也可以结合到布线中,也可以有选择地实施方案,以便更精细地控制总的需要的电阻值。
电阻性元件R的特性是所使用的基板电特性的函数。如上所述,通过适当地选择基板(递变的注入和电阻剖面,单独的薄膜终端电阻器)可以获得需要的电阻值。另一方面,容性元件C的性能取决于选择的几何形状(过孔壁或沟槽阵列)以及形成底部电极(载体的密集掺杂的硅本体、金属或金属硅化物层)、介质薄膜层(氮化物或高k材料)和顶部金属电极(连接到表面焊盘的金属填充的过孔)所使用的材料。与低电阻结合的适当电容(通过使用金属和密集掺杂的电极)导致可以接受的低RC延迟常数,进而实现更快的访问时间。此外,由于容性和阻性元件被集成在载体上,并且通过高性能的互连布线250和互连过孔260和560’以及具有低电感的微连接270访问,因此,访问时间远小于使用安装在组件或板上的分立电容器时的访问时间。
通过适当定制电阻器Rc和去耦电容器Cc(图1)的值,可以减小与开关事件有关的电流冲击相关的电压波动(摆动)。此外,芯片上有源器件之间互连线路径长度减小和信号速度更快(最小的Lp和Rp值),以及优化使用载体上的无源元件能优化电性能。1.5mohm的Rc电阻与大于1μF/cm2的无源电容Cc值支持了100微微秒范围内的电路响应时间,使噪声级别降低33%。
如上面所指出的,本发明结构的一个重要方面在于通过形成无源元件并使载体表面区域共享互连、I/O以及去耦功能,可以增加高密度芯片载体的功能性。这减缓了使用芯片上有价值的空间形成无源元件的要求,由此,芯片上有价值的空间可用于有源器件。此外,对于每个芯片给定数量的有源器件,还可以减小芯片尺寸,由此,可以实现每个晶片上更多的芯片并且可以降低每个芯片的成本。可以利用简单的集成方案,使用具有高成本效率的CMOS兼容处理方案在载体上结合无源元件(电阻器、电容器、地和信号线的面积都在一次构图中定义并且蚀刻工艺之后进行过孔填充和布线级叠加)和互连布线。因此,所得载体为高附加值元件,通过将几个具有独特功能的优化芯片安装在单个硅载体上,能够集成复杂的高性能系统。然而,增加的功能性不仅限于无源元件。其它的光学和电路元件也可以形成在载体的表面上,提高其功能性(载体的顶面和底面)。
要允许从封装到添加在载体上的元件的更快的访问时间,并更有效地使用载体过孔,这些元件底电极的下表面可以直接连接到放置在这些元件下的载体中。这种连接是到载体顶面上连接之外的连接。本实施例被示意性地示于图6中。增加的元件6010的顶部电极连接到互连过孔660”,底部电极的顶面连接到互连过孔670,此外,通过从载体的底部连接到过孔610”,也提供了到底部电极6090的接触。过孔610”具有介质层620”和导电层630”。根据对载体中元件的底部电极6090使用的导电材料的选择,过孔610”将接触掺杂的硅区(本发明的第二实施例)或其它的导电材料(本发明的第一实施例)。借助过孔660”和670从芯片外访问载体上的元件以保持路径长度和低电感。随着载体的厚度达到较小的值,即从载体的底面到元件的底电极的连接长度变成载体过孔总长度的较大的部分,将功能元件的底部电极直接连接到载体底面的这种方案变得更重要。
以上提供的例子和实施例仅仅是示例性的,对于微电子领域中的技术人员来说,本发明的结构可以有其它改变。本发明的载体可用于支撑其它类型的半导体元件。此外,芯片载体可用于形成存储器阵列,它们在结构和工艺步骤上都与沟槽电容器阵列类似。这些存储器阵列可以与去耦元件共享过孔之间的载体空间。与封装安装的存储器块相比,可以在较短的时间内访问这些存储器阵列,由此,可以提高系统的级别性能。由于载体互连和微连接I/O能够支持高的信号速度,因此,可以使用这些存储器条代替一些芯片上嵌入的存储器。这同样更好地利用了用于计算逻辑器件的芯片空间或者对应给定的逻辑器件数量减小了器件尺寸。通过将存储器选择性地放置在载体上安装芯片之下的位置,对于存储和恢复操作,可以得到对芯片中逻辑器件非常快的访问时间。这又提高了多芯片系统级别的性能。
本发明基于使用硅基载体。提出使用这种类型的材料是因为它与目前的CMOS技术兼容。此外,现已开发了多种专门的方法用于硅处理,例如:能够高封装密度地构图几百微米深的过孔,使Si技术优越于目前使用的陶瓷封装技术。然而,本发明的焦点在于增加载体的功能性。在不脱离本发明的精神的情况下,本发明中教导的概念可以用于增加其它载体中的功能性。例如,本发明的方法可应用于未来的光电器件结构。在这些情况下,首先可以用其它的材料代,如:蓝宝石、石英、砷化镓、磷化铟和有机材料,代替产生载体使用的材料类型,并且根据具体的应用选择材料。其次,功能载体可以为光电结构的一个整体部分,包括未来的三维电路叠层,允许将复杂的多功能和混合技术系统或元件集成在单个载体上。

Claims (81)

1.一种用于互连半导体元件的载体,包括:
具有到至少一个半导体元件的连接的第一接口;
具有到其它封装层的连接的第二接口;
在所述第一和第二接口之间的衬底层,具有在其中构成的至少一个过孔,用于连接所述第一和第二接口的所述连接;
在所述衬底层中构成的至少一个无源元件;以及
与所述第一接口相关的导电元件组,用于将所述至少一个无源元件连接到所述半导体元件和/或所述封装层。
2.根据权利要求1的用于互连半导体元件的载体,其中所述导电元件组包括一个或多个接触焊盘、互连过孔和/或互连布线,以紧密的电接近连接的方式将所述至少一个无源元件连接到所述半导体元件和所述其它封装层,以提供能够快速充放电的通路,从而提供快速响应时间。
3.根据权利要求1的用于互连半导体元件的载体,其中所述至少一个过孔涂覆有一层低介电常数绝缘体并填充有导电材料以形成导电元件。
4.根据权利要求3的用于互连半导体元件的载体,其中所述低介电常数绝缘体是从包括氧化硅、无定形氢化氮化硅、碳化硅、含有Si、C、O和H的无定形膜及其组合的组中选择的材料。
5.根据权利要求1的用于互连半导体元件的载体,其中所述衬底层由硅制成。
6.根据权利要求1的用于互连半导体元件的载体,其中所述半导体元件通过微连接输入/输出接触连接到所述第一接口。
7.根据权利要求1的用于互连半导体元件的载体,其中所述第二接口通过可控塌陷芯片连接球连接到所述封装层。
8.根据权利要求1的用于互连半导体元件的载体,其中所述至少一个无源元件包括去耦电容器。
9.根据权利要求8的用于互连半导体元件的载体,其中所述至少一个去耦电容器包括多个沟槽电容器。
10.根据权利要求9的用于互连半导体元件的载体,其中所述沟槽电容器包括:
从衬底的表面延伸到衬底中的沟槽阵列;以及
所述沟槽衬以第一导电材料、高介电常数绝缘体和第二导电材料,形成沟槽电容。
11.根据权利要求10的用于互连半导体元件的载体,其中所述沟槽的宽度在100nm和1000nm之间,深度与宽度的比在2到50之间。
12.根据权利要求10的用于互连半导体元件的载体,其中所述第一导电材料是从包括W、Ti、Ta、Co、Zr、Hf、它们的导电氮化物、它们的硅化物和它们的导电硅氮化物及其组合;Cu、Ni、Pt、Zr;Nb、Mo、V、Ir、Re、Rt及其组合的组中选择的。
13.根据权利要求10的用于互连半导体元件的载体,其中所述高介电常数绝缘体是从包括氮化硅、氮氧化硅、氧化铝、氮化铝、氧化锆、氧化铪、氧化钽、钛酸锶钡、钛酸锆钡及其组合的组中选择的。
14.根据权利要求9的用于互连半导体元件的载体,其中所述第二导电材料是从包括W、Ti、Ta、Co、Zr、Hf、它们的导电氮化物、它们的硅化物和它们的导电硅氮化物;Cu、Ni、Pt、Zr;Nb、Mo、V、Ir、Re、Rt及其组合;以及多晶硅的组中选择的。
15.根据权利要求9的用于互连半导体元件的载体,其中所述深沟槽电容器包括金属绝缘体金属沟槽电容器。
16.根据权利要求9的用于互连半导体元件的载体,其中所述沟槽电容包括金属绝缘体硅沟槽电容器。
17.根据权利要求15的用于互连半导体元件的载体,其中所述金属绝缘体金属沟槽电容器包括:
延伸到衬底中的沟槽阵列;
通过在沟槽的表面淀积金属涂层形成的底部导电层;以及
淀积金属涂层的沟槽衬以高介电常数绝缘体和第三导电材料,形成沟槽电容。
18.根据权利要求16的用于互连半导体元件的载体,其中所述金属绝缘体硅沟槽电容器包括:
延伸到衬底中的沟槽阵列;
通过掺杂所述衬底形成底部导电层;以及
掺杂的沟槽衬以高介电常数绝缘体和第三导电材料,形成沟槽电容。
19.根据权利要求8的用于互连半导体元件的载体,其中所述去耦电容器为基于过孔的电容器,还包括:
通过在过孔的内壁淀积金属涂层形成的底部导电层;
在所述过孔的涂覆金属的内壁上的高介电常数绝缘体层,形成衬里;
在所述过孔内部的导电填充物;以及
其中所述去耦电容器是这样形成的,它以所述衬里作为电容器的电介质,所述导电填充物作为一个极板,所述金属涂层作为另一个极板。
20.根据权利要求8的用于互连半导体元件的载体,其中所述去耦电容器为基于过孔的电容器,该电容器还包括:
在过孔的内壁上的高介电常数绝缘体覆层;
在所述过孔内部的导电填充物;
与所述过孔相邻的所述衬底层的密集掺杂区;以及
其中所述去耦电容器是这样形成的,它以所述覆层作为电容器的电介质,所述导电填充物作为一个极板,所述衬底层的所述密集掺杂区作为另一个极板。
21.根据权利要求9的用于互连半导体元件的载体,还包括至少一个基于过孔的电容器,该电容器包括:
在过孔的内壁上的高介电常数绝缘体覆层;
在所述过孔内部的导电填充物;
与所述过孔相邻的所述衬底层的密集掺杂区;以及
其中所述去耦电容器是这样形成的,它以所述覆层作为电容器的电介质,所述导电填充物作为一个极板,所述衬底层的所述密集掺杂区作为另一个极板。
22.根据权利要求1的用于互连半导体元件的载体,其中所述至少一个无源元件包括电阻元件,该电阻元件还包括:
具有电阻率的掺杂衬底层,用于抑制由于噪声引起的电压波动;
将所述衬底层与所述第一接口分开的绝缘覆层;
在所述绝缘覆层中构成的过孔,为到所述第一接口的所述连接提供导电通路;
将所述衬底层与所述第二接口分开的绝缘衬垫,在所述绝缘衬垫中构成导电通路,将所述衬底层连接到在所述第二接口处的所述连接。
23.根据权利要求9的用于互连半导体元件的载体,还包括一个电阻元件,该电阻元件包括:
具有电阻率的掺杂衬底层,用于抑制由于噪声引起的电压波动;
将所述衬底层与所述第一接口分开的绝缘覆层;
在所述绝缘覆层中构成的过孔,为到所述第一接口的所述连接提供导电通路;
将所述衬底层与所述第二接口分开的绝缘衬垫,在所述绝缘衬垫中构成导电通路,将所述衬底层连接到在所述第二接口处的连接。
24.根据权利要求22的用于互连半导体元件的载体,其中所述电阻元件具有缓变的电阻率。
25.根据权利要求24的用于互连半导体元件的载体,其中朝向着所述第二接口,所述缓变的电阻率变得更高。
26.根据权利要求8的用于互连半导体元件的载体,还包括电阻元件,该电阻元件包括:
具有电阻率的硅掺杂衬底层,用于抑制由于噪声引起的电压波动;
将所述衬底层与所述第一接口分开的绝缘覆层;
在所述绝缘覆层中构成的过孔,为到所述第一接口的所述连接提供导电通路;
将所述衬底层与所述第二接口分开的绝缘衬垫,在所述绝缘衬垫中构成导电通路,将所述衬底层连接到在所述第二接口处的所述连接。
27.根据权利要求21的用于互连半导体元件的载体,还包括电阻元件,该电阻元件包括:
具有电阻率的硅掺杂衬底层,用于抑制由于噪声引起的电压波动;
将所述衬底层与所述第一接口分开的绝缘覆层;
在所述绝缘覆层中构成的过孔,为到所述第一接口的所述连接提供导电通路;
将所述衬底层与所述第二接口分开的绝缘衬垫,在所述绝缘衬垫中构成导电通路,将所述衬底层连接到在所述第二接口处的所述连接。
28.一种用于互连半导体元件的载体,包括:
具有到至少一个半导体元件的连接的第一接口;
具有到另一封装层的连接的第二接口;
在所述第一和第二接口之间的衬底层,具有在其中构成的至少一个过孔,用于连接所述第一和第二接口的所述连接;
从所述衬底层的表面延伸到所述衬底中的沟槽阵列,用于作为元件;以及
与所述第一接口相关的导电元件组,用于互连所述沟槽元件,并将所述沟槽元件连接到所述半导体元件。
29.一种用于互连半导体元件的载体,包括:
具有到至少一个半导体元件的连接的第一接口;
具有到另一封装层的连接的第二接口;
在所述第一和第二接口之间的衬底层,具有在其中构成的至少一个过孔,用于连接所述第一和第二接口的所述连接;
在所述衬底层中形成的至少一个存储单元;以及
与所述第一接口相关的导电元件组,用于将所述存储单元连接到所述半导体元件。
30.根据权利要求29的用于互连半导体元件的载体,还包括连接到所述至少一个存储单元的至少一个第二过孔,以允许所述至少一个存储单元直接连接到所述封装层。
31.一种用于互连半导体元件的载体,包括:
具有到至少一个半导体元件的连接的第一接口;
具有到另一封装层的连接的第二接口;
在所述第一和第二接口之间的衬底层,具有在其中构成的至少一个过孔,用于连接所述第一和第二接口的所述连接;
在所述衬底层中的至少一个光电子结构;以及
与所述第一接口相关的导电元件组,用于将所述光电子结构连接到所述半导体元件。
32.根据权利要求31的用于互连半导体元件的载体,还包括连接到所述至少一个光电子结构的至少一个第二过孔,以允许所述至少一个光电子结构直接连接到所述另一封装层。
33.根据权利要求28的用于互连半导体元件的载体,其中所述导电元件组包括一个或多个接触焊盘、互连过孔和/或互连布线。
34.根据权利要求28的用于互连半导体元件的载体,其中所述衬底层由硅制成。
35.根据权利要求28的用于互连半导体元件的载体,其中所述半导体元件通过微连接输入/输出接触连接到所述第一接口。
36.根据权利要求28的用于互连半导体元件的载体,其中所述第二接口通过可控塌陷芯片连接球连接到所述另一封装层。
37.根据权利要求28的用于互连半导体元件的载体,还包括连接到所述沟槽阵列的至少一个第二过孔,以允许所述沟槽阵列直接连接到所述封装层。
38.根据权利要求28的用于互连半导体元件的载体,其中所述沟槽阵列被衬以第一导电材料、高介电常数绝缘体和第二导电材料,以形成深沟槽电容。
39.根据权利要求28的用于互连半导体元件的载体,其中所述沟槽的宽度在100nm和1000nm之间,深度与宽度的比在2到50之间。
40.根据权利要求38的用于互连半导体元件的载体,其中所述第一导电材料是从包括W、Ti、Ta、Co、Zr、Hf、它们的导电氮化物、它们的硅化物和它们的导电硅氮化物及其组合;Cu、Ni、Pt、Zr;Nb、Mo、V、Ir、Re、Rt及其组合的组中选择的。
41.根据权利要求38的用于互连半导体元件的载体,其中所述高介电常数绝缘体是从包括氮化硅、氮氧化硅、氧化铝、氮化铝、氧化锆、氧化铪、氧化钽、钛酸锶钡、钛酸锆钡及其组合的组中选择的。
42.根据权利要求38的用于互连半导体元件的载体,其中所述第二导电材料是从包括W、Ti、Ta、Co、Zr、Hf、它们的导电氮化物、它们的硅化物和它们的导电硅氮化物;Cu、Ni、Pt、Zr;Nb、Mo、V、Ir、Re、Rt及其组合;以及多晶硅的组中选择的。
43.根据权利要求38的用于互连半导体元件的载体,其中所述深沟槽电容包括金属绝缘体金属沟槽电容器。
44.根据权利要求43的用于互连半导体元件的载体,其中所述金属绝缘体金属沟槽电容器包括:
延伸到衬底中的沟槽阵列;
通过在沟槽的表面淀积金属涂层形成的底部导电层;以及
淀积金属涂层的沟槽衬以高介电常数绝缘体和第二导电材料,形成沟槽电容。
45.根据权利要求38的用于互连半导体元件的载体,其中所述沟槽电容包括金属绝缘体硅沟槽电容器。
46.根据权利要求45的用于互连半导体元件的载体,其中所述金属绝缘体硅沟槽电容器包括:
延伸到衬底层中的沟槽阵列;
通过在所述沟槽的表面和所述沟槽的表面以下的一个很小的深度中用掺杂剂掺杂所述衬底层形成的底部导电层;以及
掺杂的沟槽衬以高介电常数绝缘体和第二导电材料,形成沟槽电容。
47.根据权利要求28的用于互连半导体元件的载体,还包括至少一个基于过孔的电容器,该电容器包括:
在过孔的内壁上涂覆的高介电常数绝缘体覆层;
在所述过孔内部的导电填充物;
与所述过孔相邻的所述衬底层的密集掺杂区;以及
其中所述电容器是这样形成的,它以所述覆层作为电容器的电介质,所述导电填充物作为一个极板,所述衬底层的所述密集掺杂区作为另一个极板。
48.根据权利要求28的用于互连半导体元件的载体,还包括电阻元件,该电阻元件包括:
具有电阻率的硅掺杂衬底层,用于抑制由于噪声引起的电压波动;
将所述衬底层与所述第一接口分开的绝缘覆层;
在所述绝缘覆层中构成的过孔,为到所述第一接口的所述连接提供导电通路;
将所述衬底层与所述第二接口分开的绝缘衬垫,在所述绝缘衬垫中构成导电通路,将所述衬底层连接到在所述第二接口处的连接。
49.根据权利要求48的用于互连半导体元件的载体,其中所述电阻元件具有缓变的电阻率。
50.根据权利要求49的用于互连半导体元件的载体,其中朝向着所述第二接口,所述缓变的电阻率变得更高。
51.根据权利要求47的用于互连半导体元件的载体,还包括电阻元件,该电阻元件包括:
具有电阻率的硅掺杂衬底层,用于抑制由于噪声引起的电压波动;
将所述衬底层与所述第一接口分开的绝缘覆层;
在所述绝缘覆层中构成的过孔,为到所述第一接口的所述连接提供导电通路;
将所述衬底层与所述第二接口分开的绝缘衬垫,在所述绝缘衬垫中构成导电通路,将所述衬底层连接到在所述第二接口处的所述连接。
52.一种构成用于互连半导体元件的高密度芯片载体的方法,包括以下步骤:
构造具有到至少一个半导体元件的连接的第一接口;
构造具有到封装层的连接的第二接口;
在所述第一和第二接口之间构成衬底层,其具有至少一个过孔,以提供用于连接所述第一和第二接口的所述连接的通路;
在所述衬底层中形成至少一个无源元件,所述无源元件连接到所述第一接口和所述第二接口,到所述第二接口的所述连接通过所述至少一个过孔。
53.根据权利要求52的构成用于互连半导体元件的高密度芯片载体的方法,其中所述衬底层由硅制成。
54.根据权利要求52的构成用于互连半导体元件的高密度芯片载体的方法,其中所述至少一个无源元件包括去耦电容器。
55.根据权利要求52的构成用于互连半导体元件的高密度芯片载体的方法,其中所述形成无源元件的步骤包括:
蚀刻进入衬底的沟槽阵列;以及
将所述沟槽衬以第一导电材料、高介电常数绝缘体和第二导电材料,形成沟槽电容。
56.根据权利要求55的构成用于互连半导体元件的高密度芯片载体的方法,其中所述第一导电材料是从包括W、Ti、Ta、Co、Zr、Hf、它们的导电氮化物、它们的硅化物和它们的导电硅氮化物及其组合;Cu、Ni、Pt、Zr;Nb、Mo、V、Ir、Re、Rt及其组合的组中选择的。
57.根据权利要求55的构成用于互连半导体元件的高密度芯片载体的方法,其中所述高介电常数绝缘体是从包括氮化硅、氮氧化硅、氧化铝、氮化铝、氧化锆、氧化铪、氧化钽、钛酸锶钡、钛酸锆钡及其组合的组中选择的。
58.根据权利要求55的构成用于互连半导体元件的高密度芯片载体的方法,其中所述第二导电材料是从包括W、Ti、Ta、Co、Zr、Hf、它们的导电氮化物、它们的硅化物和它们的导电硅氮化物;Cu、Ni、Pt、Zr;Nb、Mo、V、Ir、Re、Rt及其组合;以及多晶硅的组中选择的。
59.根据权利要求52的构成用于互连半导体元件的高密度芯片载体的方法,其中所述形成无源元件的步骤包括:
蚀刻进入衬底层的沟槽阵列;以及
用掺杂剂掺杂所述衬底层,形成底部导电层;以及
将所述沟槽衬以高介电常数绝缘体和第二导电材料,形成金属绝缘体衬底沟槽电容。
60.根据权利要求59的构成用于互连半导体元件的高密度芯片载体的方法,其中所述掺杂所述衬底的步骤包括在所述沟槽的表面和所述沟槽的表面以下的一个很小的深度中以及所述沟槽的顶部掺杂所述衬底。
61.根据权利要求59的构成用于互连半导体元件的高密度芯片载体的方法,其中所述掺杂所述衬底的步骤包括使用密集掺杂硅晶片作为载体。
62.根据权利要求59的构成用于互连半导体元件的高密度芯片载体的方法,其中所述掺杂所述衬底的步骤包括围绕所述沟槽形成密集掺杂硅的表层区。
63.根据权利要求59的构成用于互连半导体元件的高密度芯片载体的方法,其中所述沟槽的宽度在100nm和1000nm之间,深度与宽度的比在2到50之间。
64.根据权利要求59的构成用于互连半导体元件的高密度芯片载体的方法,其中在所述底部导电层中的所述掺杂剂从包括As、P、B及其组合的组中选择的。
65.根据权利要求64的构成用于互连半导体元件的高密度芯片载体的方法,其中所述掺杂剂的掺杂浓度在10-18到10-21atoms/cm2的范围内,以实现小于0.01ohm-cm的低电阻率。
66.根据权利要求60的构成用于互连半导体元件的高密度芯片载体的方法,其中在所述硅底部导电层中的所述小深度在50nm到500nm的范围内。
67.根据权利要求54的构成用于互连半导体元件的高密度芯片载体的方法,其中所述形成无源元件的步骤还包括:
掺杂所述衬底层,以提供电阻率,用于抑制由于噪声引起的电压波动;
将所述衬底层与所述第一接口绝缘;
在所述绝缘覆层中构成至少一个过孔,为到所述第一接口的所述连接提供导电通路;
在所述第二接口处放置绝缘衬垫,将所述衬底层与所述第二接口分开,在所述绝缘衬垫中构成导电通路,将所述衬底层连接到在所述第二接口处的所述连接。
68.根据权利要求66的构成用于互连半导体元件的高密度芯片载体的方法,其中进行所述掺杂步骤,以构成缓变的电阻率。
69.根据权利要求66的构成用于互连半导体元件的高密度芯片载体的方法,其中朝向着所述第二接口,所述缓变的电阻率变得更高。
70.根据权利要求52的构成用于互连半导体元件的高密度芯片载体的方法,还包括构造与所述第一接口有关的导电元件组的步骤,以紧密的电接近连接的方式将所述至少一个无源元件连接到所述半导体元件和所述封装层,以提供能够快速充放电的通路,从而提供快速响应时间。
71.根据权利要求55的构成用于互连半导体元件的高密度芯片载体的方法,还包括构造与所述第一接口有关的导电元件组的步骤,以互连所述阵列,并以紧密的电接近连接的方式将所述阵列连接到所述至少一个半导体元件和所述封装层,以提供能够快速充放电的通路,从而提供快速响应时间。
72.根据权利要求54的构成用于互连半导体元件的高密度芯片载体的方法,其中所述形成去耦电容器的步骤包括:
在过孔的内壁淀积金属涂层,在其上形成底部导电层;
在所述过孔的覆盖金属的内壁上涂覆高介电常数绝缘体覆层,在所述过孔的覆盖金属的内壁上形成衬里;
在所述过孔内部加入导电填充物;以及
通过连接作为电容器电介质的所述衬里,作为一个极板的所述导电填充物,和作为另一个极板的所述金属涂层,形成基于过孔的去耦电容器。
73.根据权利要求54的构成用于互连半导体元件的高密度芯片载体的方法,其中所述形成去耦电容器的步骤包括:
用高介电常数绝缘体涂覆所述过孔的内壁;
在所述过孔的内部的填充导电填充物;
在与所述过孔相邻的所述衬底层中形成密集掺杂区;以及
通过连接作为电容器电介质的介质衬里,作为一个极板的内部导电填充物,和作为另一个极板的衬底区域,形成基于过孔的去耦电容器。
74.一种构成用于互连半导体元件的高密度芯片载体的方法,包括以下步骤:
构造具有到至少一个半导体元件的连接的第一接口;构造具有到封装层的连接的第二接口;
在所述第一和第二接口之间构造衬底层,其具有至少一个过孔,以提供用于连接所述第一和第二接口的所述连接的通路;以及
形成从所述衬底的表面延伸到所述衬底中的沟槽阵列,用于作为元件;以及
形成与所述第一接口相关的导电元件组,以互连所述沟槽元件,并将所述沟槽元件连接到所述至少一个半导体元件。
75.一种构成用于互连半导体元件的高密度芯片载体的方法,包括以下步骤:
构造具有到至少一个半导体元件的连接的第一接口;构造具有到封装层的连接的第二接口;
在所述第一和第二接口之间构造衬底层,其具有至少一个过孔,以提供用于连接所述第一和第二接口的所述连接的通路;以及
在所述衬底层中形成至少一个存储单元;以及
形成与所述第一接口相关的导电元件组,以将所述存储单元连接到所述至少一个半导体元件。
76.一种构成用于互连半导体元件的高密度芯片载体的方法,包括以下步骤:
构造具有到至少一个半导体元件的连接的第一接口;构造具有到封装层的连接的第二接口;
在所述第一和第二接口之间构造衬底层,其具有至少一个过孔,以提供用于连接所述第一和第二接口的所述连接的通路;以及
在所述衬底层中形成至少一个光电子结构;以及
形成与所述第一接口相关的导电元件组,以将所述光电子结构连接到所述至少一个半导体元件。
77.根据权利要求54的构成用于互连半导体元件的高密度芯片载体的方法,其中在所述第一和第二接口之间构造衬底层的步骤包括用低介电常数绝缘体涂覆所述至少一个过孔,并用导电材料填充所述过孔形成导电元件。
78.根据权利要求77的构成用于互连半导体元件的高密度芯片载体的方法,其中在所述第一和第二接口之间构造衬底层的步骤中,所述低介电常数绝缘体是从包括二氧化硅、无定形氢化氮化硅、碳化硅、含有Si、C、O和H的无定形膜及其组合的组中选择的材料。
79.根据权利要求75的构成用于互连半导体元件的高密度芯片载体的方法,还包括形成至少一个第二过孔的步骤,该过孔连接到所述至少一个存储单元,以允许所述至少一个存储单元直接连接到所述封装层。
80.根据权利要求76的构成用于互连半导体元件的高密度芯片载体的方法,还包括形成至少一个第二过孔的步骤,该过孔连接到所述至少一个光电子结构,以允许所述至少一个光电子结构直接连接到所述封装层。
81.根据权利要求31的用于互连半导体元件的载体,其中所述衬底层由从包括蓝宝石、石英、砷化镓、磷化铟和有机材料的组中选择的材料制成。
CNB2003101169729A 2002-12-09 2003-12-03 高密度芯片载体及其构成方法 Expired - Lifetime CN1309073C (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/314,589 US7030481B2 (en) 2002-12-09 2002-12-09 High density chip carrier with integrated passive devices
US10/314,589 2002-12-09

Publications (2)

Publication Number Publication Date
CN1507046A CN1507046A (zh) 2004-06-23
CN1309073C true CN1309073C (zh) 2007-04-04

Family

ID=32468507

Family Applications (1)

Application Number Title Priority Date Filing Date
CNB2003101169729A Expired - Lifetime CN1309073C (zh) 2002-12-09 2003-12-03 高密度芯片载体及其构成方法

Country Status (5)

Country Link
US (2) US7030481B2 (zh)
JP (1) JP4222929B2 (zh)
KR (1) KR100598665B1 (zh)
CN (1) CN1309073C (zh)
TW (1) TWI235466B (zh)

Families Citing this family (248)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2003124593A (ja) * 2001-10-15 2003-04-25 Interconnection Technologies Kk 接続部品
US6815256B2 (en) * 2002-12-23 2004-11-09 Intel Corporation Silicon building blocks in integrated circuit packaging
EP1639634B1 (en) * 2003-06-20 2009-04-01 Nxp B.V. Electronic device, assembly and methods of manufacturing an electronic device
US7177135B2 (en) * 2003-09-23 2007-02-13 Samsung Electronics Co., Ltd. On-chip bypass capacitor and method of manufacturing the same
US8569142B2 (en) * 2003-11-28 2013-10-29 Blackberry Limited Multi-level thin film capacitor on a ceramic substrate and method of manufacturing the same
KR100541655B1 (ko) * 2004-01-07 2006-01-11 삼성전자주식회사 패키지 회로기판 및 이를 이용한 패키지
US7050871B2 (en) * 2004-02-26 2006-05-23 International Business Machines Corporation Method and apparatus for implementing silicon wafer chip carrier passive devices
KR100680504B1 (ko) * 2004-06-30 2007-02-08 동부일렉트로닉스 주식회사 반도체 소자의 캐패시터의 제조방법
JP2006019455A (ja) * 2004-06-30 2006-01-19 Nec Electronics Corp 半導体装置およびその製造方法
DE102004032706A1 (de) * 2004-07-06 2006-02-02 Epcos Ag Verfahren zur Herstellung eines elektrischen Bauelements und das Bauelement
CN100347869C (zh) * 2004-09-14 2007-11-07 宏齐科技股份有限公司 发光芯片的封装结构
US7535107B2 (en) * 2004-10-12 2009-05-19 Salmon Technologies, Llc Tiled construction of layered materials
JP4564342B2 (ja) 2004-11-24 2010-10-20 大日本印刷株式会社 多層配線基板およびその製造方法
JP4795677B2 (ja) * 2004-12-02 2011-10-19 ルネサスエレクトロニクス株式会社 半導体装置およびそれを用いた半導体モジュール、ならびに半導体装置の製造方法
WO2006087894A1 (ja) * 2005-02-03 2006-08-24 Shin-Etsu Polymer Co., Ltd. 固定キャリア、固定キャリアの製造方法、固定キャリアの使用方法、及び基板収納容器
ATE506697T1 (de) * 2005-02-04 2011-05-15 Alcatel Lucent Interposer zur entkoppelung von integrierten schaltkreisen auf einer leiterplatte
JP2006253631A (ja) 2005-02-14 2006-09-21 Fujitsu Ltd 半導体装置及びその製造方法、キャパシタ構造体及びその製造方法
KR100867038B1 (ko) * 2005-03-02 2008-11-04 삼성전기주식회사 커패시터 내장형 인쇄회로기판 및 그 제조방법
JP4311376B2 (ja) * 2005-06-08 2009-08-12 セイコーエプソン株式会社 半導体装置、半導体装置の製造方法、電子部品、回路基板及び電子機器
US7510983B2 (en) * 2005-06-14 2009-03-31 Micron Technology, Inc. Iridium/zirconium oxide structure
JP4250154B2 (ja) * 2005-06-30 2009-04-08 新光電気工業株式会社 半導体チップ及びその製造方法
US7927948B2 (en) 2005-07-20 2011-04-19 Micron Technology, Inc. Devices with nanocrystals and methods of formation
US7435627B2 (en) * 2005-08-11 2008-10-14 International Business Machines Corporation Techniques for providing decoupling capacitance
US7633167B2 (en) * 2005-09-29 2009-12-15 Nec Electronics Corporation Semiconductor device and method for manufacturing same
TWI409934B (zh) * 2005-10-12 2013-09-21 Semiconductor Energy Lab 半導體裝置
DE102005062932B4 (de) * 2005-12-29 2015-12-24 Polaris Innovations Ltd. Chip-Träger mit reduzierter Störsignalempfindlichkeit
US7626257B2 (en) * 2006-01-18 2009-12-01 Infineon Technologies Ag Semiconductor devices and methods of manufacture thereof
US20070246821A1 (en) * 2006-04-20 2007-10-25 Lu Szu W Utra-thin substrate package technology
WO2007131967A1 (en) * 2006-05-15 2007-11-22 Koninklijke Philips Electronics N.V. Integrated low-loss capacitor-arrray structure
JP2007311649A (ja) * 2006-05-19 2007-11-29 Fuji Electric Systems Co Ltd シリコンインターポーザ基板を用いた高周波回路モジュール装置
US7804177B2 (en) * 2006-07-26 2010-09-28 Taiwan Semiconductor Manufacturing Company, Ltd. Silicon-based thin substrate and packaging schemes
US7582549B2 (en) * 2006-08-25 2009-09-01 Micron Technology, Inc. Atomic layer deposited barium strontium titanium oxide films
US20080118731A1 (en) * 2006-11-16 2008-05-22 Micron Technology, Inc. Method of forming a structure having a high dielectric constant, a structure having a high dielectric constant, a capacitor including the structure, a method of forming the capacitor
JP2008147338A (ja) * 2006-12-08 2008-06-26 Nec Electronics Corp 半導体集積回路装置
WO2008087578A2 (en) * 2007-01-17 2008-07-24 Nxp B.V. A system-in-package with through substrate via holes
US7719079B2 (en) * 2007-01-18 2010-05-18 International Business Machines Corporation Chip carrier substrate capacitor and method for fabrication thereof
WO2008139393A1 (en) * 2007-05-10 2008-11-20 Nxp B.V. Integration substrate with a ultra-high-density capacitor and a through-substrate via
US20080284037A1 (en) 2007-05-15 2008-11-20 Andry Paul S Apparatus and Methods for Constructing Semiconductor Chip Packages with Silicon Space Transformer Carriers
US7528492B2 (en) * 2007-05-24 2009-05-05 Taiwan Semiconductor Manufacturing Company, Ltd. Test patterns for detecting misalignment of through-wafer vias
US8476735B2 (en) 2007-05-29 2013-07-02 Taiwan Semiconductor Manufacturing Company, Ltd. Programmable semiconductor interposer for electronic package and method of forming
KR100887140B1 (ko) * 2007-06-20 2009-03-04 삼성전기주식회사 캐패시터 내장형 다층 세라믹 기판
US7939941B2 (en) 2007-06-27 2011-05-10 Taiwan Semiconductor Manufacturing Company, Ltd. Formation of through via before contact processing
US7825517B2 (en) 2007-07-16 2010-11-02 Taiwan Semiconductor Manufacturing Company, Ltd. Method for packaging semiconductor dies having through-silicon vias
US7973413B2 (en) 2007-08-24 2011-07-05 Taiwan Semiconductor Manufacturing Company, Ltd. Through-substrate via for semiconductor device
US8350382B2 (en) 2007-09-21 2013-01-08 Infineon Technologies Ag Semiconductor device including electronic component coupled to a backside of a chip
US8476769B2 (en) * 2007-10-17 2013-07-02 Taiwan Semiconductor Manufacturing Company, Ltd. Through-silicon vias and methods for forming the same
US8227902B2 (en) 2007-11-26 2012-07-24 Taiwan Semiconductor Manufacturing Company, Ltd. Structures for preventing cross-talk between through-silicon vias and integrated circuits
KR101013548B1 (ko) * 2007-11-30 2011-02-14 주식회사 하이닉스반도체 스택 패키지
US7588993B2 (en) 2007-12-06 2009-09-15 Taiwan Semiconductor Manufacturing Company, Ltd. Alignment for backside illumination sensor
US7843064B2 (en) 2007-12-21 2010-11-30 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and process for the formation of TSVs
US8671476B2 (en) * 2008-02-05 2014-03-18 Standard Textile Co., Inc. Woven contoured bed sheet with elastomeric yarns
US8853830B2 (en) 2008-05-14 2014-10-07 Taiwan Semiconductor Manufacturing Company, Ltd. System, structure, and method of manufacturing a semiconductor substrate stack
US8288872B2 (en) 2008-08-05 2012-10-16 Taiwan Semiconductor Manufacturing Company, Ltd. Through silicon via layout
US8101494B2 (en) * 2008-08-14 2012-01-24 International Business Machines Corporation Structure, design structure and method of manufacturing a structure having VIAS and high density capacitors
US8399273B2 (en) 2008-08-18 2013-03-19 Tsmc Solid State Lighting Ltd. Light-emitting diode with current-spreading region
US20100062693A1 (en) * 2008-09-05 2010-03-11 Taiwan Semiconductor Manufacturing Co., Ltd. Two step method and apparatus for polishing metal and other films in semiconductor manufacturing
US8278152B2 (en) * 2008-09-08 2012-10-02 Taiwan Semiconductor Manufacturing Company, Ltd. Bonding process for CMOS image sensor
US9524945B2 (en) 2010-05-18 2016-12-20 Taiwan Semiconductor Manufacturing Company, Ltd. Cu pillar bump with L-shaped non-metal sidewall protection structure
US7772081B2 (en) * 2008-09-17 2010-08-10 Stats Chippac, Ltd. Semiconductor device and method of forming high-frequency circuit structure and method thereof
US9165841B2 (en) 2008-09-19 2015-10-20 Intel Corporation System and process for fabricating semiconductor packages
US9164404B2 (en) 2008-09-19 2015-10-20 Intel Corporation System and process for fabricating semiconductor packages
US8653648B2 (en) * 2008-10-03 2014-02-18 Taiwan Semiconductor Manufacturing Company, Ltd. Zigzag pattern for TSV copper adhesion
US7928534B2 (en) 2008-10-09 2011-04-19 Taiwan Semiconductor Manufacturing Company, Ltd. Bond pad connection to redistribution lines having tapered profiles
KR20100040455A (ko) * 2008-10-10 2010-04-20 주식회사 동부하이텍 반도체 소자의 제조 방법
US8624360B2 (en) 2008-11-13 2014-01-07 Taiwan Semiconductor Manufacturing Company, Ltd. Cooling channels in 3DIC stacks
US7985655B2 (en) * 2008-11-25 2011-07-26 Freescale Semiconductor, Inc. Through-via and method of forming
US7923369B2 (en) * 2008-11-25 2011-04-12 Freescale Semiconductor, Inc. Through-via and method of forming
US8158456B2 (en) * 2008-12-05 2012-04-17 Taiwan Semiconductor Manufacturing Co., Ltd. Method of forming stacked dies
US7989318B2 (en) 2008-12-08 2011-08-02 Taiwan Semiconductor Manufacturing Company, Ltd. Method for stacking semiconductor dies
US8513119B2 (en) 2008-12-10 2013-08-20 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming bump structure having tapered sidewalls for stacked dies
US8736050B2 (en) * 2009-09-03 2014-05-27 Taiwan Semiconductor Manufacturing Company, Ltd. Front side copper post joint structure for temporary bond in TSV application
US8264077B2 (en) * 2008-12-29 2012-09-11 Taiwan Semiconductor Manufacturing Company, Ltd. Backside metal of redistribution line with silicide layer on through-silicon via of semiconductor chips
US7910473B2 (en) * 2008-12-31 2011-03-22 Taiwan Semiconductor Manufacturing Company, Ltd. Through-silicon via with air gap
US20100171197A1 (en) * 2009-01-05 2010-07-08 Hung-Pin Chang Isolation Structure for Stacked Dies
US8749027B2 (en) * 2009-01-07 2014-06-10 Taiwan Semiconductor Manufacturing Company, Ltd. Robust TSV structure
US8501587B2 (en) 2009-01-13 2013-08-06 Taiwan Semiconductor Manufacturing Company, Ltd. Stacked integrated chips and methods of fabrication thereof
US8399354B2 (en) * 2009-01-13 2013-03-19 Taiwan Semiconductor Manufacturing Company, Ltd. Through-silicon via with low-K dielectric liner
US8314483B2 (en) 2009-01-26 2012-11-20 Taiwan Semiconductor Manufacturing Company, Ltd. On-chip heat spreader
US8168529B2 (en) * 2009-01-26 2012-05-01 Taiwan Semiconductor Manufacturing Company, Ltd. Forming seal ring in an integrated circuit die
US8820728B2 (en) * 2009-02-02 2014-09-02 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor wafer carrier
US8704375B2 (en) * 2009-02-04 2014-04-22 Taiwan Semiconductor Manufacturing Company, Ltd. Barrier structures and methods for through substrate vias
US8531565B2 (en) * 2009-02-24 2013-09-10 Taiwan Semiconductor Manufacturing Company, Ltd. Front side implanted guard ring structure for backside illuminated image sensor
US9142586B2 (en) 2009-02-24 2015-09-22 Taiwan Semiconductor Manufacturing Company, Ltd. Pad design for backside illuminated image sensor
US7932608B2 (en) * 2009-02-24 2011-04-26 Taiwan Semiconductor Manufacturing Company, Ltd. Through-silicon via formed with a post passivation interconnect structure
US8643149B2 (en) * 2009-03-03 2014-02-04 Taiwan Semiconductor Manufacturing Company, Ltd. Stress barrier structures for semiconductor chips
US8487444B2 (en) * 2009-03-06 2013-07-16 Taiwan Semiconductor Manufacturing Company, Ltd. Three-dimensional system-in-package architecture
US7989270B2 (en) * 2009-03-13 2011-08-02 Stats Chippac, Ltd. Semiconductor device and method of forming three-dimensional vertically oriented integrated capacitors
US8344513B2 (en) 2009-03-23 2013-01-01 Taiwan Semiconductor Manufacturing Company, Ltd. Barrier for through-silicon via
US8232140B2 (en) * 2009-03-27 2012-07-31 Taiwan Semiconductor Manufacturing Company, Ltd. Method for ultra thin wafer handling and processing
US8329578B2 (en) * 2009-03-27 2012-12-11 Taiwan Semiconductor Manufacturing Company, Ltd. Via structure and via etching process of forming the same
US8552563B2 (en) * 2009-04-07 2013-10-08 Taiwan Semiconductor Manufacturing Company, Ltd. Three-dimensional semiconductor architecture
US8691664B2 (en) * 2009-04-20 2014-04-08 Taiwan Semiconductor Manufacturing Company, Ltd. Backside process for a substrate
US8759949B2 (en) * 2009-04-30 2014-06-24 Taiwan Semiconductor Manufacturing Company, Ltd. Wafer backside structures having copper pillars
US8110920B2 (en) * 2009-06-05 2012-02-07 Intel Corporation In-package microelectronic apparatus, and methods of using same
US8432038B2 (en) 2009-06-12 2013-04-30 Taiwan Semiconductor Manufacturing Company, Ltd. Through-silicon via structure and a process for forming the same
JP5330115B2 (ja) * 2009-06-17 2013-10-30 浜松ホトニクス株式会社 積層配線基板
US8158489B2 (en) * 2009-06-26 2012-04-17 Taiwan Semiconductor Manufacturing Company, Ltd. Formation of TSV backside interconnects by modifying carrier wafers
US9305769B2 (en) 2009-06-30 2016-04-05 Taiwan Semiconductor Manufacturing Company, Ltd. Thin wafer handling method
US8871609B2 (en) * 2009-06-30 2014-10-28 Taiwan Semiconductor Manufacturing Company, Ltd. Thin wafer handling structure and method
US8247906B2 (en) 2009-07-06 2012-08-21 Taiwan Semiconductor Manufacturing Company, Ltd. Supplying power to integrated circuits using a grid matrix formed of through-silicon vias
US8264066B2 (en) * 2009-07-08 2012-09-11 Taiwan Semiconductor Manufacturing Company, Ltd. Liner formation in 3DIC structures
US8222104B2 (en) 2009-07-27 2012-07-17 International Business Machines Corporation Three dimensional integrated deep trench decoupling capacitors
US8298906B2 (en) * 2009-07-29 2012-10-30 International Business Machines Corporation Trench decoupling capacitor formed by RIE lag of through silicon via (TSV) etch
US8841766B2 (en) * 2009-07-30 2014-09-23 Taiwan Semiconductor Manufacturing Company, Ltd. Cu pillar bump with non-metal sidewall protection structure
US8377816B2 (en) * 2009-07-30 2013-02-19 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming electrical connections
US8497564B2 (en) * 2009-08-13 2013-07-30 Broadcom Corporation Method for fabricating a decoupling composite capacitor in a wafer and related structure
US8859424B2 (en) * 2009-08-14 2014-10-14 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor wafer carrier and method of manufacturing
US8324738B2 (en) 2009-09-01 2012-12-04 Taiwan Semiconductor Manufacturing Company, Ltd. Self-aligned protection layer for copper post structure
US8252665B2 (en) 2009-09-14 2012-08-28 Taiwan Semiconductor Manufacturing Company, Ltd. Protection layer for adhesive material at wafer edge
US8791549B2 (en) 2009-09-22 2014-07-29 Taiwan Semiconductor Manufacturing Company, Ltd. Wafer backside interconnect structure connected to TSVs
US8254142B2 (en) * 2009-09-22 2012-08-28 Wintec Industries, Inc. Method of using conductive elastomer for electrical contacts in an assembly
CN102033877A (zh) * 2009-09-27 2011-04-27 阿里巴巴集团控股有限公司 检索方法和装置
US8647925B2 (en) * 2009-10-01 2014-02-11 Taiwan Semiconductor Manufacturing Company, Ltd. Surface modification for handling wafer thinning process
US8264067B2 (en) * 2009-10-09 2012-09-11 Taiwan Semiconductor Manufacturing Company, Ltd. Through silicon via (TSV) wire bond architecture
US8593825B2 (en) * 2009-10-14 2013-11-26 Wintec Industries, Inc. Apparatus and method for vertically-structured passive components
US7969013B2 (en) * 2009-10-22 2011-06-28 Taiwan Semiconductor Manufacturing Company, Ltd. Through silicon via with dummy structure and method for forming the same
US8659155B2 (en) * 2009-11-05 2014-02-25 Taiwan Semiconductor Manufacturing Company, Ltd. Mechanisms for forming copper pillar bumps
US8283745B2 (en) 2009-11-06 2012-10-09 Taiwan Semiconductor Manufacturing Company, Ltd. Method of fabricating backside-illuminated image sensor
US8558345B2 (en) * 2009-11-09 2013-10-15 International Business Machines Corporation Integrated decoupling capacitor employing conductive through-substrate vias
US8405201B2 (en) * 2009-11-09 2013-03-26 Taiwan Semiconductor Manufacturing Company, Ltd. Through-silicon via structure
TWI436463B (zh) * 2009-12-31 2014-05-01 Advanced Semiconductor Eng 半導體封裝結構及其製造方法
TWI412114B (zh) * 2009-12-31 2013-10-11 Advanced Semiconductor Eng 半導體封裝結構及其製造方法
TWI423390B (zh) * 2010-01-05 2014-01-11 Univ Southern Taiwan The method of making microperforated structure by three-dimensional silicon perforation technique (TSV) and its microperforated structure for micro-nozzle, step-up structure and filter sheet
US10297550B2 (en) * 2010-02-05 2019-05-21 Taiwan Semiconductor Manufacturing Company, Ltd. 3D IC architecture with interposer and interconnect structure for bonding dies
US8610270B2 (en) * 2010-02-09 2013-12-17 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and semiconductor assembly with lead-free solder
US8252682B2 (en) * 2010-02-12 2012-08-28 Taiwan Semiconductor Manufacturing Company, Ltd. Method for thinning a wafer
US8237272B2 (en) * 2010-02-16 2012-08-07 Taiwan Semiconductor Manufacturing Company, Ltd. Conductive pillar structure for semiconductor substrate and method of manufacture
US8390009B2 (en) * 2010-02-16 2013-03-05 Taiwan Semiconductor Manufacturing Company, Ltd. Light-emitting diode (LED) package systems
US8466059B2 (en) 2010-03-30 2013-06-18 Taiwan Semiconductor Manufacturing Company, Ltd. Multi-layer interconnect structure for stacked dies
US8222139B2 (en) 2010-03-30 2012-07-17 Taiwan Semiconductor Manufacturing Company, Ltd. Chemical mechanical polishing (CMP) processing of through-silicon via (TSV) and contact plug simultaneously
US8507940B2 (en) 2010-04-05 2013-08-13 Taiwan Semiconductor Manufacturing Company, Ltd. Heat dissipation by through silicon plugs
US8174124B2 (en) 2010-04-08 2012-05-08 Taiwan Semiconductor Manufacturing Co., Ltd. Dummy pattern in wafer backside routing
US8455995B2 (en) 2010-04-16 2013-06-04 Taiwan Semiconductor Manufacturing Company, Ltd. TSVs with different sizes in interposers for bonding dies
US9293366B2 (en) 2010-04-28 2016-03-22 Taiwan Semiconductor Manufacturing Company, Ltd. Through-substrate vias with improved connections
US8519538B2 (en) 2010-04-28 2013-08-27 Taiwan Semiconductor Manufacturing Company, Ltd. Laser etch via formation
US8441124B2 (en) 2010-04-29 2013-05-14 Taiwan Semiconductor Manufacturing Company, Ltd. Cu pillar bump with non-metal sidewall protection structure
US8866301B2 (en) 2010-05-18 2014-10-21 Taiwan Semiconductor Manufacturing Company, Ltd. Package systems having interposers with interconnection structures
US20110287663A1 (en) * 2010-05-21 2011-11-24 Gailus Mark W Electrical connector incorporating circuit elements
US9048233B2 (en) 2010-05-26 2015-06-02 Taiwan Semiconductor Manufacturing Company, Ltd. Package systems having interposers
US9059026B2 (en) 2010-06-01 2015-06-16 Taiwan Semiconductor Manufacturing Company, Ltd. 3-D inductor and transformer
US8471358B2 (en) 2010-06-01 2013-06-25 Taiwan Semiconductor Manufacturing Company, Ltd. 3D inductor and transformer
US9018758B2 (en) 2010-06-02 2015-04-28 Taiwan Semiconductor Manufacturing Company, Ltd. Cu pillar bump with non-metal sidewall spacer and metal top cap
US8362591B2 (en) 2010-06-08 2013-01-29 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated circuits and methods of forming the same
US8411459B2 (en) 2010-06-10 2013-04-02 Taiwan Semiconductor Manufacturing Company, Ltd Interposer-on-glass package structures
US8500182B2 (en) 2010-06-17 2013-08-06 Taiwan Semiconductor Manufacturing Company, Ltd. Vacuum wafer carriers for strengthening thin wafers
US8896136B2 (en) 2010-06-30 2014-11-25 Taiwan Semiconductor Manufacturing Company, Ltd. Alignment mark and method of formation
US8319336B2 (en) 2010-07-08 2012-11-27 Taiwan Semiconductor Manufacturing Company, Ltd. Reduction of etch microloading for through silicon vias
US8338939B2 (en) * 2010-07-12 2012-12-25 Taiwan Semiconductor Manufacturing Company, Ltd. TSV formation processes using TSV-last approach
US8999179B2 (en) 2010-07-13 2015-04-07 Taiwan Semiconductor Manufacturing Company, Ltd. Conductive vias in a substrate
US8722540B2 (en) 2010-07-22 2014-05-13 Taiwan Semiconductor Manufacturing Company, Ltd. Controlling defects in thin wafer handling
US9299594B2 (en) 2010-07-27 2016-03-29 Taiwan Semiconductor Manufacturing Company, Ltd. Substrate bonding system and method of modifying the same
US8674510B2 (en) 2010-07-29 2014-03-18 Taiwan Semiconductor Manufacturing Company, Ltd. Three-dimensional integrated circuit structure having improved power and thermal management
US8846499B2 (en) 2010-08-17 2014-09-30 Taiwan Semiconductor Manufacturing Company, Ltd. Composite carrier structure
US8546254B2 (en) 2010-08-19 2013-10-01 Taiwan Semiconductor Manufacturing Company, Ltd. Mechanisms for forming copper pillar bumps using patterned anodes
US8507358B2 (en) 2010-08-27 2013-08-13 Taiwan Semiconductor Manufacturing Company, Ltd. Composite wafer semiconductor
US8693163B2 (en) 2010-09-01 2014-04-08 Taiwan Semiconductor Manufacturing Company, Ltd. Cylindrical embedded capacitors
US8928159B2 (en) 2010-09-02 2015-01-06 Taiwan Semiconductor Manufacturing & Company, Ltd. Alignment marks in substrate having through-substrate via (TSV)
US8502338B2 (en) 2010-09-09 2013-08-06 Taiwan Semiconductor Manufacturing Company, Ltd. Through-substrate via waveguides
US8928127B2 (en) 2010-09-24 2015-01-06 Taiwan Semiconductor Manufacturing Company, Ltd. Noise decoupling structure with through-substrate vias
US8525343B2 (en) 2010-09-28 2013-09-03 Taiwan Semiconductor Manufacturing Company, Ltd. Device with through-silicon via (TSV) and method of forming the same
US9190325B2 (en) 2010-09-30 2015-11-17 Taiwan Semiconductor Manufacturing Company, Ltd. TSV formation
US8580682B2 (en) 2010-09-30 2013-11-12 Taiwan Semiconductor Manufacturing Company, Ltd. Cost-effective TSV formation
KR20120034410A (ko) * 2010-10-01 2012-04-12 삼성전자주식회사 반도체 장치 및 제조 방법
US8836116B2 (en) 2010-10-21 2014-09-16 Taiwan Semiconductor Manufacturing Company, Ltd. Wafer level packaging of micro-electro-mechanical systems (MEMS) and complementary metal-oxide-semiconductor (CMOS) substrates
US8519409B2 (en) 2010-11-15 2013-08-27 Taiwan Semiconductor Manufacturing Company, Ltd. Light emitting diode components integrated with thermoelectric devices
US8567837B2 (en) 2010-11-24 2013-10-29 Taiwan Semiconductor Manufacturing Company, Ltd. Reconfigurable guide pin design for centering wafers having different sizes
FR2968130A1 (fr) 2010-11-30 2012-06-01 St Microelectronics Sa Dispositif semi-conducteur comprenant un condensateur et un via de connexion electrique et procede de fabrication
FR2968129A1 (fr) 2010-11-30 2012-06-01 St Microelectronics Sa Dispositif semi-conducteur comprenant un condensateur et un via de connexion électrique et procédé de fabrication
US8502340B2 (en) 2010-12-09 2013-08-06 Tessera, Inc. High density three-dimensional integrated capacitors
US9153462B2 (en) 2010-12-09 2015-10-06 Taiwan Semiconductor Manufacturing Company, Ltd. Spin chuck for thin wafer cleaning
US8742541B2 (en) 2010-12-09 2014-06-03 Tessera, Inc. High density three-dimensional integrated capacitors
US8773866B2 (en) 2010-12-10 2014-07-08 Taiwan Semiconductor Manufacturing Company, Ltd. Radio-frequency packaging with reduced RF loss
US8236584B1 (en) 2011-02-11 2012-08-07 Tsmc Solid State Lighting Ltd. Method of forming a light emitting diode emitter substrate with highly reflective metal bonding
US9059262B2 (en) 2011-02-24 2015-06-16 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuits including conductive structures through a substrate and methods of making the same
US8487410B2 (en) 2011-04-13 2013-07-16 Taiwan Semiconductor Manufacturing Company, Ltd. Through-silicon vias for semicondcutor substrate and method of manufacture
US8716128B2 (en) 2011-04-14 2014-05-06 Tsmc Solid State Lighting Ltd. Methods of forming through silicon via openings
US8546235B2 (en) 2011-05-05 2013-10-01 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuits including metal-insulator-metal capacitors and methods of forming the same
US8674883B2 (en) 2011-05-24 2014-03-18 Taiwan Semiconductor Manufacturing Company, Ltd. Antenna using through-silicon via
US8552518B2 (en) 2011-06-09 2013-10-08 Optiz, Inc. 3D integrated microelectronic assembly with stress reducing interconnects
US8900994B2 (en) 2011-06-09 2014-12-02 Taiwan Semiconductor Manufacturing Company, Ltd. Method for producing a protective structure
US8587127B2 (en) * 2011-06-15 2013-11-19 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structures and methods of forming the same
US8552485B2 (en) 2011-06-15 2013-10-08 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structure having metal-insulator-metal capacitor structure
US8766409B2 (en) 2011-06-24 2014-07-01 Taiwan Semiconductor Manufacturing Co., Ltd. Method and structure for through-silicon via (TSV) with diffused isolation well
US8531035B2 (en) 2011-07-01 2013-09-10 Taiwan Semiconductor Manufacturing Company, Ltd. Interconnect barrier structure and method
US8872345B2 (en) 2011-07-07 2014-10-28 Taiwan Semiconductor Manufacturing Company, Ltd. Forming grounded through-silicon vias in a semiconductor substrate
US8604491B2 (en) 2011-07-21 2013-12-10 Tsmc Solid State Lighting Ltd. Wafer level photonic device die structure and method of making the same
US8445296B2 (en) 2011-07-22 2013-05-21 Taiwan Semiconductor Manufacturing Company, Ltd. Apparatus and methods for end point determination in reactive ion etching
US9121237B2 (en) 2011-07-28 2015-09-01 Baker Hughes Incorporated Methods of coating wellbore tools and components having such coatings
US8618640B2 (en) * 2011-07-29 2013-12-31 Taiwan Semiconductor Manufacturing Company, Ltd. Method of shielding through silicon vias in a passive interposer
US8809073B2 (en) 2011-08-03 2014-08-19 Taiwan Semiconductor Manufacturing Company, Ltd. Apparatus and methods for de-embedding through substrate vias
US9159907B2 (en) 2011-08-04 2015-10-13 Taiwan Semiconductor Manufacturing Company, Ltd. Hybrid film for protecting MTJ stacks of MRAM
US8748284B2 (en) 2011-08-12 2014-06-10 Taiwan Semiconductor Manufacturing Company, Ltd. Method of manufacturing decoupling MIM capacitor designs for interposers
US8525278B2 (en) 2011-08-19 2013-09-03 Taiwan Semiconductor Manufacturing Company, Ltd. MEMS device having chip scale packaging
US8546886B2 (en) 2011-08-24 2013-10-01 Taiwan Semiconductor Manufacturing Company, Ltd. Controlling the device performance by forming a stressed backside dielectric layer
US8604619B2 (en) 2011-08-31 2013-12-10 Taiwan Semiconductor Manufacturing Company, Ltd. Through silicon via keep out zone formation along different crystal orientations
US8610188B2 (en) * 2011-09-15 2013-12-17 GlobalFoundries, Inc. Integrated circuit decoupling capacitor arrangement
US20130082383A1 (en) * 2011-10-03 2013-04-04 Texas Instruments Incorporated Electronic assembly having mixed interface including tsv die
US8686522B2 (en) 2011-10-13 2014-04-01 International Business Machines Corporation Semiconductor trench inductors and transformers
US8803322B2 (en) 2011-10-13 2014-08-12 Taiwan Semiconductor Manufacturing Company, Ltd. Through substrate via structures and methods of forming the same
US9087838B2 (en) 2011-10-25 2015-07-21 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and method for a high-K transformer with capacitive coupling
US8610247B2 (en) 2011-12-30 2013-12-17 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and method for a transformer with magnetic features
US8659126B2 (en) 2011-12-07 2014-02-25 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit ground shielding structure
US8896089B2 (en) 2011-11-09 2014-11-25 Taiwan Semiconductor Manufacturing Company, Ltd. Interposers for semiconductor devices and methods of manufacture thereof
US10381254B2 (en) 2011-11-29 2019-08-13 Taiwan Semiconductor Manufacturing Co., Ltd. Wafer debonding and cleaning apparatus and method
US9390949B2 (en) 2011-11-29 2016-07-12 Taiwan Semiconductor Manufacturing Company, Ltd. Wafer debonding and cleaning apparatus and method of use
US11264262B2 (en) 2011-11-29 2022-03-01 Taiwan Semiconductor Manufacturing Company, Ltd. Wafer debonding and cleaning apparatus
US8803316B2 (en) 2011-12-06 2014-08-12 Taiwan Semiconductor Manufacturing Company, Ltd. TSV structures and methods for forming the same
US8546953B2 (en) 2011-12-13 2013-10-01 Taiwan Semiconductor Manufacturing Co., Ltd. Through silicon via (TSV) isolation structures for noise reduction in 3D integrated circuit
US8890293B2 (en) 2011-12-16 2014-11-18 Taiwan Semiconductor Manufacturing Company, Ltd. Guard ring for through vias
US8580647B2 (en) 2011-12-19 2013-11-12 Taiwan Semiconductor Manufacturing Company, Ltd. Inductors with through VIAS
US8618631B2 (en) 2012-02-14 2013-12-31 Taiwan Semiconductor Manufacturing Co., Ltd. On-chip ferrite bead inductor
US9618712B2 (en) 2012-02-23 2017-04-11 Taiwan Semiconductor Manufacturing Company, Ltd. Optical bench on substrate and method of making the same
US10180547B2 (en) 2012-02-23 2019-01-15 Taiwan Semiconductor Manufacturing Company, Ltd. Optical bench on substrate
US8860114B2 (en) 2012-03-02 2014-10-14 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and method for a fishbone differential capacitor
US9293521B2 (en) 2012-03-02 2016-03-22 Taiwan Semiconductor Manufacturing Co., Ltd. Concentric capacitor structure
US9312432B2 (en) 2012-03-13 2016-04-12 Tsmc Solid State Lighting Ltd. Growing an improved P-GaN layer of an LED through pressure ramping
US9139420B2 (en) 2012-04-18 2015-09-22 Taiwan Semiconductor Manufacturing Company, Ltd. MEMS device structure and methods of forming same
TWI637467B (zh) * 2012-05-24 2018-10-01 欣興電子股份有限公司 中介基材及其製作方法
US10211139B2 (en) 2012-05-24 2019-02-19 Unimicron Technology Corp. Chip package structure
US9282646B2 (en) 2012-05-24 2016-03-08 Unimicron Technology Corp. Interposed substrate and manufacturing method thereof
US9583365B2 (en) 2012-05-25 2017-02-28 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming interconnects for three dimensional integrated circuit
CN103456715B (zh) * 2012-06-04 2017-06-09 欣兴电子股份有限公司 中介基材及其制作方法
US8618651B1 (en) * 2012-11-01 2013-12-31 Nvidia Corporation Buried TSVs used for decaps
US9466662B2 (en) * 2012-12-28 2016-10-11 Intel Corporation Energy storage devices formed with porous silicon
US9484211B2 (en) 2013-01-24 2016-11-01 Taiwan Semiconductor Manufacturing Company, Ltd. Etchant and etching process
US9490133B2 (en) 2013-01-24 2016-11-08 Taiwan Semiconductor Manufacturing Company, Ltd. Etching apparatus
US9041152B2 (en) 2013-03-14 2015-05-26 Taiwan Semiconductor Manufacturing Company, Ltd. Inductor with magnetic material
US8901711B1 (en) 2013-08-07 2014-12-02 International Business Machines Corporation Horizontal metal-insulator-metal capacitor
TWI521664B (zh) * 2013-09-03 2016-02-11 瑞昱半導體股份有限公司 金屬溝渠去耦合電容結構與形成金屬溝渠去耦合電容結構的方法
JP2017519372A (ja) 2014-04-25 2017-07-13 インテル コーポレイション 集積回路パッケージ基板
CN106463467B (zh) * 2014-06-16 2019-12-10 英特尔公司 不使用穿硅通孔(tsv)将存储器管芯直接集成到逻辑管芯的方法
US9653534B2 (en) 2014-12-17 2017-05-16 International Business Machines Corporation Trench metal-insulator-metal capacitor with oxygen gettering layer
KR102423254B1 (ko) * 2015-06-22 2022-07-20 인텔 코포레이션 커패시터를 포함하는 집적 회로
US10121849B2 (en) * 2015-11-16 2018-11-06 Micron Technology, Inc. Methods of fabricating a semiconductor structure
EP3174094B1 (en) * 2015-11-25 2018-09-26 IMEC vzw Integrated circuit comprising a metal-insulator-metal capacitor and fabrication method thereof
EP3424078A4 (en) * 2016-02-29 2019-05-01 Smoltek AB INTERMEDIATE WITH A NANOSTRUCTURE ENERGY STORAGE DEVICE
US9991215B1 (en) * 2017-01-19 2018-06-05 Nanya Technology Corporation Semiconductor structure with through substrate via and manufacturing method thereof
TWI766072B (zh) * 2017-08-29 2022-06-01 瑞典商斯莫勒科技公司 能量存儲中介層裝置、電子裝置和製造方法
FR3077927B1 (fr) 2018-02-13 2023-02-10 St Microelectronics Crolles 2 Sas Capteur d'images a eclairement par la face arriere
MX2020013123A (es) * 2018-06-05 2021-02-18 Rochemont L Pierre De Modulo con canales de entrada/salida (e/s) de alto ancho de banda maximo.
TW202038266A (zh) * 2018-11-26 2020-10-16 瑞典商斯莫勒科技公司 具有離散的能量儲存構件之半導體組件
US10818646B2 (en) * 2019-02-13 2020-10-27 Infineon Technologies Ag Power stage device with carrier frame for power stage module and integrated inductor
US11201205B2 (en) * 2019-07-31 2021-12-14 Taiwan Semiconductor Manufacturing Company, Ltd. Interconnect layout for semiconductor device
KR20210098582A (ko) 2020-01-31 2021-08-11 삼성전자주식회사 반도체 장치
US11784215B2 (en) 2020-03-02 2023-10-10 Google Llc Deep trench capacitors embedded in package substrate
US20210391245A1 (en) * 2020-06-11 2021-12-16 Nanya Technology Corporation Semiconductor package device
US11537154B2 (en) 2020-12-09 2022-12-27 Samsung Electronics Co., Ltd. Mobile devices and methods controlling power in mobile devices
US20230215849A1 (en) * 2022-01-05 2023-07-06 Qualcomm Incorporated PACKAGE SUBSTRATES WITH EMBEDDED DIE-SIDE, FACE-UP DEEP TRENCH CAPACITOR(S) (DTC(s)), AND RELATED INTEGRATED CIRCUIT (IC) PACKAGES AND FABRICATION METHODS

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH06132479A (ja) * 1992-10-16 1994-05-13 Matsushita Electron Corp 半導体装置およびその製造方法
US5994153A (en) * 1996-11-05 1999-11-30 Sony Corporation Fabrication process of a capacitor structure of semiconductor memory cell
US6004839A (en) * 1996-01-17 1999-12-21 Nec Corporation Semiconductor device with conductive plugs

Family Cites Families (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS63131561A (ja) * 1986-11-18 1988-06-03 インターナシヨナル・ビジネス・マシーンズ・コーポレーシヨン 電子パツケージ
US5772451A (en) * 1993-11-16 1998-06-30 Form Factor, Inc. Sockets for electronic components and methods of connecting to electronic components
US5811868A (en) * 1996-12-20 1998-09-22 International Business Machines Corp. Integrated high-performance decoupling capacitor
US6294420B1 (en) * 1997-01-31 2001-09-25 Texas Instruments Incorporated Integrated circuit capacitor
US6054754A (en) * 1997-06-06 2000-04-25 Micron Technology, Inc. Multi-capacitance lead frame decoupling device
US6225699B1 (en) * 1998-06-26 2001-05-01 International Business Machines Corporation Chip-on-chip interconnections of varied characteristics
US6221769B1 (en) * 1999-03-05 2001-04-24 International Business Machines Corporation Method for integrated circuit power and electrical connections via through-wafer interconnects
US6418029B1 (en) * 2000-02-28 2002-07-09 Mckee James S. Interconnect system having vertically mounted passive components on an underside of a substrate
US6665194B1 (en) * 2000-11-09 2003-12-16 International Business Machines Corporation Chip package having connectors on at least two sides
US6638077B1 (en) * 2001-02-26 2003-10-28 High Connection Density, Inc. Shielded carrier with components for land grid array connectors
US6723600B2 (en) * 2001-04-18 2004-04-20 International Business Machines Corporation Method for making a metal-insulator-metal capacitor using plate-through mask techniques
US6502231B1 (en) * 2001-05-31 2002-12-31 Applied Micro Circuits Corporation Integrated circuit template cell system and method
US6645851B1 (en) * 2002-09-17 2003-11-11 Taiwan Semiconductor Manufacturing Company Method of forming planarized coatings on contact hole patterns of various duty ratios

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH06132479A (ja) * 1992-10-16 1994-05-13 Matsushita Electron Corp 半導体装置およびその製造方法
US6004839A (en) * 1996-01-17 1999-12-21 Nec Corporation Semiconductor device with conductive plugs
US5994153A (en) * 1996-11-05 1999-11-30 Sony Corporation Fabrication process of a capacitor structure of semiconductor memory cell

Also Published As

Publication number Publication date
US20050023664A1 (en) 2005-02-03
JP4222929B2 (ja) 2009-02-12
TW200421565A (en) 2004-10-16
CN1507046A (zh) 2004-06-23
KR100598665B1 (ko) 2006-07-13
KR20040050846A (ko) 2004-06-17
US20040108587A1 (en) 2004-06-10
US7030481B2 (en) 2006-04-18
US6962872B2 (en) 2005-11-08
TWI235466B (en) 2005-07-01
JP2004193614A (ja) 2004-07-08

Similar Documents

Publication Publication Date Title
CN1309073C (zh) 高密度芯片载体及其构成方法
JP5285829B2 (ja) インターポーザおよびその製造方法
CN102956439B (zh) 金属绝缘体金属电容器及制造方法
US6593185B1 (en) Method of forming embedded capacitor structure applied to logic integrated circuit
CN101443907B (zh) 组件、芯片及操作组件和芯片的方法
US7843035B2 (en) MIM capacitors with catalytic activation layer
CN100479131C (zh) 具有增大电容耦合的迹线的制造方法及相应的迹线
JP2009539239A (ja) 高qのウェハ裏面のキャパシタを有する半導体集積回路デバイス(集積回路デバイスおよび集積回路デバイスを形成する方法)
JP2001313371A (ja) 金属キャパシタおよびその形成方法
US20030040161A1 (en) Method of producing an integrated component with a metal-insulator-metal capacitor
US7227214B2 (en) Semiconductor device and method of manufacturing the same
CN110060982A (zh) 用于中介片的电容器及其制造方法
US20030001188A1 (en) High-dielectric constant metal-insulator metal capacitor in VLSI multi-level metallization systems
EP2738827B1 (en) MIMCAP structure in a semiconductor device package
US20030113974A1 (en) Stacked metal-insulator-metal capacitor structures in between interconnection layers
WO2003061010A2 (en) Integrated ground shield
US11469295B1 (en) Decoupling capacitor integrated in system on chip (SOC) device
KR100897822B1 (ko) 시스템 인 패키지의 제조 방법
KR20220144315A (ko) 제어가능한 격리 구조물을 갖는 csi 및 이의 제조 및 사용 방법
KR101097168B1 (ko) 반도체 소자의 형성 방법
KR20130017090A (ko) 강유전체 커패시터 모듈, 제조 방법 및 디자인 스트럭쳐

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
TR01 Transfer of patent right
TR01 Transfer of patent right

Effective date of registration: 20171109

Address after: Grand Cayman, Cayman Islands

Patentee after: GLOBALFOUNDRIES INC.

Address before: American New York

Patentee before: Core USA second LLC

Effective date of registration: 20171109

Address after: American New York

Patentee after: Core USA second LLC

Address before: American New York

Patentee before: International Business Machines Corp.

CX01 Expiry of patent term
CX01 Expiry of patent term

Granted publication date: 20070404