CN1309524C - 控制衬底温度均匀性的装置和方法 - Google Patents

控制衬底温度均匀性的装置和方法 Download PDF

Info

Publication number
CN1309524C
CN1309524C CNB018195113A CN01819511A CN1309524C CN 1309524 C CN1309524 C CN 1309524C CN B018195113 A CNB018195113 A CN B018195113A CN 01819511 A CN01819511 A CN 01819511A CN 1309524 C CN1309524 C CN 1309524C
Authority
CN
China
Prior art keywords
pedestal
temperature
pyrometer
substrate
heating
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
CNB018195113A
Other languages
English (en)
Other versions
CN1607989A (zh
Inventor
Y·博古斯拉夫斯基
A·古拉瑞
A·N·帕特尔
J·C·拉蒙
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Veeco Instruments Inc
Original Assignee
Emcore Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Emcore Corp filed Critical Emcore Corp
Publication of CN1607989A publication Critical patent/CN1607989A/zh
Application granted granted Critical
Publication of CN1309524C publication Critical patent/CN1309524C/zh
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • GPHYSICS
    • G01MEASURING; TESTING
    • G01JMEASUREMENT OF INTENSITY, VELOCITY, SPECTRAL CONTENT, POLARISATION, PHASE OR PULSE CHARACTERISTICS OF INFRARED, VISIBLE OR ULTRAVIOLET LIGHT; COLORIMETRY; RADIATION PYROMETRY
    • G01J5/00Radiation pyrometry, e.g. infrared or optical thermometry
    • G01J5/0022Radiation pyrometry, e.g. infrared or optical thermometry for sensing the radiation of moving bodies
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4584Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally the substrate being rotated
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/46Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for heating the substrate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67248Temperature monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68764Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a movable susceptor, stage or support, others than those only rotating on their own vertical axis, e.g. susceptors on a rotating caroussel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68771Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by supporting more than one semiconductor substrate

Abstract

提供一种装置和方法,该装置和方法用于在化学气相沉积反应室内提供充分均匀的衬底温度。该方法和装置利用一个基架(110)固定反应室内的衬底(160),以及将多个加温元件(120,130,140)安置成能加热该基架和衬底。衬底高温计(138,139)测量衬底的温度以提供一个表示加工温度的信号。该信号用在反馈回路(151,134,132)中,控制一个或多个加温元件。提供至少两个对准在基架不同区域的基架高温计(126,136,146)。比较来自基架高温计的信号以提供一个不均匀温度的指示。该指示用于一个单独的反馈回路(149,124,122)内,调整其他的加温元件,以保持基架上温度的均匀性。

Description

控制衬底温度均匀性的装置和方法
技术领域
本发明涉及衬底温度的测量和控制,本发明特别涉及到在衬底上沉积一层涂层时,控制衬底温度均匀性的一种装置和方法。
背景技术
各种行业使用不同的方法在固体衬底上形成一层薄层或薄膜。例如,半导体装置的生产使用化学汽相沉积技术或其他的沉积技术在衬底上沉积各种材料。在半导体装置生产过程中,加热的衬底(例如平面硅或砷化镓晶片或者其他的合适的材料),暴露于能与之起反应的气体中,在晶片表面沉积所需要的材料。通常,沉积的材料形成取向附生膜,该附生膜复制了底层晶片的晶体点阵结构。
因此,众所周知,这些涂层的晶片再进行处理,制成半导体装置,比如激光器,晶体管,发光二极管,和各种其他的装置。例如,在发光二极管制作过程中,沉积晶片上的薄膜层形成二极管的有源元件。沉积的薄膜层的厚度、成分和质量决定了所生产的半导体装置的特性。因而,沉积处理必须能够在每片晶片的前表面上沉积成分和厚度均匀的薄膜。随着大直径晶片的使用,以及能同时在几片晶片沉积涂层的装置的使用,这种一致性的要求变得日益迫切。
在一个典型的现有技术的沉积装置中,如图1所示,晶片10安装在晶片基架12内,将晶片基架12依次安装在基座14上。基座14可以安装在旋转支撑轴16上,该旋转支撑轴使晶片基架旋转。通常,基座14,晶片基架12和晶片10放置在一个封闭处理的反应堆18内。加热装置20对称地放置在基座14下面,给基座加热,这使得晶片基架12和安装在上面的晶片10也受热。晶片基架12的旋转是用来提高沉积区温度的均匀性,以及在沉积区上的原材料气体或蒸汽的均匀性。正如技术中知道的,把反应物放进反应室内,然后在晶片表面上沉积一层薄膜。
传统的晶片基架(如图2所示的晶片基架12)包括在其表面上的多个圆柱形晶片盒22用以固定这些晶片,因为在镀膜处理时,该晶片基架会旋转。一般地,这些晶片基架还包括一个环形的法兰24,用以举起晶片基架并将其运进或运出反应室。在晶片基架的下表面,还包括一个环形壁26,用于将晶片基架定位并固定在基座的中心位置,因为镀膜处理时,当该装置要旋转,并用于在基座的上表面和晶片基架的下表面之间形成一层间隙28,该隙消除由晶片基架和基座之间的接触点产生晶片基架的局部加热,并因此提高了从基座到晶片基架的热量传送的均匀性。
以一种可重复的、准确的和不依赖处理条件的方法准确地测量和控制晶片的温度,是很重要的。同时,保持正在镀膜的晶片表面和每片晶片表面上某一均匀的温度,也是很重要的。目标加工温度的偏差和晶片温度仅几摄氏度的不均匀性,也会导致由这些晶片制成的装置有缺陷,而导致最终品的不合格。处理过程中,通常用高温计测量晶片表面的温度。高温计是一种非接触式的测量装置,检测晶片表面的热辐射。
用高温计进行温度测量的精确度很大程度上取决于被测量体表面的光学特性,特别是发射率。发射率是一个在相同温度下比较来自实际表面的热辐射和来自“黑体”或理想的辐射体的热辐射的参数。用一个黑体辐射源对高温计进行校准。半导体晶片的发射率值取决于沉积在半导体晶片上的材料、衬底掺杂、表面粗糙度和晶片温度。发射率也取决于晶片表面上薄膜的厚度,并且该发射率值在镀膜处理中还会改变。因此,半导体晶片温度的测量希望包括使用发射率补偿高温计,设计成能用于克服由晶片发射率改变引起的测量误差。
需要一种系统,能在晶片表面上提供更加均匀的温度分布,以致在每片晶片的整个表面上能沉积一屋更均匀的涂层。
发明内容
本发明提供用于控制衬底加热的方法和装置。本发明的一个方面包括用于给化学汽相沉积反应室内的衬底加热的装置。该装置包括一个基架,用于在反应室内固定至少一个衬底,而该基架包括第一区域和第二区域。基架通常有一个中心轴,而第一和第二区域只是在基架上离中心轴的不同径向距离沉积的区域。可以提供一个旋转驱动器,使基架绕中心轴转动。
这些装置也希望包括第一个和第二个加温元件,安置成能给基架和至少一个的衬底加热。较佳地安置第一个加温元件优先给第一区域加热,也就是,主要给基架的第一区域提供热量。这些装置最好还包括至少一个衬底高温计,能直接在衬底表面测量加工温度。衬底高温计希望是发射率补偿高温计,如此,加工温度测量表示不依赖于衬底发射率的衬底温度。这些装置希望更进一步包括至少两个基架高温计,每个这种基架高温计与基架表面的一个区域相联系。至少两个基架高温计较佳地是非发射率补偿高温计。每个基架高温计用来提供一个区域信号,表示来自基架相联区域的热辐射。
该装置最佳地包括一个与第一个和第二个基架高温计连接的第一比较器。安置该第一比较器,以提供第一个差分信号,表示第一和第二区域信号之间的差别。最佳地,一个或多个控制器构造并安置成能至少部分依据加工温度,控制第二个加温元件的工作。控制器也可以至少部分依据第一差分信号,控制第一个加温元件的工作。
最佳地,一个或多个控制器能提供单独的反馈回路,如此,依据加工温度而不管差分信号,来控制第二个加温元件,但是第一个加温元件是依据差分信号而不管加工温度来控制的。通常在处理期间,不知道基架表面的发射率,并且该发射率会改变。
因为基架表面通常具有漫反射系数,一个发射率补偿高温计不能用于校正该基架的发射率。因此,来自基架高温计的区域信号不能正常地提供基架温度的准确测量。但是,因为在基架的两个区域内,基架表面的发射率通常是相同的,由比较器提供的差分信号表示两个区域之间的温度差。通过最小化该差分信号,该系统能保证跨过基架两个区域的温度均匀性,进而提高衬底的温度均匀性。
可以使用不止两个区域。例如,第一区域可以径向地放置在第二区域的内侧,而且基架可以有第三区域,径向地放置在第二区域的外侧。这些装置可以包括第三个基架高温计,用来提供第三区域的信号,表示来自基架第三区域的热辐射。可安置第二比较器,以提供第二差分信号,表示第二个和第三区域信号之间的差别。一个或多个控制器用于至少部分依据第二差分信号,控制第三个加温元件。
本发明的另一个方面包括一种控制化学气相沉积装置内的衬底温度的方法。依据本发明这个方面的方法希望包括提供一个基架,用于支撑在化学气相沉积装置内的衬底。至少一个加温元件用于把衬底和基架加热到一个加工设置温度。根据本发明的一个方面,较佳地使用一个发射率补偿高温计测量衬底的温度。从基架上的至少两个区域获得一个与基架温度有关的参数的指示。例如,某参数的指示可以表示来自两个区域的热辐射强度,由非发射率补偿高温计测得。在至少两个区域内的这种参数的指示进行相互比较,以获得与两个区域间的温度差别有关的一个差分信号。对传送到基架的区域中至少一个区域的热量进行调整,例如,通过对输入到一个或多个加温元件的功率进行调整,直到差分信号到达一个预选电平。通常,这个预选电平实际上等于零,因此这两个区域会保持在相同的温度。
本发明另外的特征和优点将会在以下的描述中阐明。应当认为前面所述的大体描述和下列的详细描述是示范性的,并且打算提供如权利要求所述的更深入的说明。
附图说明
图1是现有技术的镀膜装置的示意性前视横截面图;
图2是现有技术晶片基架的示意性前视横截面图,显示了安装在晶片基架上面的晶片,一个基座,一个用于支撑基座的支撑轴和一种用于加热其座的传统加热装置;
图3是本发明装置的一个实施例的原理和前视横截面图;
图4是图1实施例中所用的晶片基架的顶视平面图;及
图5是实验所得数据的图解表示,显示了晶片温度均匀性和基架温度均匀性之间的关系。
具体实施方式
现在,将详细参考本发明较佳实施例,其中的一个例子在附图中阐明。图3示出本发明装置的一个较佳实施例。如所示的,按一种组合关系将衬底基架110固定在基座112上,基座112安装在主轴114上,绕中心轴115旋转。
衬底基架110可以由耐熔材料制成,例如钼,石墨或涂了一层石墨的碳化硅,该衬度基架110一般为盘式实体,具有上表面150和下表面152。上表面150通常按一个平面延伸,并包括多个圆柱形的腔体或晶片盒(pocket)154,每个晶片盒的大小都足以放得下衬底160。现在参考图4,所示的衬底基架110包括十六个腔体或晶片盒154,总共容纳16个衬底160,这些晶片盒或许是,例如,半导体晶片。晶片盒154的位置是这样安置的,4个晶片盒154a安置在邻近轴115的内圈,10个晶片盒154b安置在环绕着内圈的外圈上。然而,应该认为基架可以设计成能够容纳少至一个,多至四十或更多个衬底。
第一个加温元件120被放置在基架的第一个或径向内环带121的下面,因此,来自第一个加温元件的热量优先直接传给基架的内环带。也就是说,内侧加温元件120提供的热量集中在基架的内环带121上,尽管内侧加温元件发出的热量也将某种程度地影响基架其他环带的温度。第二个或中间加温元件130被安装在第二个或中间环带131的下面,该中间环带131位于区域121径向外侧,因此,加温元件130优先给中间环带加热。相似地,第三个或外侧加温元件140较佳地安置在基架第三个或外环带141的下面,该外环带位于区域131径向的外侧,因此,加温元件140优先加热外环带。
加温元件120,130和140较佳地相对于轴115对称。这些加温元件可以是传统的电阻加热器。中间的加温元件130的功率希望比其他的加温元件更大。同样地,中间加温元件的径向跨度rm希望比其他加温元件的相应的尺寸大。加温元件120与第一个或内侧电源122连在一起,内侧电源122依次与第一个或内侧控制器124连接,因此,控制器124能够调整由电源122提供的功率,并从而调整由元件120发出的热量。相似地,加温元件130与第二个或中间电源132连接,该电源依次与第二个或中间控制器连接。外侧加温元件140与第三个或外侧电源142连接,该外侧电源142依次与第三个或外侧控制器144连接。
这些装置还包括第一个或内侧基架高温计126,第二个或中间基架高温计136和第三个或外侧基架高温计146。这些基架高温计是非发射率补偿高温计。因此,每个基价高温计提供一个信号,表示碰撞到高温计上的热辐射。安置基架高温计126,以接收来自焦点150a的热辐射,焦点150a位于相对于反应室的一个固定位置。该焦点落在基架110的上表面150上,在基架的第一个或内环带121内。如参考图4最能理解的,基架110绕轴115的旋转将使得焦点150a在基架的上表面上,内环带121内掠过一条轨迹153a。轨迹1 53a与轴115同心,并且位于晶片盒150a的内圈之内。因此,不管基架的旋转位置,焦点150a将始终落在基架的上表面,而不是落在晶片盒之内所容纳的衬底上。所以,内侧基架高温计126提供一个信号,这里称作为第一个或内环带信号,表示从内环带121内的基架上表面150发出的热辐射。
第二个或中间基架高温计136接收来自焦点150b的热辐射,此焦点在中间环带131上,晶片盒154a的内圈与晶片盒154b的外圈之间,掠过一条轨迹153b。因此,第二个高温计136提供第二区域信号,表示在第二个或中间环带131上的基架上表面发出的热辐射。同样的,第三个或外侧高温计146探测来自焦点150c的热辐射,该焦点在第三个或外环带141上,外部晶片盒154b的外侧的外部表面掠过。因此,高温计146提供第三区域信号,表示由基架第三个或外环带发出的热辐射。
由基架高温计126,136和146提供的区域信号并不能准确地表现不同区域上基架的实际温度。来自基架每个区域的热辐射是基架表面发射率的一个函数,也是温度的函数。基架由未知发射率的材料制成,由于基架表面上可以沉积各种材料,其发射率随每次使用沉积装置而变化。此外,基架表面的发射率随温度变化而变化。然而,在基架整个上表面,基架的发射率和温度之间的关系实际上是相同的。因此,如果基架表面上的两个区域发出相同强度的热幅射,那么他们的温度是相同的。此外,至少在化学气相沉积中所用的温度的范围内,发出的热幅射强度仅随温度的变化而变化。因此,如果基架表面的第一部分发射的热幅射强度高于该表面的第二部分,第一部分的温度就高一些。所以,当由基架高温计提供的区域信号不能提供各个区域的绝对温度测量,这些信号包括一个与温度有关的参数指示---来自基架表面的热辐射。
第一个或内侧基架高温计126和第二个或中间基架高温计136的信号输出连接到第一个比较器149的输入接头,该比较器在它的输出端提供第一个差分信号。该第一个差分信号表示来自高温计126的第一区域信号和来自高温计136的第二区域信号之间的差别。第一差分信号的大小与区域信号之间差值的大小成比例,然而,第一差分信号的符号表示那一个区域信号大一些。第一个比较器149的输出连接到第一个或内侧控制器124,以使将第一差分信号提供给第一个控制器。第二个或中间的基架高温计136和第三个或外侧基架高温计146的信号输出连接到第二个比较器148的输入接头,以便第二个比较器能提供第二个差分信号,表示来自高温计146的第三或外环带信号和来自高温计136的第二或中间环带信号之间的差别。第二个比较器的输出连接到第三个或外侧控制器144的输入。
这些装置还包括两个衬底高温计138和139,他们是发射率补偿高温计。术语“发射率补偿高温计”指的是一种传统仪器。安置该仪器以测量物体的热辐射,并也可安置该仪器以测量有关物体发射率的一个物体参数。因此,该仪器提供一个能高精确度表示物体的温度的信号,而不管该物体发射率的变化。例如,除测量由物体发出的热辐射的传统元件之外,一个发射率补偿高温计可以包括一个瞄准需测量物体的幅射-发射元件,如激光器,和一个探测器,用于测定被物体反射的来自激光器的热辐射的比例。典型的发射率高温计仅为具有镜反射率的物体提供准确温度读数。
安置衬底高温计138,以监视焦点133a处的温度。因为基架绕轴115旋转,这个焦点在基架110的上表面,掠过一条轨迹135a,该轨迹横截晶片盒154a。因此,当焦点133a对准一个晶片盒的时候,如图4中所示,高温计测量放置在晶片盒内的衬底160的温度。衬底通常含有带有镜反射率的表面,并能够准确地测量衬底表面的发射率和温度。在基架其他的旋转位置上,焦点133a本身对准晶片盒之间的基架表面。因为基架表面有漫反射系数,高温计138不能准确地测量基架表面的温度。较佳地,高温计138在这些旋转位置内暂时无效,以便它提供一个只能表示衬底160温度的信号。例如,该装置可以包括检测基架和/或主轴114的旋转位置的装置(未示出),并且在焦点133a对准晶片盒154a间的那些旋转位置上,即刻中断来自高温计的输出信号。
其它的衬底高温计139测量焦点133b处的温度,该焦点掠过一条轨迹135b,该条轨迹横截晶片盒154b。高温计139按与高温计138相同的方式运行,但是高温计139提供一个表示安装在晶片盒154b内的衬底160的温度信号。
衬底高温计138和139连接到信号处理电路159,该电路安置成能均匀来自这些高温计的信号,以提供一个表示衬底平均温度的信号。衬底平均温度也常称作加工温度。信号处理电路159的输出连接到第二个或中间控制器134的输入。
运行过程中,在依据本发明一个实施例的一种方法内,晶片基架110装载衬底160。第二个或中间控制器134把由信号处理电路159传送的衬底平均温度或加工温度与一个加工设置温度进行比较,得到一个错误信号,并且给第二个或中间电源132提供一个校正信号。控制器的转移函数希望是一个比例积分微分,或“PID”控制函数,在此函数中,校正信号包括:与错误信号成比例的项,与错误信号超时的整数成比例的项和与错误信号的一阶导数成比例的项。因此,如果加工设置温度和平均加工温度之间存在差值,中间控制器134调整由中间电源132提供的功率。例如,如果加工温度低于加工设置温度,控制器134会发信号通知电源132增加传送给加温元件130的功率,直到加工温度约等于加工设置温度为止。
第三个或外侧控制器144对来自比较器148的第二个差分信号与表示所需差分信号的预选电平进行比较。通常,在希望将基架的第三个或外环带131与第二个或中间环带121保持相同温度的地方,这个预选电平为零。第三个或外侧控制器提供一个控制信号,希望使用一个类似于如上面所讨论的PID转移函数。该控制信号使第三个或外侧电源142增加或降低传送给外侧加温元件140的功率。
例如,如果来自比较器148的第二差分信号的符号表示外侧基架高温计146检测的热辐射比中间基架高温计136检测的多,那么表示基架的第三或外环带141的温度比第二或中间环带更热,第三个或外侧控制器144会发信号通知外侧电源142减少传送给外侧加温元件140的功率,直到差分信号达到预选电平为止。假设预选电平为零,达到这个电平表示来自第二个或中间基架高温计136的区域信号和来自第三个或外侧基架高温计146的区域信号完全相等,并因此表示基架的区域131和141的温度相同。当然,如果来自比较器148的差分信号最初表示第三个或外侧高温计146检测的热辐射少于第二个或中间的高温计136检测到的热幅射,控制器144会发信号通知电源142增加传送给第三个或外侧加温元件142的功率,从而增加传送到区域141的热量。
第一个或内侧控制器124的工作方式实际与第三个或外侧控制器144相同,只是控制器124响应来自比较器149的第一差分信号,并控制电源122以控制由第一个或内侧加温元件120产生的热量,并从而控制传送到第一个或内环带121的热量。因此,希望使用如上所述的PID转移函数,控制器124将来自比较器149的第一差分信号与预选电平进行比较,通常这个预选电平为零,依据这种比较产生一个错误信号,并依据该错误信号产生一个控制信号。该控制信号施加到第一个或内侧电源122。如果来自中间基架高温计136和内侧基架高温计126的区域信号不同,那么来自比较器149的第一差分信号会使内侧控制器124改变提供给内侧加温元件120的功率,直到来自内侧基架高温计126和中间基架高温计136的区域信号之间的差别减小到预选电平,通常这个电平为零,表示这两个高温计得到完全相等的热辐射,并因此第一个或内环带的温度与第二个或外环带的温度实际上相等。正如此处所用的,实际相等意味着在衬底表面的两个位置上的热辐射的差别小于约2%,较佳地应小于约1%,更佳地应小于约0.5%。
这样,上述的装置和方法通过使用发射率补偿衬底高温计直接测量其衬底温度,提供实际的衬底(晶片)温度的精确调整,而且通过使用测量来自基架的热辐射,保持基架上温度的均匀性,尽管基架有漫反射的表面,这使它不能实际获得发射率补偿的测量值,并从而使它不能实际获得基架的准确温度测量值。
实验表明,通过维持基架径向上的实际基架温度的均匀性,而维持保持加工温度,导致衬底表面上较好的温度均匀性。
图5是一种图解表示法,显示晶片和基架温度均匀性之间关系。Y轴表示温度,单位是摄氏度,X轴表示在衬底表面上的径向距离,以任意单位表示。实验显示,当外侧基架高温计读数高于内侧高温计读数时,如图5中上面的曲线所示,横过晶片表面上的温度变化可以大于7.5℃。当根据本发明的较佳实施例调整基架的温度时,使内侧基架高温计的温度指示值与外侧基架高温计的温度指示值相等时,跨过晶片表面的温度差别约小于5℃。
可以认为,本发明不限制于这儿所示和所述的高温计,加温元件,电源,比较器或控制器的数目或者排列。仅作为例子,可以使用多于三个的基架高温计以得到多于两个的差分信号。相反地,可以省略内侧加温元件120或外侧加温元件140,连同省略与省略的加温元件相关的基架高温计,比较器,控制器和电源,以提供仅有两个加温元件的一种系统。在这样的一种系统中,中间加温元件130用于给与省略的加温元件相关的基架区域加热,并因此该基架将只有两个单独可控制的区域。在更进一步的变化中,毫无选择地安置上面所述的实施例中的第二个或中间加温元件,以给整个基架充分地加热,因此该元件给第一区域121,第三区域141和第二区域131充分加热。在这种排列中,第一个加温元件120和第三个加温元件140给第一和第三区域121和141局部地提供额外的,增加的热量,以克服在这些区域发生的额外热量损失。
为清楚起见,比较器148,149,处理器159,和控制器124,134和144都以功能块的形式显示。那些技术熟练人员会明白,这些元件可以被分别实行,或者可以互相集成在一起。例如,信号处理元件,如比较器和控制器,可以在计算机内实行,该计算机具有通用处理器和合适的软件指令,模数转换器和数模转换器。也可以在单一仪器内实现几个基架高温计的功能,该仪器含有一个热幅射感应元件和一面移动镜或用于移动该仪器焦点位置的其他光学装置,因此该光学装置能检测不同时刻来自不同位置的热辐射。在这种情况下,当该仪器监视来自在另一个焦点的热幅射,以获得另一个区域信号时,该装置可以包括采样保存电路或数字存储器,该数字存储器用于保存表示来自一个焦点的一个区域信号或热幅射的一个数值。同样的,两个衬底高温计的功能可以集成在单个仪器内。再进一步,欠佳的变化,只有一个衬底高温计用于从单个焦点仅获得一个衬底温度,而该单个衬底温度用作加工温度。
在参考图1~4的上述较佳实施例中,通过与用于控制第二个或中间加温元件的反馈回路完全独立的反馈回路,  第二个或中间加热器只依据加工温度或衬底平均温度进行控制,而第一个或第三个(内侧和外侧)加温元件只依据差分信号进行控制。然而,在该方法的变化中,对某些或全部加热器的反馈回路可以部分地依据差分信号以及部分地依据加工温度。例如,通过同时增加第一个和第二个加温元件的功率,但是第一个加温元件的功率增加值小于第二个加温元件,可以调整控制器,以形成第一或内环带比第二或中间环带热,但是希望加工温度低的情况。一种能实现该目的的方法是,通过将第一个控制器124提供的控制信号与1的和乘以第二个控制器134(响应于加工温度)提供的控制信号,获得第一个电源122的控制信号。但是,通常不必要如此复杂。
替代装置可用于调整传送到基架的某个特定区域的热量,从而增加或减少该区域的温度。例如,可以通过保持与某个区域相关的加温元件的功率,以及将加温元件移近基架,来增加该区域的温度。同样地,也可以通过增加基架某区域和加温元件间的距离来降低该区域的温度。在另一个实施例中,可以提供与特定区域相关的加温元件作为多个小型加温元件。可以通过给与某区域相关的更多个小型加温元件提供功率来增加该区域的温度,或者通过给与该特殊区域相关的较少几个加温元件提供功率来降低该区域的温度。在另一个实施例中,加热和制冷元件可按组合方式用于加热或冷却该基架上的各区域,响应如上所述控制信号。还在另一个实施例中,每一种这些加温和冷却排列的组合可用于增加或降低特定基架区域的温度。
本发明可以使用除上述的盘式基架之外的所有基架。在一个例子中,一个普通圆柱的,鼓型基架能够绕其中心轴旋转,并且该基架含有安装在环形圆柱表面的晶片。该基架可以包括多个轴向而非径向间隔的区域,而且加温元件和基架高温计可以依此安置。
上述的第一个和第三个控制器149和148把差分信号与某个预选电平进行比较,获得一个错误信号。如果高温计都有相同的性能,并且如果比较器不将任何偏差引入该差分信号中,把该预选电平设置为零,将在基架的不同区域产生最均匀的温度。然而,如果高温计的性能互相不相同,或者如果比较器将某些偏差引入该差分信号中,那么非零差分信号将表示最均匀的温度分布。因此,这些比较器所用的预选电平可以设置成相同或不同的非零值,以保持均匀的温度分布。同样,由这些比较器所用的预选电平可以设成非零值以故意在基架的不同区域内产生不均匀温度。
在上述的较佳实施例中,测量来自基架的热辐射强度,作为与温度相关的一个参数。可以检测来自该基架的热辐射光谱成分而不是热辐射强度。可以使用其他与温度有关的参数实行本发明,这些参数是在化学气相沉积装置的环境中测量的。例如,可以在基架的不同区域内检测基架自己的电气性能,或者检测安装在基架上的变换器的电气性能。
对于那些技术熟练人员会明白:可以对本发明做各种修改和改变,并没有背离本发明的精神和范畴。因此,本发明试图覆盖所提供的本发明的修改和改变,他们都在所附的权利要求及其类似要求的范围内。

Claims (18)

1、一种用于控制化学气相沉积反应室内的衬底加热的装置,其特征在于,包括:
一个基架,用于在反应室内固定至少一个衬底,所述基架包括第一区域和第二区域;
第一个或第二个加温元件,其被设置为能加热所述基架和所述至少一个衬底,所述第一个加温元件被安置能优先加热所述的第一区域;
至少一个衬底高温计,用于通过测量来自所述一个或多个衬底中的至少一个衬底的热辐射,测量一个加工温度;以及
第一个基架高温计,提供第一区域信号,表示来自所述基架第一区域的热辐射;和第二个基架高温计,提供第二区域信号,表示来自所述基架第二区域的热辐射。
2、如权利要求1中的所述装置,其特征在于,还包括与所述的第一和第二基架高温计连接的第一个比较器,所述的第一个比较器设置成能提供第一差分信号,表示所述第一和第二区域信号间的差别,还包括一个或多个控制器,其被构建或安置为至少部分地依据所述的加工温度来控制所述第二个加温元件的工作,并且至少部分地依据所述的第一个差分信号来控制所述第一个加温元件的工作。
3、如权利要求1中的所述装置,其特征在于,所述至少一个衬底高温计包括一个发射率补偿高温计,并且所述基架高温计包括非发射率补偿高温计。
4、如权利要求3中的所述装置,其特征在于,所述基架有一个中心轴,所述第一和第二区域被设置在离所述中心轴不同的径向距离处。
5、如权利要求4中的所述装置,其特征在于,所述的第一区域径向地放置在所述的第二区域的内侧,所述基架还含有第三区域,径向地放置在所述第二区域的外侧,该装置还包括:第三个基架高温计,提供第三区域信号,所述表示来自所述基架的第三区域的热辐射:以及第二个比较器,连接到所述第二和第三基架高温计,所述的第二比较器安置成能提供第二个差分信号,表示所述第二和第三区域信号之间的差别,所述的一个或多个控制器用于至少部分依据所述第二个差分信号,控制所述的第三个加温元件。
6、如权利要求5中的所述装置,其特征在于,所述的加温元件被径向地隔开。
7、如权利要求5中的所述装置,其特征在于,还包括一个旋转驱动器,使所述基架绕所述的轴旋转。
8、如权利要求5中的所述装置,其特征在于,还包括:第一个电源,用于给所述第一个加温元件提供功率;第二电源,用于给所述第二个加温元件提供功率;第三电源,用于给所述第三个加温元件提供功率;所述一个或多个控制器控制所述电源的工作。
9、如权利要求2中的所述装置,其特征在于,所述一个或多个控制器包括:第一个控制器,响应所述第一个差分信号而不是响应所述加工温度,控制所述第一个加温元件;和第二个控制器,响应所述加工温度而不是响应所述第一差分信号,控制所述的第二个加温元件。
10、一种用于控制化学气相沉积反应室内衬底加热的装置,其特征在于,包括:
一个基架,用于在反应室内固定至少一个衬底,所述基架包括第一区域和第二区域;
加热所述基架的装置;
测量加工温度的装置,通过测量所述一个或多个衬底中至少一个衬底的温度,来测量所述加工温度;
用于提供第一区域信号和第二区域信号的装置,所述第一区信号表示与所述基架的所述第一区域温度相关的一个参数,所述第二区域信号表示与所述基架的所述第二区域温度相关的一个参数;以及
控制所述加热装置的装置,以致至少部分依据所述测得的加工温度与一个加工设置温度的比较,将所述加工温度保持在一个目标温度上,以及至少部分依据所述区域信号的比较,保持所述基架的所述区域间的温度的均匀性。
11、一种控制化学气相沉积装置内的衬底温度的方法,其特征在于,包括:
提供一个基架,用于支撑所述化学气相沉积装置内的所述衬底;
加热所述基架和所述衬底;
测量所述衬底的所述温度;
从所述基架的至少两个区域分别获得与基架温度有关的参数指示;
比较来自所述至少两个区域的所述参数指示,以获得一个差分信号;以及
响应所述测得的温度和所述差分信号调整传送到所述区域的热量,以将所述测得的温度保持在加工设置温度,并将所述差分信号保持在一个预选电平。
12、如权利要求11的所述方法,其特征在于,所述预选电平实际上等于零。
13、如权利要求12的所述方法,其特征在于,所述至少一个衬底含有一个定向反射面,并且测量所述衬底温度的所述步骤包括使用一个发射率补偿高温计。
14、如权利要求13的所述方法,其特征在于,得到所述参数的所述指示的所述步骤包括使用至少一个非发射率补偿高温计。
15、如权利要求14的所述方法,其特征在于,得到所述参数的所述指示的所述步骤包括使用至少两个非发射率补偿高温计。
16、如权利要求15的所述方法,其特征在于,所述的基架含有一个漫反射表面。
17、一种化学气相沉积装置内的一片或多片半导体晶片的热处理的方法,其特征在于,包括:
提供一个基架,支撑所述化学气相沉积装置内的所述的一片或多片半导体晶片;
将所述基架上的所述一片或多片半导体晶片加热到某一目标加工温度;
用一个发射率补偿高温计测量所述半导体晶片的温度;
提供一个差分信号,所述差分信号与所述基架上的至少两个区域间的温度差有关;以及
至少部分依据所述差分信号,调整所述基架的至少两个区域中一个区域内的温度。
18、如权利要求17中的所述方法,其特征在于,所述提供一个差分信号的步骤包括用一个或多个非发射率补偿高温计来检测来自所述基架的热幅射。
CNB018195113A 2000-09-27 2001-08-08 控制衬底温度均匀性的装置和方法 Expired - Fee Related CN1309524C (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US09/671,527 US6492625B1 (en) 2000-09-27 2000-09-27 Apparatus and method for controlling temperature uniformity of substrates
US09/671,527 2000-09-27

Publications (2)

Publication Number Publication Date
CN1607989A CN1607989A (zh) 2005-04-20
CN1309524C true CN1309524C (zh) 2007-04-11

Family

ID=24694873

Family Applications (1)

Application Number Title Priority Date Filing Date
CNB018195113A Expired - Fee Related CN1309524C (zh) 2000-09-27 2001-08-08 控制衬底温度均匀性的装置和方法

Country Status (7)

Country Link
US (1) US6492625B1 (zh)
EP (2) EP2402108A1 (zh)
JP (1) JP5004401B2 (zh)
KR (1) KR100803187B1 (zh)
CN (1) CN1309524C (zh)
AU (1) AU2001279230A1 (zh)
WO (1) WO2002026435A1 (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN104395998A (zh) * 2012-06-26 2015-03-04 威科仪器有限公司 GaN基材料的温度控制

Families Citing this family (321)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS6181390A (ja) * 1984-09-28 1986-04-24 株式会社日立製作所 引込みクレ−ン
JP4357715B2 (ja) * 2000-07-24 2009-11-04 東京エレクトロン株式会社 熱処理装置の温度校正方法
US6506252B2 (en) 2001-02-07 2003-01-14 Emcore Corporation Susceptorless reactor for growing epitaxial layers on wafers by chemical vapor deposition
DE10258713B4 (de) 2002-12-12 2010-07-29 Laytec Gesellschaft Für In-Situ und Nano-Sensorik mbH Verfahren und Vorrichtung zur Bestimmung charakteristischer Schichtparameter bei hohen Temperaturen
AU2003208030A1 (en) * 2003-02-06 2004-08-30 Eugene Technology Co., Ltd. Heater of chemical vapor deposition apparatus for manufacturing a thin film
US7148075B2 (en) * 2004-06-05 2006-12-12 Hui Peng Vertical semiconductor devices or chips and method of mass production of the same
WO2006060134A2 (en) * 2004-11-15 2006-06-08 Cree, Inc. Restricted radiated heating assembly for high temperature processing
US7275861B2 (en) * 2005-01-31 2007-10-02 Veeco Instruments Inc. Calibration wafer and method of calibrating in situ temperatures
JP4869610B2 (ja) * 2005-03-17 2012-02-08 東京エレクトロン株式会社 基板保持部材及び基板処理装置
US8372203B2 (en) * 2005-09-30 2013-02-12 Applied Materials, Inc. Apparatus temperature control and pattern compensation
US7691204B2 (en) * 2005-09-30 2010-04-06 Applied Materials, Inc. Film formation apparatus and methods including temperature and emissivity/pattern compensation
US8104951B2 (en) * 2006-07-31 2012-01-31 Applied Materials, Inc. Temperature uniformity measurements during rapid thermal processing
CN100441734C (zh) * 2006-10-09 2008-12-10 中国科学院物理研究所 一种耐活性氧腐蚀的衬底加热装置
US8092599B2 (en) 2007-07-10 2012-01-10 Veeco Instruments Inc. Movable injectors in rotating disc gas reactors
EP2215282B1 (en) 2007-10-11 2016-11-30 Valence Process Equipment, Inc. Chemical vapor deposition reactor
EP3248702B1 (en) * 2008-03-31 2021-07-28 Jfe Steel Corporation Steel plate quality assurance system and equipment thereof
TWI395272B (zh) 2008-05-02 2013-05-01 Applied Materials Inc 用於旋轉基板之非徑向溫度控制系統
US8109669B2 (en) * 2008-11-19 2012-02-07 Applied Materials, Inc. Temperature uniformity measurement during thermal processing
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
CN104810257A (zh) * 2009-10-28 2015-07-29 丽佳达普株式会社 金属有机化学汽相淀积设备及其温度控制方法
KR101431782B1 (ko) * 2009-10-28 2014-08-20 엘아이지에이디피 주식회사 금속 유기물 화학 기상 증착장치 및 이를 위한 온도제어방법
EP2498277A4 (en) * 2009-11-02 2013-03-20 Lig Adp Co Ltd CVD DEVICE AND TEMPERATURE CONTROL PROCEDURE FOR A CVD DEVICE
WO2011052831A1 (ko) * 2009-11-02 2011-05-05 엘아이디에이디피 주식회사 화학기상증착장치의 온도제어방법
TWM392431U (en) * 2010-02-04 2010-11-11 Epistar Corp Systems for epitaxial growth
US20110256692A1 (en) 2010-04-14 2011-10-20 Applied Materials, Inc. Multiple precursor concentric delivery showerhead
US9076827B2 (en) 2010-09-14 2015-07-07 Applied Materials, Inc. Transfer chamber metrology for improved device yield
CN102534552B (zh) * 2010-12-29 2014-04-30 理想能源设备有限公司 化学气相沉积装置
US20120171377A1 (en) 2010-12-30 2012-07-05 Veeco Instruments Inc. Wafer carrier with selective control of emissivity
US8888360B2 (en) * 2010-12-30 2014-11-18 Veeco Instruments Inc. Methods and systems for in-situ pyrometer calibration
US8967860B2 (en) * 2011-02-07 2015-03-03 Applied Materials, Inc. Low temperature measurement and control using low temperature pyrometry
WO2012166770A2 (en) * 2011-05-31 2012-12-06 Veeco Instruments Inc. Heated wafer carrier profiling
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
DE102012101717A1 (de) 2012-03-01 2013-09-05 Aixtron Se Verfahren und Vorrichtung zur Regelung der Oberflächentemperatur eines Suszeptors einer Substratbeschichtungseinrichtung
US9816184B2 (en) 2012-03-20 2017-11-14 Veeco Instruments Inc. Keyed wafer carrier
CN102534567B (zh) * 2012-03-21 2014-01-15 中微半导体设备(上海)有限公司 控制化学气相沉积腔室内的基底加热的装置及方法
JP5788355B2 (ja) * 2012-03-29 2015-09-30 東京エレクトロン株式会社 熱処理システム、熱処理方法、及び、プログラム
KR20130111029A (ko) * 2012-03-30 2013-10-10 삼성전자주식회사 화학 기상 증착 장치용 서셉터 및 이를 구비하는 화학 기상 증착 장치
US10316412B2 (en) 2012-04-18 2019-06-11 Veeco Instruments Inc. Wafter carrier for chemical vapor deposition systems
US9085824B2 (en) * 2012-06-22 2015-07-21 Veeco Instruments, Inc. Control of stray radiation in a CVD chamber
US9448119B2 (en) 2012-06-22 2016-09-20 Veeco Instruments Inc. Radiation thermometer using off-focus telecentric optics
TWI576570B (zh) * 2012-06-22 2017-04-01 維克儀器公司 用於輻射測溫計之遠心光學裝置、使用遠心鏡片配置以減少輻射測溫計中雜散輻射之方法及溫度測量系統
CN103572260B (zh) * 2012-07-25 2016-06-08 北京北方微电子基地设备工艺研究中心有限责任公司 加热装置及具有其的cvd设备的反应腔、cvd设备
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US10167571B2 (en) 2013-03-15 2019-01-01 Veeco Instruments Inc. Wafer carrier having provisions for improving heating uniformity in chemical vapor deposition systems
WO2014157358A1 (ja) * 2013-03-28 2014-10-02 株式会社日立国際電気 基板処理装置、半導体装置の製造方法及び記録媒体
DE102013009925A1 (de) * 2013-06-13 2014-12-18 Centrotherm Photovoltaics Ag Messobjekt, Verfahren zur Herstellung desselben und Vorrichtung zum thermischen Behandeln von Substraten
DE102013109155A1 (de) * 2013-08-23 2015-02-26 Aixtron Se Substratbehandlungsvorrichtung
JP6002101B2 (ja) 2013-08-29 2016-10-05 株式会社ブリヂストン サセプタ
TWI650832B (zh) 2013-12-26 2019-02-11 維克儀器公司 用於化學氣相沉積系統之具有隔熱蓋的晶圓載具
JP6559706B2 (ja) 2014-01-27 2019-08-14 ビーコ インストルメンツ インコーポレイテッド 化学蒸着システム用の複合半径を有する保持ポケットを有するウェハキャリア
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
DE102014117388A1 (de) 2014-11-27 2016-06-02 Aixtron Se Verfahren zum Kalibrieren einer Pyrometeranordnung eines CVD- oder PVD-Reaktors
DE102015100640A1 (de) * 2015-01-19 2016-07-21 Aixtron Se Vorrichtung und Verfahren zum thermischen Behandeln von Substraten
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
USD793972S1 (en) 2015-03-27 2017-08-08 Veeco Instruments Inc. Wafer carrier with a 31-pocket configuration
USD793971S1 (en) 2015-03-27 2017-08-08 Veeco Instruments Inc. Wafer carrier with a 14-pocket configuration
USD778247S1 (en) 2015-04-16 2017-02-07 Veeco Instruments Inc. Wafer carrier with a multi-pocket configuration
US9627239B2 (en) 2015-05-29 2017-04-18 Veeco Instruments Inc. Wafer surface 3-D topography mapping based on in-situ tilt measurements in chemical vapor deposition systems
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
KR20180031799A (ko) * 2015-08-18 2018-03-28 비코 인스트루먼츠 인코포레이티드 화학 기상 증착 시스템 및 공정에서 열적 균일성을 향상시키기 위한 공정별 웨이퍼 캐리어 보정
DE202016104588U1 (de) 2015-09-03 2016-11-30 Veeco Instruments Inc. Mehrkammersystem für chemische Gasphasenabscheidung
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10571430B2 (en) 2016-03-14 2020-02-25 Veeco Instruments Inc. Gas concentration sensors and systems
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US9933314B2 (en) * 2016-06-30 2018-04-03 Varian Semiconductor Equipment Associates, Inc. Semiconductor workpiece temperature measurement system
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
CN106206349B (zh) * 2016-07-19 2018-11-23 京东方科技集团股份有限公司 基板温度监测装置、干刻设备及基板温度监测方法
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
CN111316417B (zh) 2017-11-27 2023-12-22 阿斯莫Ip控股公司 与批式炉偕同使用的用于储存晶圆匣的储存装置
USD860146S1 (en) 2017-11-30 2019-09-17 Veeco Instruments Inc. Wafer carrier with a 33-pocket configuration
DE202017107959U1 (de) 2017-11-30 2018-01-15 Veeco Instruments Inc. Waferträger mit einer 33-Taschen-Konfiguration
WO2019126066A1 (en) * 2017-12-20 2019-06-27 Technetics Group Llc Deposition processing systems having active temperature control and associated methods
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
WO2019158960A1 (en) 2018-02-14 2019-08-22 Asm Ip Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) * 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
USD866491S1 (en) 2018-03-26 2019-11-12 Veeco Instruments Inc. Chemical vapor deposition wafer carrier with thermal cover
USD858469S1 (en) 2018-03-26 2019-09-03 Veeco Instruments Inc. Chemical vapor deposition wafer carrier with thermal cover
USD860147S1 (en) 2018-03-26 2019-09-17 Veeco Instruments Inc. Chemical vapor deposition wafer carrier with thermal cover
USD863239S1 (en) 2018-03-26 2019-10-15 Veeco Instruments Inc. Chemical vapor deposition wafer carrier with thermal cover
USD854506S1 (en) 2018-03-26 2019-07-23 Veeco Instruments Inc. Chemical vapor deposition wafer carrier with thermal cover
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
TW202013553A (zh) 2018-06-04 2020-04-01 荷蘭商Asm 智慧財產控股公司 水氣降低的晶圓處置腔室
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
DE102018121854A1 (de) 2018-09-07 2020-03-12 Aixtron Se Verfahren zum Einrichten oder zum Betrieb eines CVD-Reaktors
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US10998205B2 (en) * 2018-09-14 2021-05-04 Kokusai Electric Corporation Substrate processing apparatus and manufacturing method of semiconductor device
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
DE102018125531A1 (de) * 2018-10-15 2020-04-16 Aixtron Se Vorrichtung und Verfahren zur Regelung der Temperatur in einem CVD-Reaktor
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TW202121506A (zh) 2019-07-19 2021-06-01 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11542604B2 (en) * 2019-11-06 2023-01-03 PlayNitride Display Co., Ltd. Heating apparatus and chemical vapor deposition system
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210078405A (ko) 2019-12-17 2021-06-28 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 층을 형성하는 방법 및 바나듐 나이트라이드 층을 포함하는 구조
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
DE102020100481A1 (de) * 2020-01-10 2021-07-15 Aixtron Se CVD-Reaktor und Verfahren zur Regelung der Oberflächentemperatur der Substrate
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
TWI775073B (zh) * 2020-05-07 2022-08-21 台灣積體電路製造股份有限公司 光固化的方法及其設備
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
DE102020120449A1 (de) 2020-08-03 2022-02-03 OSRAM Opto Semiconductors Gesellschaft mit beschränkter Haftung Wafer-carrier und system für eine epitaxievorrichtung
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
JP7452458B2 (ja) 2021-02-16 2024-03-19 株式会社デンソー 半導体装置の製造装置
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
CN116759347B (zh) * 2023-08-17 2023-12-12 浙江求是创芯半导体设备有限公司 外延工艺的控制方法及控制装置、半导体加工设备

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5061084A (en) * 1988-04-27 1991-10-29 Ag Processing Technologies, Inc. Pyrometer apparatus and method
CN1204938A (zh) * 1997-06-11 1999-01-13 易通公司 炉侧壁温度控制系统
WO1999059196A1 (en) * 1998-05-11 1999-11-18 Semitool, Inc. Temperature control system for a thermal reactor
CN1249531A (zh) * 1998-09-04 2000-04-05 佳能株式会社 半导体衬底的制造工艺

Family Cites Families (29)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4859832A (en) 1986-09-08 1989-08-22 Nikon Corporation Light radiation apparatus
US4919542A (en) 1988-04-27 1990-04-24 Ag Processing Technologies, Inc. Emissivity correction apparatus and method
US4956538A (en) 1988-09-09 1990-09-11 Texas Instruments, Incorporated Method and apparatus for real-time wafer temperature measurement using infrared pyrometry in advanced lamp-heated rapid thermal processors
US5271084A (en) 1990-05-23 1993-12-14 Interuniversitair Micro Elektronica Centrum Vzw Method and device for measuring temperature radiation using a pyrometer wherein compensation lamps are used
JPH04142742A (ja) * 1990-10-03 1992-05-15 Fujitsu Ltd 温度分布制御方法
US5114242A (en) 1990-12-07 1992-05-19 Ag Processing Technologies, Inc. Bichannel radiation detection method
US5156461A (en) 1991-05-17 1992-10-20 Texas Instruments Incorporated Multi-point pyrometry with real-time surface emissivity compensation
US5436172A (en) 1991-05-20 1995-07-25 Texas Instruments Incorporated Real-time multi-zone semiconductor wafer temperature and process uniformity control system
US5291514A (en) 1991-07-15 1994-03-01 International Business Machines Corporation Heater autotone control apparatus and method
US5536918A (en) 1991-08-16 1996-07-16 Tokyo Electron Sagami Kabushiki Kaisha Heat treatment apparatus utilizing flat heating elements for treating semiconductor wafers
US5294778A (en) 1991-09-11 1994-03-15 Lam Research Corporation CVD platen heater system utilizing concentric electric heating elements
US5446824A (en) 1991-10-11 1995-08-29 Texas Instruments Lamp-heated chuck for uniform wafer processing
JPH06204143A (ja) * 1992-12-28 1994-07-22 Hitachi Ltd Cvd装置
US5305417A (en) 1993-03-26 1994-04-19 Texas Instruments Incorporated Apparatus and method for determining wafer temperature using pyrometry
US5305416A (en) 1993-04-02 1994-04-19 At&T Bell Laboratories Semiconductor processing technique, including pyrometric measurement of radiantly heated bodies
US5624590A (en) 1993-04-02 1997-04-29 Lucent Technologies, Inc. Semiconductor processing technique, including pyrometric measurement of radiantly heated bodies and an apparatus for practicing this technique
US5650082A (en) 1993-10-29 1997-07-22 Applied Materials, Inc. Profiled substrate heating
US5444815A (en) 1993-12-16 1995-08-22 Texas Instruments Incorporated Multi-zone lamp interference correction system
US5660472A (en) 1994-12-19 1997-08-26 Applied Materials, Inc. Method and apparatus for measuring substrate temperatures
US5755511A (en) 1994-12-19 1998-05-26 Applied Materials, Inc. Method and apparatus for measuring substrate temperatures
JPH0945624A (ja) 1995-07-27 1997-02-14 Tokyo Electron Ltd 枚葉式の熱処理装置
US5881208A (en) 1995-12-20 1999-03-09 Sematech, Inc. Heater and temperature sensor array for rapid thermal processing thermal core
JP3563224B2 (ja) * 1996-03-25 2004-09-08 住友電気工業株式会社 半導体ウエハの評価方法、熱処理方法、および熱処理装置
US5895596A (en) 1997-01-27 1999-04-20 Semitool Thermal Model based temperature controller for semiconductor thermal processors
US5874711A (en) 1997-04-17 1999-02-23 Ag Associates Apparatus and method for determining the temperature of a radiating surface
US6064799A (en) * 1998-04-30 2000-05-16 Applied Materials, Inc. Method and apparatus for controlling the radial temperature gradient of a wafer while ramping the wafer temperature
US5930456A (en) 1998-05-14 1999-07-27 Ag Associates Heating device for semiconductor wafers
US6225601B1 (en) * 1998-07-13 2001-05-01 Applied Komatsu Technology, Inc. Heating a substrate support in a substrate handling chamber
US6259072B1 (en) * 1999-11-09 2001-07-10 Axcelis Technologies, Inc. Zone controlled radiant heating system utilizing focused reflector

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5061084A (en) * 1988-04-27 1991-10-29 Ag Processing Technologies, Inc. Pyrometer apparatus and method
CN1204938A (zh) * 1997-06-11 1999-01-13 易通公司 炉侧壁温度控制系统
WO1999059196A1 (en) * 1998-05-11 1999-11-18 Semitool, Inc. Temperature control system for a thermal reactor
CN1249531A (zh) * 1998-09-04 2000-04-05 佳能株式会社 半导体衬底的制造工艺

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN104395998A (zh) * 2012-06-26 2015-03-04 威科仪器有限公司 GaN基材料的温度控制
CN104395998B (zh) * 2012-06-26 2017-02-22 威科仪器有限公司 GaN基材料的温度控制

Also Published As

Publication number Publication date
CN1607989A (zh) 2005-04-20
EP2402108A1 (en) 2012-01-04
EP1390174A1 (en) 2004-02-25
US6492625B1 (en) 2002-12-10
KR100803187B1 (ko) 2008-02-14
EP1390174A4 (en) 2009-07-29
KR20030033068A (ko) 2003-04-26
WO2002026435A1 (en) 2002-04-04
JP2004513510A (ja) 2004-04-30
JP5004401B2 (ja) 2012-08-22
AU2001279230A1 (en) 2002-04-08

Similar Documents

Publication Publication Date Title
CN1309524C (zh) 控制衬底温度均匀性的装置和方法
US5848889A (en) Semiconductor wafer support with graded thermal mass
CN100536063C (zh) 对构图的晶片背面进行快速热退火处理的方法及设备
US5830277A (en) Thermal processing system with supplemental resistive heater and shielded optical pyrometry
US6121061A (en) Method of processing wafers with low mass support
US6188044B1 (en) High-performance energy transfer system and method for thermal processing applications
CN2842725Y (zh) 用于衬底处理室的热匹配支撑环
US8888360B2 (en) Methods and systems for in-situ pyrometer calibration
CN101906622A (zh) 用于mocvd系统中控制外延片温度及均匀性的装置与方法
CN104871299B (zh) 使用高温计对锥形灯头内的灯进行的多区域控制
TWI805498B (zh) 用於半導體製程腔室的表面塗層的襯套組件
KR20010072545A (ko) 열처리실용 기판 지지부
WO1995031582A1 (en) Chemical vapor deposition reactor and method
WO1998051843A1 (en) A method and apparatus for achieving temperature uniformity of a substrate
JP2762022B2 (ja) Cvd装置に使用する回転機構、およびこの機構を利用して被処理体の温度を制御する方法
JP2007538391A (ja) 回転デバイス上の半導体サブストレートの位置検出
US6971835B2 (en) Vapor-phase epitaxial growth method
TW202225646A (zh) 利用能帶間隙吸收法之溫度校正
CN115101441A (zh) 衬底处理设备及提高下测温计信噪比的方法
CN116497440A (zh) 一种硅片外延生长基座支撑架及装置
KR20220086494A (ko) 반응 챔버에서 타겟의 위치를 결정하기 위한 고정구 및 방법
CN117758237A (zh) 角度调节方法、可调节支架及其薄膜处理装置
JPH0437692A (ja) 基板の加熱装置
KR200383348Y1 (ko) 기판 프로세싱 챔버용의 열적으로 조화된 지지 링
JPS6358925A (ja) 気相表面処理反応装置

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
TR01 Transfer of patent right
TR01 Transfer of patent right

Effective date of registration: 20170922

Address after: American New York

Patentee after: Veeco Instr Inc.

Address before: new jersey

Patentee before: Emcore Corp.

CF01 Termination of patent right due to non-payment of annual fee
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20070411

Termination date: 20200808